TW456157B - Carrier - Google Patents
Carrier Download PDFInfo
- Publication number
- TW456157B TW456157B TW088104116A TW88104116A TW456157B TW 456157 B TW456157 B TW 456157B TW 088104116 A TW088104116 A TW 088104116A TW 88104116 A TW88104116 A TW 88104116A TW 456157 B TW456157 B TW 456157B
- Authority
- TW
- Taiwan
- Prior art keywords
- heat transfer
- transfer layer
- planar heat
- planar
- bearing device
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05B—ELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
- H05B3/00—Ohmic-resistance heating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67103—Apparatus for thermal treatment mainly by conduction
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
- H01L21/6833—Details of electrostatic chucks
-
- H—ELECTRICITY
- H02—GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
- H02N—ELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
- H02N13/00—Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Resistance Heating (AREA)
- Surface Heating Bodies (AREA)
- Physical Vapour Deposition (AREA)
Description
4561 57 五、發明說明〇) 發明所屬技術領域 本發明係關於用以支播並加熱半導體晶圓、液晶面 板、太電池用之梦早晶晶圓等之承载^r置。 習知技術 在習知之用以支撐並加熱半導體晶圓、液晶面板、矽 單晶晶圓等之承載裝置,提議在承载裝置中埋入發献元 後將承載裝置收藏於細κ支料或支㈣或㈣管 型戎。 , 發明要解決之課題 最近’增大用以得到丰導體开朱 此一、:」牛争體兀件之晶圓之直徑或將液 晶面板大型化之要求高漲,因此要求承载裝^^ :,要求將承載产置之面狀傳熱層之直徑設為例如直徑必 mra以上。可是,若面狀傳熱層之直徑變大,保 傳熱層之加熱面之溫度之均句性變得 來難狀 之問題。因為,在面狀傳熱層之加熱面 :=決 發生:良品之緣I尤其,為了將:不 :’在面狀傳熱層安裝多支例如3支 承載置大型 時,這種加熱面之溫度之不均句變成特別牙大棒或保濩管 本發明之課題係在具有面狀傳熱層 之支撲管等之承載|置,使得在面狀傳教=面^專熱層 度分布變小。 ·'、、層之加熱面之溫 解決課題之手段 本發明之特徵在於包括:面狀傳熱, 物加熱之加熱面和背面; ^ ^ ,、有將被加熱 叉得仵自S亥者面側支撐該面狀 456157 五、發明說明(2) 傳熱層;第一遮熱部,設於該面狀傳熱層之該背面和該支 撐件之間並限制自該面狀傳熱層流向該支撐件之熱流;以 及第二遮熱部,設於該第一遮熱部和該支撐件之間並限制 自該第一遮熱部往該支撑件之熱流。 本發明者檢討了在將承載裝置大型化時或在面狀傳熱 層設置多支支撐管、支撐棒之情況加熱面之溫度偏差變大 之理由。一般,為了使承載裝置之加熱面之溫度均句,將 面狀傳熱層内之發熱體之平面圖型設計成最佳。可是,通 過支撐棒或支撐管等向承載裝置外逃掉之熱量隨周圍溫 度、使用條件以及目標之溫度區域顯著變動。因而,如例 如在某溫度區域或某條件下可將加熱面之溫度分布辱、佳化 乾發_熱_體之平面圖型’在已製造了之情況,也有使用 條件、使用溫度變化時加熱面之溫度分布顯著惡化之傾 向。 本發明者想到了在面狀傳熱層之背面和支撐件之間設 置第一遮熱部和第二遮熱部,利用第一遮熱部限制面狀傳 熱層之背面往支撐件之熱流,利用第二遮熱部限制自第一 遮熱部往支撐件之熱流。於是,藉著以2階段設置熱遮蔽 層’面狀傳熱層之加熱面之溫度之均勻性改善,在比習知 $寬之溫度範圍或使用條件,可實現規定範圍内之良好之 溫度分布。尤其’在增大面狀傳熱層之直徑之情況,或在 面狀傳熱層安裝了多支支撐件之情況,顯著改善加熱面之 溫度分布。 本發明對於大型承載裝置特別適合,尤其適合面狀傳
第5頁 456157 五、發明說明〔3) 熱層之直徑300mm以上之承載裝琶。7 ▲ 等支撐件之個數也無限制載在裝支置撐二’产撐棒、支樓管 個以上,以下之情況特別在有支效撐件而係在^^^ 下之情況特別有用。 而在4個以上、20個以 是,線燈等外部熱源將面狀傳熱層加熱。可 c置之周圍之空氣保護面狀傳熱層中之發熱元 件 發熱7L件之壽命變長。 # M j更適〇之形癌,在基體設置在背面側開口之槽,在 該槽中埋入發熱元件。 你 田面狀傳熱層之基體、支撐件、後述之背面板之各材質 取好係如下的。 (1) 氮化鋁、氧化鋁、耐火矽酸鉛等陶磁。 (2) 鋁合金、不銹鋼、科瓦鐵鎳鉻合 膨脹合金(例如Fe-42Nl)、超合金、Fe_Cr_A1^;熱合低 金、Ni-Cr系耐熱合金等合金類。 (3) U)之各陶磁和(2)之各合金之複合材料。 其中’陶磁和金屬之複合材料包含陶磁基複合材料、 金屬基複合材料雙方。例如有令熔化之金屬滲透到陶磁製 預製达的、用粉末冶金方法(粉末成形+烘烤)製成的。金 屬對陶磁製預製坯之滲透有在加壓下進行之情況和使用助 參透劑在無加壓或常壓下進行之情況。在採用粉末冶金方 法之情況’可令粉末成形體中含有助燒結劑。
第6頁 4 B 6 1 5 7 五、發明說明(4) 說明特別適 或銘合金可參透 氮化鋁最好。 要製造預製 溶劑分散後,和 混合,用大型球 後,利用防爆型 m之球狀造粒粉( 後,使用油壓機 製造預製坯。 此外’替代 喷霧令乙醇等和 地加壓成形,也 在令銘或銘 透法、加壓渗透 最好第一遮 面狀傳熱層之傳 該背面板限制來 將面狀傳熱 化之方法無特別 傳熱層、背面板 後,利用螺栓可 將承載裝置 構成之接合層接 利用有機黏合劑製 陶磁粒子混合後之 可製造預製坯。 合金滲透到預製坯 法、真空滲透法。 熱部具有背面板, 熱係數之1/2以下( 自面狀傳熱層之背 層、支撐件以及視 限制,但是銅焊、 、支撐件等各構件 固定各構件。 之各構件銅烊或錫 合之情況’藉著減 合之複合材料。構成預製枉之陶磁只要紹 ,未限定,但是鋁系陶磁較好,氧化鋁、 链係,例如令指定之陶磁粒子在異丙醇等 液態壓克力共聚合物黏合劑等有機黏合劑 磨機攪拌混合2〜40小時後,形成泥漿。然 喷霧式乾燥機將泥漿造粒成粒徑30〜100 # 接著,將造粒粉末充填於指定之模具 等以200〜7000kgf/cm2之壓力加壓成形, 造泥漿,藉著得到利用 粉末後將其和上述一樣 時,例如可採用自動滲 該背面板之傳熱係數係 最好係1/8以下),利用 面侧之熱流。 需要連背面板彼此一體 錫焊較好《又,在面狀 之間插入金屬密封件 焊後,利用由這些金屬 少該接合層之面積,可
45 6 1 5 7 五、發明說明(5) 限制在第一遮熱部、第二遮熱部之熱流。 在此情況,最好第一遮熱部具有背面板和將該背面板 與面狀傳熱層接合之第1接合層,將第1接合層之面積設為 1時,面狀傳熱層之背面之面積係3〜300。 最好利用第2接合層將背面板和支撐件直接或經由絕 熱件接合,將第2接合層之面積設為1時,背面板之支撐件 侧之面積係2〇〜1 0000。 5亥絕熱件係如下的之一。 (1)絕熱件之傳熱係數係背面板之傳熱係數之丨/2以 下。 (2 )因在絕熱件之中央部設置空洞或貫穿孔,或在絕 熱件之表面設置槽’在絕熱件傳導之熱流減少。 在本發明適合之形態,面狀傳熱層由導電材料構成, 利用電介質層被覆至少面狀傳熱層之該加熱面,如藉著在 該面狀傳熱層和該被加熱物之間施加直流電壓對該面狀傳 熱層可吸住該被加熱物般構成。藉此,可提供 別大(例如700平方公分以上)之加熱面之大型之靜有電面炎積特 具0 麓- = Ϊ 狀傳熱層、該第-遮熱部、該 第-遮熱相及該支料具有導 此’不必另外設置接地線。 又刼件接地。耩 在將承載裝置之各構件 定’但是在於i素系腐蝕性 料、金合金焊料、銅合金焊 彼此銅焊時,焊料未特別限 氣體之财蚀性上,銘合金焊 料較好。尤其,在構件使用鋁
第8頁 456157
五、發明說明(6) /金屬複合材料之情況,鋁合金焊料較好。 在鋁合金焊料,最好在鋁合金之中添加了自由鎂、 =、锆及姶構成之群所選擇之—種以上之活性金屬,由鋁 含量70mol%以上之鋁合金構成。 最好該鋁合金含有lmol%以上、1〇m〇1%以下之自由 鎂、鈦、锆及铪構成之群所選擇之一種以上之活性金 (最好係鎮)。 藉著將活性金屬之比例設為lmol%以上,和基材中之 金屬成分或強化材料之親和性提高。藉著將活性^屬之比 例設為1 0mo 1 %以下’抑制成為脆化之原因之金屬間化合物 等之局部產生。 σ 此外’關於合金焊料中之鋁之含量,在將金屬之總含 量設為1 0Omo 1 %之情況’係自1 0Omo 1 %減去活性金屬成分之 含量及後述第3成分之總含量之值。 在合金焊料中,可令含有第3成分。在第3成分上,使 用矽或硼,因對鋁無影響而較好。這種第3成分之作用係 嫁點下降。在同一溫度’也因添加第3成分,焊料之流動 性變佳。若第3成分之含有比例設為1· 5〜l〇m〇l%,更好。 此外,構成焊料之合金含有鎮l-6mol%、石夕1.5〜1〇 mol%的較好。 在鋼焊前’利用濺鍍、蒸鍍、摩擦壓接、電鍍等方法 可在各構件之接合面設置自由鎂、鈦、結及給構成之群所 選擇之一種以上之活性金屬構成之膜。又,在各構件之各 接合面之間可令介入金屬箔,該金屬箔由自由鎂、鈦、錯 45 615 7 五、發明說明(7) 及鈴構成之群所選擇之一種以上之活性金屬構成 夺之熱處理之前’藉著用酸性溶液或驗性 ΙίΓΐ 接合面,除去各接合面上之氧化膜和 虱化腠之至少一方較好。 f 發熱元件如下的較好。 ⑴將線狀或絲帶狀之石夕化_、錦鉻合金、錄鉻紹合 金作為發熱體’在該發熱體之表面塗抹陶磁黏結 齊K包含梦酸鹽膠黏劑、氧化紹膠黏劑)類後令固化的1 發熱體之表面也可進行陶質粉末或金屬烷 凝膠塗層。 合膠 M )』在充填了氧化鎂、氮化鋁等絕緣性陶磁粒子之不 銹鋼管等之中央空洞埋設了右门、所.+、 不 護套加熱器)。 了在⑴所返之發熱體的(所謂的 係一i熱元件之平面圖型係一區或是二區都可,但是最好 被覆面狀傳熱層、背面板、支撐件等之被膜 好係氮化鋁、氧化鋁、耐火石夕酸鉛、炭 ^ 厌化删、氟化鋁、稀 土類及/或鹼土類金屬疋素之氟化物、釔 等釔氧-氧化鋁系陶磁質材料以及將這些各人’ 複合材料。在形成被臈之方法上,粉末'寊複3化之 鼾沐_ BE 1^ 物末之塗抹及燒著、熔 射法、冷膠嘁膠法。又,在承載裝置之構件 就化銘/銘、铭/炭化石夕等銘合金基複合材料之情況,二】 對構件進行氧化處理,可令在構件之表 2者 由承載裝置之耐熱循環性之觀點’承载裝置之構件和
第10頁 4 5 6 15 7 五、發明說明(8) 其被膜之間之熱膨脹係數之差設為1 X 1 〇 -6 /。〇以下較好。 圖1係概略表示一實施形態之承載裝置1A之縱向剖面 圖’圖2(a)係背面板8之平面圖,圖2(b)係背面板8之剖面 圖,圖3係支撐管12之縱向剖面圖,圖4(a)係表示基體4之 背面4b侧之接合層之圖型之平面圖,圖4(b)係放大基體4 之槽之周邊之形態後表示之要部剖面圖。 承載裝置1A由面狀傳熱層3A、第一遮熱部7A、第二遮 熱部25A以及支撐件12構成。在面狀傳熱層3A,利用被膜 6A被覆平板形狀之基體4之加熱面4a和側面。在加熱面4a 上設置被加熱物2。在基體4内形成圖4(a)所示之平面圖型 之槽15,槽15在背面4b側開口。在槽15内收藏發熱元件 5 °4c係用以插穿供電電鏡線或熱電麵等之貫穿孔4C。 在面狀傳熱層3A之背面4b侧利用第1接合層9接合背面 板8。第1接合層9未介於背面板8及背面之整個面,按照 圖4(a)所示平面圖型設置。1〇係基體4和背面板8之間之空 隙。結果’第1接合層9之面積設為!時,背面4b之面積係 3〜300。如圖4(b)所示,在槽15,在發熱元件5之下侧收藏 間隔物16A ’間隔物16A接觸背面板8之表面8a。因而,在 承載裝置使用中’發熱元件5不會直接接觸背面板8,可防 止所引起之加熱面之溫度之均勻性之惡化ό此外,在圖 1 ’省略圖2〜圖4所示各構件之細部圖示。 =圖2(a)、(b)所示’在背面板8之中央部-之背面8b側 設置突起8c ’在突起8c之位置之表面8a和背面8b之間設置 貫穿孔8d °利用被膜6A被覆背面板8之背面8b及侧面。
第11頁 45 6 彳 5 7 五、發明說明(9) 如圖3所示’支撐管12包括本體12a、設於本體12a之 一方之端部之凸緣部1 2b以及設於本體之反侧之端部之凸 緣部12c。如直徑自本體1 2a往凸緣部12b逐漸變大般設置 擴徑部12d。 用被膜6A被覆支撐管12之表面。支撐管12之凸緣部 12b利用第2接合層11和背面板8之突起8c接合。第2接合層 也和凸緣部12b未全面接合’在無第2接合層之區域發生間 隙1 0。支撐管1 2之中空部和背面板8之貫穿孔相連通, 在其中插入圖上未示之發熱元件之供電電纜或視需要插入 熱電耦等之其他電氣配線。 圖5係表示其他實施形態之承載裝置1 b之縱向剖面 圖’圖6(a)係表示絕熱件16A及16B之平面圖,圖6(b)係表 示絕熱件2 0之平面圖,圖7係放、本槽23之周邊後表示之要 部剖面圖。承載裝置1B由第一遮熱部7B、第二遮熱部25B 、以及支撐管12構成。在面狀傳熱層3B,用被膜6A被覆平板 形狀之基體4之加熱面4 a和側面。在加熱面4 a上設置被加 熱物2。在基體4内形成例如圖4(a)所示之平面圖型之槽 23,如圖7所示,槽23在背面4b侧開口(在圖5上未表示 槽)。在槽23内收藏發熱元件5,在發熱元件5之下側收藏 間隔物16B,間隔物16B和背面板8之表面8a接觸。 在面狀傳熱層3A之背面4b側經由絕熱件16A、16B和背 面板8接合。在此,絕熱件1 6 A、1 6 B如圖6 (a )所示,形成 近似長方形框,如包圍絕熱件1 6B般設置絕熱件1 6A。絕熱 件1 6A和1 6B各自利用接合層9和背面板8之表面8a及面狀傳
第12頁 A B 6 1 5 7___ 五、發明說明(10) 熱層之背面4b接合,在無絕熱件1 6A和1 6B之區域形成間隙 18。結果,第1接合層9之面積設為1時,背面4b之面積係 3〜3 00。背面板8之形態如上述。 如圖5所示,支撐管12包括本體12a、設於本體12a之 一方之端部之凸緣部1 2b以及設於本體之反侧之端部之凸 緣部12c。也可設置如上述之擴徑部i2d。用被膜6A被覆支 撐管12之表面。 支 6(b)所 和背面 1 2之中 相連 視需要 此 將加熱 和加熱 又 分插入 作。又 能。 實 撐管1 2之凸緣部1 2 b和背面板8之突起8 c之間插入圖 不之環形之絕熱件2 0,絕熱件2 〇利用第2接合層11 板8之突起8c及支撐管12之凸緣部12b接合。支撐管 =部和絕熱件20之貫穿孔20a以及背面板8之貫穿孔 5 ί其中插入圖上未不之發熱元件之供電電纜或 插入熱電耦等之其他電氣配線。 :和:以5管1圖27電之氣承上裝置’因利用遮熱部冗、2冗 面侧連接’可令作為靜電夾爪動J屬電』或金屬杯 ,在圖1〜4之承载裝署 斗斗 乍 絕緣器進行電氣絕緣處理右糟二在支樓管之安裝部 ’照這樣做’也可賦鱼可令作為靜電爽爪動 〃作為两頻產生用電極之功 施例 之實施例 其次說明特別適合 實施例1 ,令平均 製造圖1至圖4所 不之承載装置1A 具體而言
第13頁
45615T 五、發明說明(π) 粒徑1 6 // m之氮化鋁粒子分散於異丙醇溶劑中,添加液態 壓克力共聚合物黏合劑,用大型球磨機令攪拌混合4小時 後’得到泥漿。利用防爆型喷霧式乾燥機將該泥漿造粒, 得到粒徑約1 5 0 # m之球狀造粒粉。將該造粒粉充填於指定 之模具後’使用油壓機以20 〇kg f/cm2之壓力一軸加壓成 形,製造直徑380、厚度30 mm之大型預製坯。 令該預製述充分乾燥、脫脂後,在氮氣-1%氫氣之空 氣中、1.5個大氣壓下,以9〇〇它接觸鋁合金(鋁 92. 6mol %、鎂5. 5 mol%、矽1. 9 mol%)之溶液24 小時,利 用非金屬浸透法令鋁滲透後,自溶液將預製坯拉起,得到 鋁基複合材料。氮化鋁之重量比係7〇%,複合材料之熱膨 脹率係8. 7 X 10_6 / °c、傳熱係數係i7〇f/Mk、電阻係數係5 X10 -5 Ω · cm。 自該複合材料製作面狀傳熱層之基體4、背面板8以及 支樓管12。基體4之外形尺寸設為4〇〇mnix500_X X 2 5mm,槽15之寬設為13mm,最大深度設為15mm。背面板8 之尺寸設為400mm X500mm X7. 8mm。突起8c之自背面板8開 始之尚度設為4.2mm ’突起8 c部分之厚度設為12mm,突起 8c之直徑設為50mm。支撐管之本體i2a之厚度設為 2. 5mm〜3.5mm ’外形設為35mm。凸緣部12b之外徑設為 50mm ’厚度设為4πιιη。凸緣部12c之外徑設為50ιπιη,厚度設 為 8mm ° 在大氣中以1 050 °C保持以上各構件5小時,在各表面 產生氧化鋁膜。其次’藉著對基體4之背面4b、背面板8之
第14頁 456157 五、發明說明(12) 研磨 表面8a及突起8C之表面、支樓管之凸緣部之表面進行 加工或樾光加工,令複合材料之新的表面露出。 在用不銹鋼管覆蓋之護套加熱器中充填氧化鎮板子 後’將錄鉻合金線插入其内部,用作發熱元件。將發熱 件埋入槽中後,將1 2個鋁合金製塊作為間隔物配置於^ 70 元件下。在鎳製電力饋線穿過中空軸後,在應接合"熱 。[丨分 插入了厚度0.11111〇之八1-103卜2“製薄片。在各接合部分 先鍍鎳。在這些組立體上放6 kg之重量後,在真空中進行 銅焊,將各構件接合。 (實施例2) 製作了圖5〜圖5所示承載裝置。具體而言,和實施例】 一樣準備了基體4、背面板8、支撐管1 2。框形之絕熱件 16A、16B之材質設為純度95%以上之氧化鋁。各絕熱件之 厚度都是5mm,寬度是l〇mm。外侧之絕熱件16A之外形尺寸 係400_\50〇111111,内侧絕熱件168之尺寸係200_\ 200mm。又’環形絕熱件20之材質設為純度98%以上之氧化 铭’设外徑為50mm、内控為40mm、厚度為5mm。任一絕熱 件都利用濺鍍法形成鎳膜。
準備和實施例1 一樣之發熱元件,埋設於槽中。將1 2 個銘合金製塊作為間隔物安裝,在鎳製電力饋線穿過中空 軸。在第1接合層9、第2接合層11之各材質上使用了厚度 0.1 mm之A l-10Si-2 Mg合金焊料。在這些組立體上放6 kg之 重量後’在真空中進行銅焊,將各構件接合。接著,利用 熔射法,在面狀傳熱層之加熱面形成了厚度約1〇〇#m之氧
第15頁 4S61 57 五、發明說明(lG ---- 化鋁層。 發明之欵$ 知,在具有面狀傳熱層和支樓面狀傳熱層之 分布變小。 裝置,可使在面狀傳熱層之加熱面之溫度 圖式之簡單說明 圖1係概略表示承載裝置1Α之縱向剖面圖。 圖2 ( a )係表示背面板8之平面圖,圖2 (b )係表示背面 板8之縱向剖面圖。 圖3係表示支撐管1 2之縱向剖靣圖。 圖4 (a)係表示自背面側看到面狀傳熱層之基體4之平 面圖’斜線表示存在接合層之區域。圖4(b)係放大槽15之 周邊後表示之要部剖面圖。 圖5係概略表示其他實施例之承载裝置1 b之縱向剖面 圖。 圖6(a)係表示框形之絕熱件IgA、16B之平面圖,圖 6(b)係表示環形之絕熱件2〇之平面圖。 圖7係放大槽23之周邊後表示之要部剖面圖。 符號說明 ΙΑ、1B~承載裝置;2〜被加熱物;3A、3B~面狀傳熱層 B ; 4〜基體;4a〜面狀傳熱層(基體)之加熱面;4b〜面狀傳 熱層(基體)之背面4b ; 5〜發熱元件;6A、6B、60被膜; 7A、7B〜第一遮熱部;t背面板;8a〜背面板8之表面;8b〜 背面板8之背面;8c〜背面板;8〜之突起(台座部分);9〜第
第16頁 456157
第17頁
Claims (1)
- 456157 六 申請專利範目 " — 1. 一種承載裝置,包括: 面狀傳熱層,具有將被加熱物加熱之加熱面和背面; 支撐件,自該背面側支撐該面狀傳熱層; 第一遮熱部,設於該面狀傳熱層之該背面和該支撐件 之間並限制自該面狀傳熱層流向該支撐件之熱流;以及 第二遮熱部,設於該第一遮熱部和該支撐件之間並限 制自該第一遮熱部往該支撐件之熱流。 2. 如申請專利範圍第丨項之承載裝置,其中該面狀傳 熱層由氮化鋁和鋁之複合材料構成。 3. 如申請專利範圍第丨或2項之承載裝置,其中該面狀 傳熱層包括基體和設於基體.内之發熱元件。 4. 如申請專利範圍第3項之承載裝置,其中在該基體 設置在該背面侧開口之槽,在該槽内埋入該發熱元件。 5+如申請專利範圍第1項之承載裝置,其中該第一遮 ^部具有背面i,該t面板之傳熱係數係豸自狀傳熱層之 傳熱係數之1 / 2以下。 6.如申請專利範圍第丨項之承載裝置,其中該第一遮 ϊίΐ有冑面板和將肖背面板和肖面狀傳熱層#合之第1 兮:f ’將該第1接合層之面積設為1時’該面狀傳熱層之 該背面之面積係3〜300。 ^ 2接I展如脸申請專利範圍第5或6項之承載裝置,其中利用第 將ϊ ίI面板和該支撐件直接或經由絕熱件接合, 接合層之面積設為1時,該背面板之該支樓件侧之 面積係2 (M 0 0 0 〇。 τ叫之第18頁 A5 6157 六、申請專利範圍 8. 如申請專利範圍第1或2項之承載裝置,其中該面狀 傳熱層由導電材料構成,利用電介質層被覆至少面狀傳熱 層之該加熱面,如藉著在該面狀傳熱層和該被加熱物之間 施加直流電壓對該面狀傳熱層可吸住該被加熱物般構成。 9. 如申請專利範圍第1或2項之承載裝置,其中該面狀 傳熱層、該第一遮熱部、該第二遮熱部以及該支撐件具有 導電性,該支撐件接地。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP10149573A JPH11343571A (ja) | 1998-05-29 | 1998-05-29 | サセプター |
Publications (1)
Publication Number | Publication Date |
---|---|
TW456157B true TW456157B (en) | 2001-09-21 |
Family
ID=15478154
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW088104116A TW456157B (en) | 1998-05-29 | 1999-03-17 | Carrier |
Country Status (5)
Country | Link |
---|---|
US (1) | US6160244A (zh) |
EP (1) | EP0962961A3 (zh) |
JP (1) | JPH11343571A (zh) |
KR (1) | KR100295145B1 (zh) |
TW (1) | TW456157B (zh) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI469678B (en) * | 2009-01-05 | 2015-01-11 | Flexible heating device | |
TWI471054B (zh) * | 2007-11-19 | 2015-01-21 | Tokyo Electron Ltd | 平面狀加熱器 |
Families Citing this family (401)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6214121B1 (en) | 1999-07-07 | 2001-04-10 | Applied Materials, Inc. | Pedestal with a thermally controlled platen |
US6466426B1 (en) * | 1999-08-03 | 2002-10-15 | Applied Materials Inc. | Method and apparatus for thermal control of a semiconductor substrate |
SE515785C2 (sv) * | 2000-02-23 | 2001-10-08 | Obducat Ab | Anordning för homogen värmning av ett objekt och användning av anordningen |
US6554907B2 (en) | 2001-01-02 | 2003-04-29 | Applied Materials, Inc. | Susceptor with internal support |
US6623563B2 (en) * | 2001-01-02 | 2003-09-23 | Applied Materials, Inc. | Susceptor with bi-metal effect |
JP4331901B2 (ja) * | 2001-03-30 | 2009-09-16 | 日本碍子株式会社 | セラミックサセプターの支持構造 |
US6510888B1 (en) * | 2001-08-01 | 2003-01-28 | Applied Materials, Inc. | Substrate support and method of fabricating the same |
JP3897563B2 (ja) * | 2001-10-24 | 2007-03-28 | 日本碍子株式会社 | 加熱装置 |
JP3520074B2 (ja) * | 2002-03-28 | 2004-04-19 | 日本碍子株式会社 | セラミックサセプターの取付構造、セラミックサセプターの支持構造およびセラミックサセプターの支持部材 |
JP3534738B2 (ja) * | 2002-03-28 | 2004-06-07 | 日本碍子株式会社 | セラミックサセプターの取付構造、セラミックサセプターの支持構造およびセラミックサセプター用支持部材 |
JP4311910B2 (ja) * | 2002-04-15 | 2009-08-12 | 住友電気工業株式会社 | 半導体製造装置用保持体 |
US7608419B2 (en) * | 2003-11-13 | 2009-10-27 | California Institute Of Technology | Method and apparatus for detecting and quantifying bacterial spores on a surface |
JP2004253665A (ja) * | 2003-02-21 | 2004-09-09 | Sumitomo Electric Ind Ltd | 半導体製造装置用ウェハ保持体およびそれを搭載した半導体製造装置 |
JP3918806B2 (ja) * | 2003-11-20 | 2007-05-23 | 住友電気工業株式会社 | 被加熱物載置用ヒータ部材及び加熱処理装置 |
JP2005216759A (ja) * | 2004-01-30 | 2005-08-11 | Nhk Spring Co Ltd | ヒータユニット |
KR100750968B1 (ko) | 2005-06-07 | 2007-08-22 | 주식회사 알지비하이텍 | 플라즈마화학적기상증착 기구 내의 서셉터 구조 |
TWI329625B (en) * | 2005-07-04 | 2010-09-01 | Kyocera Corp | Bonded body, wafer support member using the same, and wafer treatment method |
KR100730379B1 (ko) * | 2005-07-26 | 2007-06-19 | (주)대하이노텍 | 화학 기상 증착장치의 히터모듈 |
JP4435742B2 (ja) * | 2005-08-09 | 2010-03-24 | 信越化学工業株式会社 | 加熱素子 |
KR101299495B1 (ko) * | 2005-12-08 | 2013-08-29 | 신에쓰 가가꾸 고교 가부시끼가이샤 | 세라믹스 히터, 히터 급전 부품 및 세라믹스 히터의제조방법 |
JP4615464B2 (ja) * | 2006-03-16 | 2011-01-19 | 東京エレクトロン株式会社 | プラズマ処理装置用電極アッセンブリ及びプラズマ処理装置 |
JP5057904B2 (ja) * | 2007-09-07 | 2012-10-24 | 株式会社日本セラテック | 温調プレートおよびその製造方法 |
JP5236927B2 (ja) * | 2007-10-26 | 2013-07-17 | 信越化学工業株式会社 | 耐腐食性積層セラミックス部材 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP5416570B2 (ja) * | 2009-12-15 | 2014-02-12 | 住友電気工業株式会社 | 加熱冷却デバイスおよびそれを搭載した装置 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
JP5882614B2 (ja) * | 2011-06-29 | 2016-03-09 | 株式会社日本セラテック | セラミックスヒータ |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9859142B2 (en) | 2011-10-20 | 2018-01-02 | Lam Research Corporation | Edge seal for lower electrode assembly |
US9869392B2 (en) | 2011-10-20 | 2018-01-16 | Lam Research Corporation | Edge seal for lower electrode assembly |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9948214B2 (en) * | 2012-04-26 | 2018-04-17 | Applied Materials, Inc. | High temperature electrostatic chuck with real-time heat zone regulating capability |
US8728832B2 (en) | 2012-05-07 | 2014-05-20 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
DE102012012968A1 (de) * | 2012-06-29 | 2014-01-02 | Bayerische Motoren Werke Aktiengesellschaft | Verfahren zur Herstellung einer Heizanordnung und Werkzeug umfassend die Heizanordnung |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9117866B2 (en) | 2012-07-31 | 2015-08-25 | Asm Ip Holding B.V. | Apparatus and method for calculating a wafer position in a processing chamber under process conditions |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US10177014B2 (en) * | 2012-12-14 | 2019-01-08 | Applied Materials, Inc. | Thermal radiation barrier for substrate processing chamber components |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
USD743357S1 (en) * | 2013-03-01 | 2015-11-17 | Asm Ip Holding B.V. | Susceptor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10090211B2 (en) | 2013-12-26 | 2018-10-02 | Lam Research Corporation | Edge seal for lower electrode assembly |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
KR102408404B1 (ko) * | 2015-11-04 | 2022-06-14 | (주)포인트엔지니어링 | 서셉터 및 이를 구비한 진공챔버 |
KR102420852B1 (ko) * | 2015-11-04 | 2022-07-15 | (주)포인트엔지니어링 | 서셉터 및 이를 포함하는 진공챔버 |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
JP6837806B2 (ja) * | 2016-10-31 | 2021-03-03 | 信越化学工業株式会社 | 加熱素子 |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
JP6902382B2 (ja) * | 2017-04-12 | 2021-07-14 | 日本発條株式会社 | ヒータユニット |
JP2018181586A (ja) | 2017-04-12 | 2018-11-15 | 日本発條株式会社 | シースヒータ |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) * | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
WO2020106649A1 (en) | 2018-11-19 | 2020-05-28 | Lam Research Corporation | Molybdenum templates for tungsten |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11562890B2 (en) * | 2018-12-06 | 2023-01-24 | Applied Materials, Inc. | Corrosion resistant ground shield of processing chamber |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
US20240234200A1 (en) * | 2021-05-28 | 2024-07-11 | Lam Research Corporation | Apparatuses for thermal management of a pedestal and chamber |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
JP1711119S (ja) * | 2021-10-22 | 2022-03-29 | サセプタリング |
Family Cites Families (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3110795A (en) * | 1959-09-17 | 1963-11-12 | Gen Motors Corp | Domestic electric appliance |
US3275801A (en) * | 1964-06-17 | 1966-09-27 | Walter A Churchill | Electrical heat exchanger |
EP0493089B1 (en) * | 1990-12-25 | 1998-09-16 | Ngk Insulators, Ltd. | Wafer heating apparatus and method for producing the same |
US5591269A (en) * | 1993-06-24 | 1997-01-07 | Tokyo Electron Limited | Vacuum processing apparatus |
JPH07297268A (ja) * | 1993-12-27 | 1995-11-10 | Shin Etsu Chem Co Ltd | 静電チャック付セラミックスヒーター |
JPH09213781A (ja) * | 1996-02-01 | 1997-08-15 | Tokyo Electron Ltd | 載置台構造及びそれを用いた処理装置 |
US5730803A (en) * | 1996-02-23 | 1998-03-24 | Applied Materials, Inc. | Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body |
-
1998
- 1998-05-29 JP JP10149573A patent/JPH11343571A/ja not_active Withdrawn
-
1999
- 1999-03-17 TW TW088104116A patent/TW456157B/zh not_active IP Right Cessation
- 1999-05-19 KR KR1019990017967A patent/KR100295145B1/ko not_active IP Right Cessation
- 1999-05-19 US US09/314,367 patent/US6160244A/en not_active Expired - Fee Related
- 1999-05-28 EP EP99304203A patent/EP0962961A3/en not_active Withdrawn
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI471054B (zh) * | 2007-11-19 | 2015-01-21 | Tokyo Electron Ltd | 平面狀加熱器 |
TWI469678B (en) * | 2009-01-05 | 2015-01-11 | Flexible heating device |
Also Published As
Publication number | Publication date |
---|---|
JPH11343571A (ja) | 1999-12-14 |
EP0962961A3 (en) | 2003-08-13 |
KR100295145B1 (ko) | 2001-07-12 |
US6160244A (en) | 2000-12-12 |
EP0962961A2 (en) | 1999-12-08 |
KR19990088384A (ko) | 1999-12-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW456157B (en) | Carrier | |
TW575934B (en) | A heating apparatus | |
KR20190064679A (ko) | 금속 본딩된 보호 층을 갖는 기판 지지 조립체 | |
CN104582026B (zh) | 一种金属/陶瓷层状复合材料内加热器 | |
JP4005268B2 (ja) | セラミックスと金属との接合構造およびこれに使用する中間挿入材 | |
TW201036103A (en) | Wafer retainer for improving a method of connecting a high-frequency electrode, and semiconductor production device on which the wafer retainer is mounted | |
CN105612019A (zh) | 用于实现金属-陶瓷焊接连接的方法 | |
CN103171207A (zh) | 一种热沉材料及其制备方法 | |
TW200532842A (en) | Electrostatic chuck including a heater mechanism | |
TW440893B (en) | Semiconductor supporting device and its manufacture, composite body and its manufacture | |
Li et al. | Electric current–assisted direct joining of silicon carbide | |
CN104711457B (zh) | 一种高温焊料及其应用 | |
JPH09243201A (ja) | 熱電変換装置およびその製造方法 | |
US5534091A (en) | Joining method of ceramics and insertion member for heating and joining for use in the method | |
GB2288110A (en) | Heater or temperature sensor using a layer of metal matrix compound | |
CN105296918A (zh) | 一种金属钨表面Al2O3-SiO2高温绝缘涂层及其制备方法 | |
JPH0870036A (ja) | 静電チャック | |
JPH09205134A (ja) | 静電チャック | |
CN112144011B (zh) | 在钼及其合金保护管表面制备抗氧化涂层的方法 | |
JPH03237074A (ja) | セラミックスと金属の複合体および複合化方法 | |
TWI619561B (zh) | Rotating target | |
TWI229062B (en) | Refractory thermal insulation materials for microwave heating furnace | |
CN2867054Y (zh) | 一种管道电热电磁加热器 | |
Zhong et al. | Interfacial reaction and diffusion control between SiC and F82H steel | |
JP5571472B2 (ja) | シャフト付きヒータユニットおよびシャフト付きヒータユニット製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GD4A | Issue of patent certificate for granted invention patent | ||
MM4A | Annulment or lapse of patent due to non-payment of fees |