KR19990088384A - 서셉터 - Google Patents

서셉터 Download PDF

Info

Publication number
KR19990088384A
KR19990088384A KR1019990017967A KR19990017967A KR19990088384A KR 19990088384 A KR19990088384 A KR 19990088384A KR 1019990017967 A KR1019990017967 A KR 1019990017967A KR 19990017967 A KR19990017967 A KR 19990017967A KR 19990088384 A KR19990088384 A KR 19990088384A
Authority
KR
South Korea
Prior art keywords
heat
planar
transfer layer
heat transfer
susceptor
Prior art date
Application number
KR1019990017967A
Other languages
English (en)
Other versions
KR100295145B1 (ko
Inventor
오하시쯔네아키
Original Assignee
시바타 마사하루
니뽄 가이시 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 시바타 마사하루, 니뽄 가이시 가부시키가이샤 filed Critical 시바타 마사하루
Publication of KR19990088384A publication Critical patent/KR19990088384A/ko
Application granted granted Critical
Publication of KR100295145B1 publication Critical patent/KR100295145B1/ko

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Resistance Heating (AREA)
  • Surface Heating Bodies (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

본 발명은 면형 전열층과 이것을 지지하는 지지관 등을 구비하는 서셉터에 있어서, 면형 전열층의 가열면에서의 온도 분포를 작게 하는 것을 과제로 한다.
서셉터(1A)는 면형 전열층(3A)과, 면형 전열층(3A)을 배면(4b) 쪽에서 지지하는 지지 부재(12)와, 제1 열 차폐부(7A)와, 제2 열 차폐부(25A)를 구비하고 있다. 면형 전열층(3A)은 피가열체(2)를 가열하는 가열면(4a)과, 배면(4b)을 구비하고 있다. 제1 열 차폐부(7A)는 면형 전열층(3A)의 배면(4b)과 지지 부재(12) 사이에 설치되어 있고, 면형 전열층의 배면으로부터 지지 부재를 향한 열류를 제한한다. 제2 열 차폐부(25A)는 제1 열 차폐부(7A)와 지지 부재(12) 사이에 설치되어 있고, 제1 열 차폐부로부터 지지 부재로의 열류를 제한한다.

Description

서셉터{SUSCEPTORS}
본 발명은 반도체 웨이퍼, 액정 패널, 태양 전지용의 실리콘 단결정 웨이퍼등을 지지하고, 가열하기 위한 서셉터(susceptor)에 관한 것이다.
반도체 소자, 액정 표시 장치 패널, 실리콘 단결정 웨이퍼 등을 지지하고 가열하기 위한 서셉터에 있어서, 서셉터에 발열 소자를 매립하고, 서셉터를 가늘고 긴 지지봉과 지지관 내지 보호관 내에 수용하는 형태가 제안되고 있다.
최근, 반도체 소자를 얻기 위한 웨이퍼의 직경을 크게 하거나, 혹은 액정 패널을 대형화하는 필요성이 증대되기 때문에 서셉터의 대형화가 요구되고 있다. 이 때문에, 서셉터의 면형(面狀) 전열층의 직경은 예컨대 직경 ψ300 mm 이상으로 하는 것이 요구되고 있다. 그러나, 면형 전열층의 직경이 커지면, 면형 전열층의 가열면의 온도의 균일성을 유지하는 것이 대단히 곤란하게 되어, 해결 곤란한 문제를 가져오게 된다. 왜냐하면, 면형 전열층의 가열면의 온도 분포가 불균일해 지면, 불량품이 발생하기 때문이다. 특히, 서셉터를 대형화하기 위해서, 복수, 예컨대 3 개의 지지봉과 보호관을 면형 전열층에 부착하면, 이러한 가열면의 온도의 불균일이 특히 커진다.
본 발명의 과제는 면형 전열층과 이것을 지지하는 지지관 등을 구비하는 서셉터에 있어서, 면형 전열층의 가열면에서의 온도 분포를 작게 할 수 있도록 하는 것이다.
도 1은 서셉터(1A)의 개략적인 종단면도.
도 2(a)는 배면판(8)의 평면도이고, 도 2(b)는 배면판(8)의 종단면도.
도 3은 지지관(12)의 종단면도.
도 4(a)는 면형 전열층의 기부(4)를 그 배면측에서 본 평면도이고, 사선은 접합층이 존재하는 영역을 나타내며, 도 4(b)는 홈(15)의 주변을 확대한 주요부 단면도.
도 5는 다른 실시예에 따른 서셉터(1B)의 개략적인 종단면도.
도 6(a)는 프레임형 단열재(16A, 16B)의 평면도이고, 도 6(b)는 링형 단열재(20)의 평면도.
도 7은 홈(23)의 주변을 확대한 주요부 단면도.
<도면의 주요부분에 대한 부호의 설명>
1A, 1B: 서셉터
2: 피가열체
3A, 3B: 면형 전열층
4: 기부
4a: 면형 전열층(기부)의 가열면
4b: 면형 전열층(기부)의 배면
5: 발열 소자
6A, 6B, 6C: 피막
7A, 7B: 제1 열 차폐부
8: 배면판
8a: 배면판(8)의 표면
8b: 배면판(8)의 배면
8c: 배면판(8)의 돌기(지지대 부분)
9: 제1 접합층
11: 제2 접합층
12: 지지관
25A, 25B: 제2 열 차폐부
본 발명은 피가열체를 가열하는 가열면과 배면을 구비하는 면형 전열층과, 이 면형 전열층을 배면측에서 지지하는 지지 부재와, 면형 전열층의 배면과 지지 부재 사이에 설치되고 있고, 면형 전열층의 배면으로부터 지지 부재로의 열류(熱流)를 제한하는 제1 열 차폐부 및 이 제1 열 차폐부와 지지 부재 사이에 설치되고 있고, 제1 열 차폐부로부터 지지 부재로의 열류를 제한하는 제2 열 차폐부를 구비하는 것을 특징으로 한다.
본 발명자는 서셉터를 대형화하였을 때와, 지지관과 지지봉을 면형 전열층에 복수 개 설치한 경우에, 가열면의 온도의 편차가 커지는 이유에 대하여 검토하였다. 통상, 서셉터의 가열면의 온도를 균일하게 하기 위해서는, 면형 전열층내의 발열체의 평면 패턴의 설계를 최적으로 한다. 그러나, 지지봉이나 지지관 등을 통과하여 서셉터 외부로 빠져나가는 열량은 주위 온도, 사용 조건, 그리고 목적으로 하는 온도 영역에 따라서 현저히 변동한다. 이 때문에, 예컨대 소정 온도 영역과 소정 조건하에서, 가열면의 온도 분포를 최적화할 수 있도록 발열체의 평면 패턴을 설계하여 제조한 경우에서도, 사용 조건, 사용 온도가 변화하면 가열면의 온도 분포가 현저히 열악해지는 경향이 있었다.
본 발명자는 면형 전열층의 배면과 지지 부재 사이에 제1 열 차폐부와 제2 열 차폐부를 설치하고, 제1 열 차폐부에 의해 면형 전열층의 배면으로부터 지지부재를 향한 열류를 제한하고, 제2 열 차폐부에 의해 제1 열 차폐부로부터 지지 부재로의 열류를 제한하는 것을 착안하였다. 이와 같이 2 개 단계로 열 차폐층을 설치함으로써, 면형 전열층의 가열면의 온도의 균일성이 향상되고, 종래보다도 폭넓은 온도 범위와 사용 조건에서 규정 범위내의 양호한 온도 분포를 실현할 수 있었다. 특히, 면형 전열층의 직경을 크게 한 경우와 면형 전열층에 복수의 지지 부재를 부착한 경우에 가열면의 온도 분포가 현저히 개선되었다.
본 발명은 대형의 서셉터에 특히 적합하고, 특히 면형 전열층의 직경이 300 mm 이상인 서셉터에 대하여 적합하다. 또한, 지지봉, 지지관 등의 지지 부재의 개수에도 제한은 없지만, 지지 부재가 복수인 경우에는 2 개 이상, 3 개 이하인 경우에 특히 유효하고, 4 개 이상, 20 개 이하인 경우에 특히 유용하다.
면형 전열층을 적외선 램프 등의 외부 열원에 의해 가열할 수도 있다. 그러나, 적합한 양태에 있어서는, 면형 전열층이 기부와 이 기부 속에 설치되는 발열 소자를 구비한다. 이 경우에는, 제1 열 차폐부 및 제2 열 차폐부가 면형 전열층 속의 발열 소자를 서셉터 주위의 분위기로부터 보호하는 밀봉 부분으로서 기능하기 때문에 발열 소자의 수명이 길어진다.
더욱 적합한 양태에 있어서는, 기부에 배면 쪽으로 개방된 홈이 설치되고, 이 홈 속에 발열 소자가 매립된다.
면형 전열층의 기부, 지지 부재 및 후술하는 배면판의 각 재질은 다음과 같은 것이 바람직하다.
(1) 질화알루미늄, 알루미나, 뮬라이트 등의 세라믹
(2) 알루미늄 합금, 스테인레스 강, 코발트, 철-니켈계 저팽창 합금(예컨대 Fe-42Ni), 초합금, Fe-Cr-Al계 내열 합금, Ni-Cr계 내열 합금 등의 합금류
(3) (1)의 각 세라믹(2)과 각 합금의 복합 재료
이 중, 세라믹과 금속의 복합 재료는 세라믹기(基) 복합 재료와 금속기 복합 재료의 양쪽을 포함한다. 예컨대, 용융 금속을 세라믹제 예비 성형체중에 침투시킨 것, 분말 야금법(분말 성형+소성)으로 형성한 것이 있다. 세라믹 예비 성형체중에 금속의 침투는 가압하에서 행하는 경우와, 침투 보조제를 사용하여 무가압하 또는 상압(常壓)하에서 행하는 경우가 있다. 분말 야금법을 이용하는 경우에는 분말의 성형체중에 소결 보조제를 함유시킬 수 있다.
특히 적합한 복합 재료에 대하여 설명한다. 예비 성형체를 구성하는 세라믹은 알루미늄 또는 알루미늄 합금이 침투 가능하다면 한정되지 않지만, 알루미늄계 세라믹이 바람직하고, 알루미나 또는 질화알루미늄이 특히 바람직하다.
예비 성형체를 제조하기 위해서는, 예컨대 소정의 세라믹 입자를 이소프로판올 등의 용매에 분산시킨 후, 액상 아크릴 공중합물 바인더(binder) 등의 유기 바인더와 혼합시켜, 대형 포트 밀(pot mill)에서 2∼40 시간 교반 혼합하여 슬러리를 형성한다. 그 후, 슬러리를 방폭(防爆)형 스프레이 드라이기를 사용하여, 입경(粒徑) 30∼100 ㎛로 조립(造粒)한다. 이어서, 조립 분말을 소정의 금형에 넣어, 유압 프레스 등에 의해 200∼7000 kgf/㎠의 압력으로 가압 성형함으로써, 예비 성형체를 제조한다.
또, 유기 바인더에 의해 슬러리를 제조하는 대신에, 세라믹스 입자에 에탄올 등을 분무에 의해 혼합시킨 분말을 얻고 이것을 상기와 같이 가압 성형함으로써 예비 성형체를 제조할 수도 있다.
예비 성형체중에 알루미늄 또는 알루미늄 합금을 침투시킬 때에는, 예컨대, 자발 침투법, 가압 침투법 또는 진공 침투법을 이용할 수 있다.
바람직하게는, 제1 열 차폐부가 배면판을 구비하고 있고, 이 배면판의 열 전도율이 면형 전열층의 열 전도율의 1/2 이하(특히 바람직하게는 1/8 이하)이고, 이 배면판에 의해, 면형 전열층의 배면 쪽으로부터의 열류를 제한한다.
면형 전열층, 지지 부재 및 필요에 따라서 배면판을 서로 일체화하는 방법은 특히 제한되지 않지만, 브레이징 또는 솔더링이 바람직하다. 또한, 면형 전열층, 배면판 및 지지 부재 등의 각 구조 부재 사이에 금속 밀봉 부재를 삽입하고, 각 구조 부재를 볼트에 의해 체결할 수 있다.
서셉터의 각 구성 부재를 브레이징이나 솔더링하여, 이들 금속으로 이루어지는 접합층에 의해 접합한 경우에는, 이 접합층의 면적을 감소시킴으로써, 제1 열 차폐부와 제2 열 차폐부에서의 열류를 제한할 수 있다.
이 경우에 특히 바람직하게는, 제1 열 차폐부가 배면판과, 이 배면판을 면형 전열층에 접합하는 제1 접합층을 구비하며, 제1 접합층의 면적을 1로 하였을 때 면형 전열층의 배면의 면적은 3-300이다.
또한 바람직하게는, 배면판과 지지 부재가 직접 또는 단열 부재를 통해 제2 접합층에 의해 접합되며, 제2 접합층의 면적을 1로 하였을 때의 배면판의 지지 부재측의 면적은 20∼10000이다.
이 단열 부재는 다음 중 어느 하나의 것이다.
(1) 단열 부재의 열 전도율이 배면판의 열 전도율의 1/2 이하이다.
(2) 단열 부재의 중앙부에 공동 내지 관통공이 설치되어 있거나, 단열 부재의 표면에 홈이 설치되기 때문에, 단열 부재에서 전도되는 열류가 감소한다.
본 발명의 적합한 양태에서는, 면형 전열층이 도전성 재료로 이루어지고, 적어도 면형 전열층의 가열면이 유전(誘電)층에 의해 피복되어 있고, 면형 전열층과 피가열체 사이에 직류 전압을 인가함으로써 면형 전열층에 대하여 피가열체를 흡착할 수 있도록 구성되어 있다. 이로써, 특히 면적이 큰(예컨대 700 평방 센티미터 이상) 가열면을 구비하는 대형의 정전 척(electrostatic chuck)을 제공할 수 있다.
다른 적합한 양태에서는, 면형 전열층, 제1 열 차폐부, 제2 열 차폐부 및 지지 부재가 도전성을 가지며, 지지 부재가 접지되어 있다. 이로써, 별도의 접지선을 설치할 필요가 없다.
서셉터의 각 구성 부재를 서로 납땜할 때에는, 납재는 특히 한정되지 않지만, 할로겐계 부식성 가스에 대한 내식성의 관점에서, 알루미늄 합금 납, 금 합금 납 및 동 합금 납이 바람직하다. 특히, 구성 부재에 알루미늄/금속 복합 재료를 사용하는 경우에는 알루미늄 합금 납이 바람직하다.
알루미늄 합금 납에 있어서, 바람직하게는 알루미늄 합금중에 마그네슘, 티타늄, 지르코늄 및 하프늄으로 이루어지는 군(群)에서 선택된 1 종 이상의 활성 금속이 첨가되고, 알루미늄 함유량이 70 mo1% 이상인 알루미늄 합금으로 이루어진다.
바람직하게는, 이 알루미늄 합금은 마그네슘, 티타늄, 지르코늄 및 하프늄으로 이루어지는 군에서 선택된 1 종 이상의 활성 금속(특히 바람직하게는 마그네슘)을 1 mo1% 이상, 10 mo1% 이하 함유한다.
활성 금속의 비율을 1 mo1% 이상으로 함으로써, 기재중의 금속 성분이나 강화재의 친화성이 향상된다. 활성 금속의 비율을 10 mol% 이하로 하여 취화(脆化)의 원인이 되는 금속간 화합물 등의 국소적인 생성을 억제할 수 있다.
또, 합금 납중의 알루미늄의 함유량은, 금속의 전 함유량을 100 mo1% 로 할 때 활성 금속 성분의 함유량 및 후술하는 제3 성분의 함유량의 합계를 100 mo1%로부터 뺀 나머지부이다.
합금 납중에는 제3 성분을 함유시킬 수 있다. 제3 성분으로서 규소 또는 붕소를 사용하는 것이 알루미늄에 영향을 주지 않은 점에서 바람직하다. 이러한 제3 성분의 작용은 융점의 강하이다. 동일 온도라도, 제3 성분을 첨가함으로써, 납의 유동성이 좋아진다. 제3 성분의 함유 비율은 1.5∼10 mo1%로 하면 더욱 바람직하다.
또, 납을 구성하는 합금은 마그네슘을 1∼6 mol% 함유하고, 규소를 1.5∼10 mo1% 함유하는 것이 바람직하다.
납땜 전에, 각 구성 부재의 접합면에 마그네슘, 티타늄, 지르코늄 및 하프늄으로 이루어지는 군에서 선택된 1 종 이상의 금속으로 이루어지는 막을 스퍼터(sputter), 증착, 마찰 압접, 도금 등의 방법에 의해 설치할 수 있다. 또한, 각 구성 부재의 각 접합면 사이에 마그네슘, 티타늄, 지르코늄 및 하프늄으로 이루어지는 군에서 선택된 1 종 이상의 금속으로 이루어지는 박을 개재시킬 수 있다.
또한, 납땜시의 열 처리 전에, 각 구성 부재의 각 접합면을 산용액 또는 알칼리 용액으로 세정함으로써, 각 접합면상의 산화막과 질화막의 적어도 한 쪽을 제거하는 것이 바람직하다.
발열 소자는 다음의 것이 바람직하다.
(1) 선형 또는 리본형의 몰리브덴실리사이드, 니켈-크롬 합금, 니켈-크롬-알루미늄 합금을 발열체로 하여, 이 발열체의 표면에, 세라믹 본드나 시멘트류(포틀랜드 시멘트와 알루미나 시멘트를 포함함)를 도포하여 고화시킨 것. 발열체의 표면에 세라믹질의 분말이나 금속 알콕사이드(alkoxide)의 졸겔 코팅(sol/gel coating)을 실시할 수 있다.
(2) 산화마그네슘, 질화알루미늄 등의 절연성 세라믹 입자를 충전한 스테인레스 강의 파이프 등의 중앙 공동(空洞)에, (1)에서 말한 발열체를 매설한 것(소위 시스 히터).
발열 소자의 평면 패턴은 1 구역(zone)이어도 2 구역이어도 좋지만, 1 구역이 특히 바람직하다.
면형 전열층, 배면판 및 지지 부재 등을 피복하는 피막의 재질은 질화알루미늄, 알루미나, 뮬라이트, 탄화붕소, 불화알루미늄, 희토류 및/또는 알칼리토류 금속 원소의 플루오르화물, 산화이트륨 안정화 지르코니아 등의 산화이트륨-알루미나계 등의 세라믹질 재료 및 이들의 각 재질을 복합화한 복합 재료인 것이 바람직하다. 피막을 형성하는 방법으로는 분말의 도포 및 베이킹, 용사(溶射)법, 졸겔법이 바람직하다. 또한, 서셉터의 구성 부재의 재질로서, 질화알루미늄/알루미늄, 알루미늄/탄화규소 등의 알루미늄 합금기 복합 재료를 사용하는 경우에는, 구성 부재를 산화 처리함으로써 구성 부재의 표면에 알루미나막을 생성시킬 수 있다.
서셉터의 내열 사이클성의 관점에서는, 서셉터의 구성 부재와 그 피막 사이의 열팽창 계수의 차를 1×10-6/℃ 이하로 하는 것이 바람직하다.
도 1은 일실시예에 따른 서셉터(1A)를 개략적으로 나타내는 종단면도이고, 도 2(a)는 배면판(8)의 평면도이고, 도 2(b)는 배면판(8)의 단면도이고, 도 3는 지지관(12)의 종단면도이고, 도 4(a)는 기부(4)의 배면(4b)측의 접합층의 패턴을 나타내는 평면도이고, 도 4(b)는 기부(4)의 홈의 주변 형태를 확대하여 나타내는 주요부 단면도이다.
서셉터(1A)는 면형 전열층(3A), 제1 열 차폐부(7A), 제2 열 차폐부(25A) 및 지지 부재(12)로 이루어진다. 면형 전열층(3A)에 있어서, 평판형 기부(4)의 가열면(4a)과 측면이 피막(6A)에 의해 피복되어 있다. 가열면(4a)상에는 피가열체(2)가 설치된다. 기부(4) 속에는 도 4(a)에 나타나는 평면 패턴의 홈(15)이 형성되어 있고, 홈(15)은 배면(4b) 쪽으로 개방되어 있다. 홈(15) 속에는 발열 소자(5)가 수용되어 있다. 도면 부호 4c는 전력 공급 케이블이나 열전대(thermocouple) 등을 삽입 통과시키기 위한 관통공이다.
면형 전열층(3A)의 배면(4b) 측에는 배면판(8)이 제1 접합층(9)에 의해 접합되어 있다. 접합층(9)은 배면판(8)과 배면(4b)의 전체면에 개재된 것이 아니라, 도 4(a)에 나타난 평면 패턴에 따라서 설치되어 있다. 도면 부호 10은 기부(4)와 배면판(8) 사이의 빈 틈이다. 이 결과, 제1 접합층(9)의 면적을 1로 하였을 때 배면(4b)의 면적은 3∼300이다. 도 4(b)에 도시하는 바와 같이, 홈(15)에 있어서, 발열 소자(5)의 하측에는 스페이서(16A)가 수용되어 있으며, 스페이서(16A)가 배면판(8)의 표면(8a)에 접촉되어 있다. 이로써, 서셉터의 사용 중에, 발열 소자(5)가 배면판(8)에 직접 접촉하지 않고, 이에 따른 가열면의 온도의 균일성의 악화를 방지할 수 있다. 또, 도 1에서는, 도 2∼도 4에 나타난 각 구성 부재의 세부 도시를 생략한다.
도 2(a), 도 2(b)에 도시하는 바와 같이, 배면판(8)의 중앙부에는, 배면(8b)측에 돌기(8c)가 설치되고 있고, 돌기(8c)의 위치에서 표면(8a)와 배면(8b) 사이에 관통공(8d)이 설치되어 있다. 배면판(8)의 배면(8b) 및 측면은 피막(6B)에 의해 피복되어 있다.
도 3에 도시하는 바와 같이, 지지관(12)은 본체(12a)와, 본체(12a)의 한 쪽 단부에 설치되어 있는 플랜지부(12b)와, 본체의 반대측 단부에 설치되어 있는 플랜지부(12c)를 구비하고 있다. 본체(12a)로부터 플랜지부(12b) 쪽으로 점차 직경이 커지는 직경 확대부(12d)가 설치되어 있다.
지지관(12)의 표면은 피막(6C)에 의해 피복되어 있다. 지지관(12)의 플랜지부(12b)가 제2 접합층(11)에 의해 배면판(8)의 돌기(8c)에 대하여 접합되어 있다. 제2 접합층도 플랜지부(12b)의 전체면에 접합되어 있는 것은 아니며, 제2 접합층이 없는 영역에 간극(10)이 형성되어 있다. 지지관(12)의 중공부와 배면판(8)의 관통공(8d)은 서로 연통되고 있고, 이들 속에 도시하지 않은 발열 소자용의 전력 공급 케이블이나, 필요에 따라서 열전대 등의 다른 전기 배선이 삽입되어 있다.
도 5는 다른 실시예에 따른 서셉터(1B)를 나타내는 종단면도이고, 도 6(a)는 단열재(16A, 16B)를 나타내는 평면도이고, 도 6(b)는 단열재(20)를 나타내는 평면도이고, 도 7은 홈(23)의 주변을 확대하여 나타낸 주요부 단면도이다. 서셉터(1B)는 면형 전열층(3B), 제1 열 차폐부(7B), 제2 열 차폐부(25B) 및 지지관(12)으로 이루어진다. 면형 전열층(3B)에 있어서, 평판 형상의 기부(4)의 가열면(4a)과 측면이 피막(6A)에 의해 피복되어 있다. 가열면(4a)상에는 피가열체(2)가 설치되어 있다. 기부(4) 속에는 예컨대 도 4(a)에 나타낸 평면 패턴의 홈(23)이 형성되어 있고, 도 7에 도시하는 바와 같이, 홈(23)은 배면(4b) 쪽으로 개방되어 있다(도 5에는 홈 미도시). 홈(23) 속에는 발열 소자(5)가 수용되어 있고, 발열 소자(5)의 하측에는 스페이서(16B)가 수용되어 있고, 이 스페이서(16B)는 배면판(8)의 표면(8a)에 접촉되어 있다.
면형 전열층(3B)의 배면(4b) 쪽에는, 배면판(8)이 단열재(16A, 16B)를 통해 접합되어 있다. 여기서, 단열재(16A, 16B)는 도 6(a)에 도시된 바와 같이 거의 장방형 프레임 형태를 이루고 있고, 단열재(16B)의 주위를 둘러싸도록 단열재(16A)가 설치되어 있다. 단열재(16A, 16B)는 배면판(8)의 표면(8a) 및 면형 전열층의 배면(4b)에 대하여 각각 접합층(9)에 의해 접합되어 있고, 단열재(16A, 16B)가 없는 영역에 간극(18)이 형성되어 있다. 이 결과, 제1 접합층(9)의 면적을 1로 하였을 때 배면(4b)의 면적은 3∼300이다. 배면판(8)의 형태는 전술하였다.
도 5에 도시하는 바와 같이, 지지관(12)은 본체(12a)와, 본체(12a)의 한 쪽 단부에 설치되어 있는 플랜지부(12b)와, 본체의 반대측의 단부에 설치되어 있는 플랜지부(12c)를 구비하고 있다. 전술한 바와 같은 지름 확대부(12d)를 설치할 수도있다. 지지관(12)의 표면은 피막(6C)에 의해 피복되어 있다.
지지관(12)의 플랜지부(12b)와 배면판(8)의 돌기(8c) 사이에는 도 6(b)에 나타낸 링형 단열재(20)가 개재되고, 단열재(20)는 제2 접합층(11)에 의해 배면판(8)의 돌기(8c)와 지지관(12)의 플랜지부(12b)에 대해 접합되어 있다. 지지관(12)의 중공부와, 단열재(20)의 관통공(20a)와, 배면판(8)의 관통공(8d)은 서로 연통되어 있으며, 이들 속에는, 도시하지 않은 발열 소자용의 전력 공급 케이블이나, 필요에 따라서 열전대 등의 다른 전기 배선이 삽입되어 있다.
또, 도 5∼도 7의 서셉터는 열 차폐부(7B, 25B)에 의해 가열면이 지지관(12)과 전기적으로 절연되어 있기 때문에, 가열면측에 대하여 금속 케이블이나 금속 로드를 접속함으로써, 정전 척으로서 동작시킬 수 있다.
또한, 도 1∼도 4의 서셉터에서도, 지지관의 부착 부분에 애자를 삽입함으로써, 전기적 절연 처리를 실시하면, 정전 척으로서 동작시킬 수 있다. 또한, 마찬가지로, 고주파 발생용 전극으로서의 기능을 부여할 수도 있다.
이하, 특히 적합한 실시예에 대하여 서술한다.
실시예 1
도 1∼도 4에 도시한 서셉터(1A)를 제조하였다. 구체적으로는 평균 입경 16 ㎛의 질화알루미늄 입자를 이소프로판올 용매중에 분산시켜, 액상 아크릴 공중합물 바인더를 첨가하고, 대형 포트 밀에서 4 시간 교반 혼합시켜, 슬러리를 얻었다. 이 슬러리를 방폭형 스프레이 드라이기에 의해 조립시켜, 입경 약 150 ㎛의 구(球)상 조립 분말을 얻었다. 이 조립 분말을 소정의 금형에 충전하고, 유압 프레스를 사용하여 200 kgf/㎠의 압력으로 일축 가압 성형하여, 직경 380, 두께 30 mm의 대형 예비 성형체를 제조하였다.
이 예비 성형체를 충분히 건조, 탈지시킨 후, 알루미늄 합금(알루미늄 92.6 mo1%, 마그네슘 5.5 mo1%, 실리콘 1.9 mo1%)의 용융액에, 질소-1% 수소 분위기, 1.5 기압의 압력, 900 ℃에서 24 시간 접촉시켜, 비가압 금속 침투법에 의해, 알루미늄을 함침시키고, 예비 성형체를 용융액으로부터 끌어 올려, 알루미늄기 복합 재료를 얻었다. 질화알루미늄의 중량비는 70%이고, 복합 재료의 열팽창율은 8.7×10-6/℃이고, 열 전도율은 170 W/mK이고, 비저항은 5×10-5Ω·cm 이다.
이 복합 재료로부터, 면형 전열층의 기부(4), 배면판(8) 및 지지관(12)을 제작하였다. 기부(4)의 외형 치수는 400 mm ×500 mm ×25 mm로 하고, 홈(15)의 폭은 13 mm로 하며, 최대 깊이는 15 mm로 하였다. 배면판(8)의 치수는 400 mm ×500 mm ×7.8 mm로 하였다. 돌기(8c)의 배면(8b)으로부터의 높이는 4.2 mm로 하고, 돌기(8c) 부분의 두께는 12 mm로 하고, 돌기(8c)의 직경은 50 mm로 하였다. 지지관의 본체(12a) 두께는 2.5 mm∼3.5 mm로 하였으며, 외형은 35 mm로 하였다. 플랜지부(12b)의 외경은 50 mm로 하고, 두께는 4 mm로 하였다. 플랜지부(12c)의 외경은 50 mm로 하고, 두께는 8 mm로 하였다.
이상의 각 구성 부품을 대기중에 1050℃에서 5시간 유지하여, 각 표면에 알루미나막을 생성시켰다. 이어서, 기부(4)의 배면(4b), 배면판(8)의 표면(8a), 돌기(8c)의 표면 및 지지관의 플랜지부의 표면을 연삭 가공 또는 연마 가공함으로써, 복합재의 신선한 표면을 노출시켰다.
스테인레스 강제의 파이프로 싸인 시스 히터(sheath heater) 속에 산화마그네슘 입자를 충전하고, 이 속에 니켈-크롬 합금선을 삽입하여, 발열 소자로서 사용하였다. 발열 소자를 홈 속에 매립하고, 12개의 알루미늄 합금제 블록을 스페이서로서 발열 소자 아래에 배치하였다. 니켈제 파워 피드(power feed) 세트에 중공 샤프트를 통과시켜, 접합해야 할 부분에 두께 0.1 mm의 Al-10Si-2Mg제 쉬트를 삽입하였다. 각 접합 부분에는 미리 니켈제 도금을 실시하였다. 이들 조립체의 위에 6 kg의 추를 배치하고, 진공 속에서 브레이징하여 각 구성 부재를 접합하였다.
실시예 2
도 5∼도 7에 도시한 서셉터를 제작하였다. 구체적으로는, 실시예 1과 동일하게, 기부(4), 배면판(8) 및 지지관(12)을 준비하였다. 프레임형 단열재(16A, 16B)의 재질은 순도 95% 이상의 알루미나로 하였다. 각 단열재의 두께는 어느 것이나 5 mm이고 폭은 10 mm 이다. 외측의 단열재(16A)의 외형 치수는 400 mm ×500 mm이고, 내측 단열재(16B)의 치수는 200 mm ×200 mm 이다. 또, 링형 단열재(20)의 재질을 순도 98% 이상의 알루미나로 하여, 외경을 50 mm로 하고, 내경을 40 mm로 하며, 두께를 5 mm로 하였다. 모든 단열재에 대해서 스퍼터링(sputtering)법에 의해 니켈막을 형성하였다.
실시예 1과 같은 발열 소자를 준비하여 홈 속에 매설하였다. 12 개의 알루미나제 블록을 스페이서로서 부착하고, 파워 피드 세트에 중공 샤프트를 통과시켰다. 제1 접합층(9) 및 제2 접합층(11)의 각 재질로는 두께 0.1 mm의 Al-10Si-2Mg 합금납재를 사용하였다. 이 조립체의 위에 6 kg의 추를 배치하고, 진공중에서 브레이징하여, 각 구성 부품을 접합하였다. 이어서, 용사법에 의해, 면형 전열층의 가열면에 약 100 ㎛ 두께의 알루미나층을 형성하였다.
전술한 것으로부터 명백하듯이, 면형 전열층과 이것을 지지하는 지지관 등을 구비하고 있는 서셉터에 있어서, 면형 전열층의 가열면에서의 온도 분포를 작게 할 수 있다.

Claims (9)

  1. 피가열체를 가열하는 가열면 및 배면을 구비하는 면형(面狀) 전열층과, 이 면형 전열층을 상기 배면 쪽에서 지지하는 지지 부재와, 상기 면형 전열층의 배면과 상기 지지 부재 사이에 배치되어 면형 전열체의 배면에서 지지 부재를 향한 열류(熱流)를 제한하는 제1 열 차폐부와, 이 제1 열 차폐부와 지지 부재와의 사이에 배치되어 상기 제1 열 차폐부로부터 지지 부재를 향한 열류를 제한하는 제2 열 차폐부를 구비하는 것을 특징으로 하는 서셉터(susceptor).
  2. 제1항에 있어서, 상기 면형 전열층은 질화알루미늄과 알루미늄의 복합 재료로 이루어지는 것을 특징으로 하는 서셉터.
  3. 제1항 또는 제2항에 있어서, 상기 면형 전열층은 기부와 이 기부 내에 배치되는 발열 소자를 구비하는 것을 특징으로 하는 서셉터.
  4. 제3항에 있어서, 상기 기부에는 상기 배면 쪽으로 개방된 홈이 마련되고, 이 홈 속에 상기 발열 소자가 매립되는 것을 특징으로 하는 서셉터.
  5. 제1항에 있어서, 상기 제1 열 차폐부는 배면판을 구비하며, 이 배면판의 열 전도율은 상기 면형 전열층의 열 전도율의 1/2 이하인 것을 특징으로 하는 서셉터.
  6. 제1항에 있어서, 상기 제1 열차폐부는 배면판과, 이 배면판을 상기 면형 전열층에 접합시키는 제1 접합층을 구비하며, 상기 제1 접합층의 면적을 1로 했을 때 면형 전열층의 상기 배면의 면적은 3~300이 되는 것을 특징으로 하는 서셉터.
  7. 제5항 또는 제6항에 있어서, 상기 배면판과 상기 지지 부재는 직접 또는 단열 부재를 통해 제2 접합층에 의해 접합되며, 이 제2 접합층의 면적을 1로 했을 때 상기 지지 부재 쪽의 배면판 면적은 20~10000이 되는 것을 특징으로 하는 서셉터.
  8. 제1항 또는 제2항에 있어서, 상기 면형 전열층은 전도성 재료로 되어 있으며, 적어도 상기 면형 전열층의 상기 가열면은 유전(誘電)층에 의해 피복되며, 면형 전열층과 상기 피가열체 사이에 직류 전압을 인가함으로써 면형 전열층에 대해 피가열체를 흡착시킬 수 있도록 구성되는 것을 특징으로 하는 서셉터.
  9. 제1항 또는 제2항에 있어서, 상기 면형 전열층과, 상기 제1 열 차폐부와, 상기 제2 열 차폐부와, 상기 지지 부재는 전도성을 가지며, 지지 부재는 접지(接地)되는 것을 특징으로 하는 서셉터.
KR1019990017967A 1998-05-29 1999-05-19 서셉터 KR100295145B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP10149573A JPH11343571A (ja) 1998-05-29 1998-05-29 サセプター
JP98-149573 1998-05-29

Publications (2)

Publication Number Publication Date
KR19990088384A true KR19990088384A (ko) 1999-12-27
KR100295145B1 KR100295145B1 (ko) 2001-07-12

Family

ID=15478154

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990017967A KR100295145B1 (ko) 1998-05-29 1999-05-19 서셉터

Country Status (5)

Country Link
US (1) US6160244A (ko)
EP (1) EP0962961A3 (ko)
JP (1) JPH11343571A (ko)
KR (1) KR100295145B1 (ko)
TW (1) TW456157B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100730379B1 (ko) * 2005-07-26 2007-06-19 (주)대하이노텍 화학 기상 증착장치의 히터모듈
KR20170052373A (ko) * 2015-11-04 2017-05-12 (주)포인트엔지니어링 서셉터 및 이를 구비한 진공챔버
KR20170052338A (ko) * 2015-11-04 2017-05-12 (주)포인트엔지니어링 서셉터 및 이를 포함하는 진공챔버

Families Citing this family (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6214121B1 (en) 1999-07-07 2001-04-10 Applied Materials, Inc. Pedestal with a thermally controlled platen
US6466426B1 (en) * 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
SE515785C2 (sv) * 2000-02-23 2001-10-08 Obducat Ab Anordning för homogen värmning av ett objekt och användning av anordningen
US6554907B2 (en) 2001-01-02 2003-04-29 Applied Materials, Inc. Susceptor with internal support
US6623563B2 (en) * 2001-01-02 2003-09-23 Applied Materials, Inc. Susceptor with bi-metal effect
JP4331901B2 (ja) * 2001-03-30 2009-09-16 日本碍子株式会社 セラミックサセプターの支持構造
US6510888B1 (en) 2001-08-01 2003-01-28 Applied Materials, Inc. Substrate support and method of fabricating the same
JP3897563B2 (ja) * 2001-10-24 2007-03-28 日本碍子株式会社 加熱装置
JP3520074B2 (ja) * 2002-03-28 2004-04-19 日本碍子株式会社 セラミックサセプターの取付構造、セラミックサセプターの支持構造およびセラミックサセプターの支持部材
JP3534738B2 (ja) * 2002-03-28 2004-06-07 日本碍子株式会社 セラミックサセプターの取付構造、セラミックサセプターの支持構造およびセラミックサセプター用支持部材
JP4311910B2 (ja) * 2002-04-15 2009-08-12 住友電気工業株式会社 半導体製造装置用保持体
US7608419B2 (en) * 2003-11-13 2009-10-27 California Institute Of Technology Method and apparatus for detecting and quantifying bacterial spores on a surface
JP2004253665A (ja) * 2003-02-21 2004-09-09 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびそれを搭載した半導体製造装置
JP3918806B2 (ja) * 2003-11-20 2007-05-23 住友電気工業株式会社 被加熱物載置用ヒータ部材及び加熱処理装置
JP2005216759A (ja) * 2004-01-30 2005-08-11 Nhk Spring Co Ltd ヒータユニット
KR100750968B1 (ko) 2005-06-07 2007-08-22 주식회사 알지비하이텍 플라즈마화학적기상증착 기구 내의 서셉터 구조
TWI329625B (en) * 2005-07-04 2010-09-01 Kyocera Corp Bonded body, wafer support member using the same, and wafer treatment method
JP4435742B2 (ja) * 2005-08-09 2010-03-24 信越化学工業株式会社 加熱素子
KR101299495B1 (ko) * 2005-12-08 2013-08-29 신에쓰 가가꾸 고교 가부시끼가이샤 세라믹스 히터, 히터 급전 부품 및 세라믹스 히터의제조방법
JP4615464B2 (ja) * 2006-03-16 2011-01-19 東京エレクトロン株式会社 プラズマ処理装置用電極アッセンブリ及びプラズマ処理装置
JP5057904B2 (ja) * 2007-09-07 2012-10-24 株式会社日本セラテック 温調プレートおよびその製造方法
JP5236927B2 (ja) * 2007-10-26 2013-07-17 信越化学工業株式会社 耐腐食性積層セラミックス部材
JP5014080B2 (ja) * 2007-11-19 2012-08-29 コバレントマテリアル株式会社 面状ヒータ
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI469678B (en) * 2009-01-05 2015-01-11 Flexible heating device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5416570B2 (ja) * 2009-12-15 2014-02-12 住友電気工業株式会社 加熱冷却デバイスおよびそれを搭載した装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5882614B2 (ja) * 2011-06-29 2016-03-09 株式会社日本セラテック セラミックスヒータ
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9948214B2 (en) * 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
DE102012012968A1 (de) * 2012-06-29 2014-01-02 Bayerische Motoren Werke Aktiengesellschaft Verfahren zur Herstellung einer Heizanordnung und Werkzeug umfassend die Heizanordnung
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10177014B2 (en) 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
USD743357S1 (en) * 2013-03-01 2015-11-17 Asm Ip Holding B.V. Susceptor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
JP6837806B2 (ja) * 2016-10-31 2021-03-03 信越化学工業株式会社 加熱素子
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
JP2018181586A (ja) 2017-04-12 2018-11-15 日本発條株式会社 シースヒータ
JP6902382B2 (ja) * 2017-04-12 2021-07-14 日本発條株式会社 ヒータユニット
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) * 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
WO2022251867A1 (en) * 2021-05-28 2022-12-01 Lam Research Corporation Apparatuses for thermal management of a pedestal and chamber
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3110795A (en) * 1959-09-17 1963-11-12 Gen Motors Corp Domestic electric appliance
US3275801A (en) * 1964-06-17 1966-09-27 Walter A Churchill Electrical heat exchanger
EP0493089B1 (en) * 1990-12-25 1998-09-16 Ngk Insulators, Ltd. Wafer heating apparatus and method for producing the same
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
JPH07297268A (ja) * 1993-12-27 1995-11-10 Shin Etsu Chem Co Ltd 静電チャック付セラミックスヒーター
JPH09213781A (ja) * 1996-02-01 1997-08-15 Tokyo Electron Ltd 載置台構造及びそれを用いた処理装置
US5730803A (en) * 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100730379B1 (ko) * 2005-07-26 2007-06-19 (주)대하이노텍 화학 기상 증착장치의 히터모듈
KR20170052373A (ko) * 2015-11-04 2017-05-12 (주)포인트엔지니어링 서셉터 및 이를 구비한 진공챔버
KR20170052338A (ko) * 2015-11-04 2017-05-12 (주)포인트엔지니어링 서셉터 및 이를 포함하는 진공챔버

Also Published As

Publication number Publication date
KR100295145B1 (ko) 2001-07-12
JPH11343571A (ja) 1999-12-14
EP0962961A2 (en) 1999-12-08
US6160244A (en) 2000-12-12
EP0962961A3 (en) 2003-08-13
TW456157B (en) 2001-09-21

Similar Documents

Publication Publication Date Title
KR100295145B1 (ko) 서셉터
KR100420456B1 (ko) 반도체 제조 장치용 웨이퍼 지지체와 그 제조 방법 및반도체 제조 장치
EP0929204B1 (en) Ceramic Heater
KR100411215B1 (ko) 반도체 제조 장치용 웨이퍼 보유체
KR100280634B1 (ko) 전기 발열체 및 이를 이용한 정전 척
EP0753494B1 (en) Method of joining ceramics
EP0153618A2 (en) Method for preparing highly heat-conductive substrate and copper wiring sheet usable in the same
IL168429A (en) A semiconductor substrate with a diamond / copper composite material and a method for its production
JP2006128603A (ja) セラミックス部材及びその製造方法
KR20010099730A (ko) 가열장치
JP4005268B2 (ja) セラミックスと金属との接合構造およびこれに使用する中間挿入材
US4499360A (en) Method of brazing silicon carbide parts using Si-Co solder
EP0526648B1 (en) Method of bonding ceramics together and insert material for heat bonding
EP0977260B1 (en) Semiconductor-supporting devices, processes for the production of the same, joined bodies and processes for the production of the same
JPH09243201A (ja) 熱電変換装置およびその製造方法
EP1845754B1 (en) Heating element
JP3567678B2 (ja) 通電発熱体
JPH0870036A (ja) 静電チャック
JP3964530B2 (ja) セラミックスヒータ
JPH0987051A (ja) セラミックスの接合体およびセラミックスの接合方法
JP2745538B2 (ja) セラミックス同士の電気接合方法及び接合用インサート材
JP3941542B2 (ja) セラミックスと金属の気密接合構造及び該構造を有する装置部品
JP2745539B2 (ja) セラミックスと金属との電気接合方法及び接合用インサート材
JPH08250465A (ja) 半導体プラズマ処理装置の電極カバー
JPS6316465B2 (ko)

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee