KR20110110746A - Feol 제조를 위한 인시튜 세정 챔버 - Google Patents

Feol 제조를 위한 인시튜 세정 챔버 Download PDF

Info

Publication number
KR20110110746A
KR20110110746A KR1020110090787A KR20110090787A KR20110110746A KR 20110110746 A KR20110110746 A KR 20110110746A KR 1020110090787 A KR1020110090787 A KR 1020110090787A KR 20110090787 A KR20110090787 A KR 20110090787A KR 20110110746 A KR20110110746 A KR 20110110746A
Authority
KR
South Korea
Prior art keywords
substrate surface
substrate
chamber
gas
natural oxides
Prior art date
Application number
KR1020110090787A
Other languages
English (en)
Other versions
KR101192099B1 (ko
Inventor
카오 치엔 테흐
치우킨, (스티브) 라이
휴스톤 요엘 엠.
창 메이
창 유
왕 위 더블유.
징 페이, (콘니) 초우
우모토이 살
트린흐 손
잔 유안 쟈오지옹
루 징리앙
판 씨잉
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110110746A publication Critical patent/KR20110110746A/ko
Application granted granted Critical
Publication of KR101192099B1 publication Critical patent/KR101192099B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • C23C14/022Cleaning or etching treatments by means of bombardment with energetic particles or radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

본 발명은 기판 표면으로부터 자연 산화물을 제거하는 방법 및 장치에 관한 것이다. 본 발명의 일 양상에서, 챔버는 챔버 몸체부, 및 챔버 몸체부에 적어도 일부에 배치되고 기판을 지지하는데 적용되는 지지 어셈블리를 포함한다. 지지 어셈블리는 내부에 적어도 부분적으로 형성되고 기판을 냉각시킬 수 있는 하나 이상의 유체 채널을 포함한다. 채널은 챔버 몸체부의 상부면에 배치된 리드 어셈블리를 더 포함한다. 리드 어셈블리는 제 1 전극 및 제 2 전극을 포함하며, 이들 두 전극 사이에 플라즈마 캐비티가 한정되며, 제 2 전극은 기판과 접속하여 가열하도록 적용된다.

Description

FEOL 제조를 위한 인시튜 세정 챔버{IN-SITU CLEAN CHAMBER FOR FRONT END OF LINE FABRICATION}
본 발명의 실시예는 반도체 처리 장치에 관한 것이다. 특히, 본 발명의 실시예는 반도체 제조용 화학적 기상 증착(CVD) 시스템 및 상기 시스템을 이용한 인시튜(in situ) 건조 세정 방법에 관한 것이다.
통상적으로 기판 표면이 산소에 노출되는 경우 자연 산화물이 형성된다. 기판이 대기 조건에서 처리 챔버들 사이에서 이동하는 경우, 또는 진공 챔버내에 남아있는 소량의 산소가 기판 표면과 접촉되는 경우 산소 노출이 이루어진다. 또한, 자연 산화물은 에칭 동안 기판 표면 오염되는 경우 발생할 수도 있다. 전형적으로 자연 산화물은 기판 표면상에 원치않는 막을 형성한다. 일반적으로 자연 산화물은 5 내지 20 옴스트롱 사이로 매우 얇지만, 후속하는 제조 프로세스에서 문제점을 발생시키는데 있어서는 충분히 두껍다.
일반적으로 이러한 문제점은 기판상에 형성되는 반도체 소자의 전기적 특성에 악영향을 미친다. 예를 들어, 자연 실리콘 산화물이 노출된 실리콘 함유층 상에 형성되는 경우, 특히, 금속 산화물 실리콘 전계 효과 트랜지스터("MOSFET") 구조를 처리하는 동안 특정 문제가 발생한다. 실리콘 산화물막은 전기적으로 절연성이며 높은 전기적 콘택 저항을 발생시키기 때문에 콘택 전극 또는 상호접속 전기적 경로를 갖는 인터페이스에는 바람직하지 못하다. MOSFET 구조에서, 전극 및 상호접속 경로는 금속 실리사이드층을 제조하기 위해 베어(bare) 실리콘상에 내화성 금속을 증착하고 상기 층을 어닐링함으로써 형성된 실리사이드층을 포함한다. 기판과 금속 사이의 인터페이스에서 자연 실리콘 산화물막은 금속 실리사이드를 형성하는 확산 화학 반응을 방해함으로써 실리사이드층의 조성 균일성을 감소시킨다. 이는 낮은 기판 수율을 야기시키며 전기적 콘택에서의 과열로 인해 고장 비율을 증가시킨다. 또한, 자연 실리콘 산화물막은 기판상에 후속하여 증착되는 다른 CVD 또는 스퍼터링된 층의 접착을 방해할 수 있다.
큰 피쳐(feature) 또는 약 4:1 보다 작은 종횡비를 갖는 작은 피쳐에서 오염물 감소를 위해 스퍼터 에칭 프로세스가 시도되었다. 그러나 스퍼터 에칭 프로세스는 물리적 충돌로 인해 미세한(delicate) 실리콘을 손상시킬 수 있다. 이에, 예를 들어 불화수소(HF) 산 및 탈이온수를 이용하는 습식 에칭 프로세스가 시도되었다. 그러나 이와 같은 습식 에칭 프로세스는 종횡비(aspect ratio)가 4:1을 넘는 경우, 종횡비가 10:1을 넘는 경우 오늘날의 작은 소자에서는 문제시된다. 특히, 습식 용액은 상기 크기의 기판 표면 내에 형성되는 비아, 콘택 또는 다른 피쳐로는 침투할 수 없다. 결과적으로, 자연 산화물막 제거는 불완전하다. 유사하게, 상기 크기의 피쳐로의 침투가 성공되더라도, 일단 에칭이 완성되면 습식 에칭 용액은 피쳐로부터 제거하기 어렵다.
자연 산화물막 제거를 위한 또 다른 방법으로는 불소-함유 가스를 이용하는 건식 에칭 프로세스가 있다. 그러나 불소-함유 가스 사용이 갖는 문제점 중 하나는 전형적으로 불소가 기판 표면상에 남아있게 된다는 것이다. 기판 표면상에 남아있는 불소 원자 또는 불소 라디칼은 문제시될 수 있다. 예를 들어, 남겨진 불소 원자는 기판에 보이드를 발생시켜 기판의 에칭을 계속하게 할 수 있다.
자연 산화물막을 제거하기 위한 가장 최근의 방법은 기판 표면상에 열적 어닐링에 의해 후속하여 제거되는 불소/실리콘-함유 염(salt)을 형성하는 것이다. 이러한 방법에서, 얇은 염층은 실리콘 산화물 표면과 불소-함유 가스를 반응시킴으로써 형성된다. 다음 처리 챔버로부터 제거되는 휘발성 부산물로 상기 염이 분해되도록 충분히 상승된 온도로 상기 염을 가열한다. 일반적으로 반응성 불소-함유 가스의 형성은 열 추가 또는 플라즈마 에너지에 의해 보조된다. 상기 염은 기판 표면의 냉각을 요구하는 감소된 온도에서 형성된다. 가열에 따른 이러한 후속하는 냉각은 기판이 냉각되는 냉각 챔버로부터 개별 어닐링 챔버 또는 기판이 가열되는 퍼니스로 기판을 전달함으로써 달성된다.
여러 가지 이유에서, 이러한 반응성 불소 처리 시퀀스는 바람직하지 않다. 즉, 웨이퍼 산출량은 웨이퍼 전달에 수반되는 시간으로 인해 크게 감소된다. 또한, 웨이퍼는 전달과정 동안 추가적인 산화 또는 다른 오염이 발생하기 쉽다. 게다가, 산화물 제거 프로세스를 완성하기 위해 두 개의 개별 챔버가 요구되기 때문에 총소유비용은 두 배가 된다.
따라서, 원격 플라즈마 발생, 가열 및 냉각이 이루어질 수 있고 단일 챔버내에서(즉, 인시튜) 단일의 건식 에칭 프로세스를 수행할 수 있는 처리 챔버가 요구된다.
기판 표면으로부터 자연 산화물을 제거하기 위한 처리 챔버가 제공된다. 일 양상에서, 상기 챔버는 챔버 몸체부 및 챔버 몸체부내에 적어도 부분적으로 배치되고 그 위에서 기판을 지지하는 지지 어셈블리를 포함한다. 지지 어셈블리는 기판을 냉각시키기 위한 유체를 제공할 수 있게 그 안에 적어도 부분적으로 형성된 하나 이상의 유체 채널을 포함한다. 상기 챔버는 챔버 몸체부의 상부 표면상에 배치된 리드 어셈블리를 더 포함한다. 리드 어셈블리는 제 1 전극과 제 2 전극을 포함하며, 상기 제 1 전극과 제 2 전극 사이에는 플라즈마 캐비티가 형성되며, 제 2 전극은 가열되어 기판과 열적으로 접속된다.
또한, 기판 표면으로부터 자연 산화물을 에칭하는 방법이 제공된다. 일 특징에서, 상기 방법은 챔버 몸체부 및 상기 챔버 몸체부내에 적어도 부분적으로 배치되고 그 위에서 기판을 지지하는 지지 어셈블리를 포함하는 처리 챔버내에서 처리되는 기판을 장착하는 단계를 포함한다. 지지 어셈블리 내부에는 적어도 부분적으로 하나 이상의 냉각 채널이 형성되며, 상기 냉각 채널은 기판을 냉각시키기 위한 유체를 제공할 수 있다. 상기 챔버는 챔버 몸체부의 상부 표면상에 배치된 리드 어셈블리를 더 포함한다. 리드 어셈블리는 제 1 전극과 제 2 전극을 포함하며, 제 1 전극과 제 2 전극 사이에는 플라즈마 캐비티가 형성되며, 제 2 전극은 기판과 열적으로 접속된다.
상기 방법은, 플라즈마 캐비티내에 반응 가스의 플라즈마를 발생시키는 단계, 지지 어셈블리의 하나 이상의 채널을 통해 열전달 매체를 흘려보냄으로써 기판을 냉각시키는 단계, 제 2 전극을 통해 기판 표면으로 반응 가스를 흘려보내는 단계, 반응 가스로 기판 표면을 에칭하는 단계, 접촉으로 가열 소자에 파워를 인가함으로써 제 2 전극을 가열하는 단계, 및 가열된 전극 부근에 인접하게 지지 어셈블리를 위치시킴으로써 가열된 제 2 전극을 이용하여 기판을 가열하는 단계를 더 포함한다.
본 발명의 상기 특징은 본 발명의 상세한 설명, 요약서를 통해 이해될 수 있으며, 일부는 첨부된 도면에 도시된 실시예를 참조할 수도 있다. 그러나 첨부된 도면은 단지 본 발명의 전형적인 실시예만을 나타내는 것으로 본 발명의 범주를 이탈하지 않고 다른 또는 등가의 효과적인 실시예가 허용될 수 있다는 것을 주목해야 한다.
도 1A는 가열, 냉각 및 에칭을 위한 처리 챔버(100)를 나타내는 부분 단면도.
도 1B는 도 1A의 처리 챔버내에 배치된 라이너(liner)를 나타내는 확대 개략도.
도 2A는 도 1A에 도시된 챔버 몸체부의 상단부에 배치될 수 있는 리드 어셈블리를 나타내는 확대 단면도.
도 2B 및 2C는 도 2A의 가스 분배판의 확대 개략도.
도 3A는 도 1A의 챔버 몸체부(112)내에 적어도 부분적으로 배치된 지지 어셈블리의 부분 단면도.
도 3B는 도 3A의 지지 부재(300)의 부분 확대 단면도.
도 4A는 또 다른 리드 어셈블리(400)의 개략적 단면도.
도 4B는 도 4A의 상부 전극의 개략적 부분 확대 단면도.
도 4C는 도 4A의 리드 어셈블리(400)를 이용하는 처리 챔버(100)를 나타내는 부분 단면도.
도 5A-5H는 MOSFET 구조와 같은 액티브 전자 소자를 형성하기 위한 제조 시퀀스의 개략도.
도 6은 다수의 처리 동작을 수행하는 예시적인 다중 챔버 처리 시스템의 개략도.
임의의 수의 기판 처리 기술에 대한 처리 챔버가 제공된다. 상기 챔버는 진공을 차단시키지 않고 기판 표면의 가열 및 냉각 모두를 요구하는 플라즈마 보조 건식 에칭 프로세스를 수행하는데 특히 유용하다. 예를 들어, 본 명세서에 개시된 처리 챔버는 기판 표면으로부터 산화물 및 다른 오염물을 제거하기 위해 FEOL(front-end-of line) 세정 챔버에 가장 적합하도록 구성된다.
본 명세서에서 사용되는 "기판 표면"은 그 위에서 처리과정이 수행되는 임의의 기판 표면으로 간주한다. 예를 들어, 기판 표면은 실리콘, 실리콘 산화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어 및, 금속, 금속 질화물, 금속 합금과 같은 임의의 다른 물질, 및 적용분야에 따라 또 다른 전도성 물질을 포함할 수 있다. 또한, 기판 표면은 실리콘 이산화물, 유기실리케이트, 및 탄소가 도핑된 실리콘 산화물과 같은 유전체 물질을 포함할 수 있다. 기판 자체는 임의의 특정 크기 또는 형상으로 제한되지 않는다. 일 양상에서, "기판"이란 용어는 200mm 직경 또는 300mm 직경을 갖는 둥근 웨이퍼로 간주한다. 또 다른 양상에서, "기판"이란 용어는 임의의 다각형, 정사각형, 직사각형, 곡선형 또는 예를 들어, 평판 디스플레이의 제조에 사용되는 유리 기판과 같은 비원형 제품으로 간주한다.
도 1A는 처리 챔버를 나타내는 부분 단면도이다. 일 실시예에서, 처리 챔버(100)는 챔버 몸체부(112), 리드 어셈블리(200), 및 지지 어셈블리(300)를 포함한다. 리드 어셈블리(200)는 챔버 몸체부(112)의 상단부에 배치되며, 지지 어셈블리(300)는 챔버 몸체부(112)내에 적어도 부분적으로 배치된다. 처리 챔버(100) 및 관련 하드웨어는 알루미늄, 양극처리된 알루미늄, 니켈 도금 알루미늄, 니켈 도금 알루미늄 6061-T6, 스테인리스 스틸, 및 예를 들어 이들의 조합 및 합금과 같은 하나 이상의 처리-호환성 물질로 바람직하게 형성된다.
챔버 몸체부(112)는 처리 챔버(100) 내부로의 액서스를 제공하기 위해 그의 측벽에 형성된 슬릿 밸브 개구부(160)를 포함한다. 슬릿 밸브 개구부(160)는 웨이퍼 처리 로봇(미도시)에 의해 챔버 몸체부(112)의 내부로의 액서스가 허용되도록 선택적으로 개폐된다. 웨이퍼 처리 로봇은 당업자에게 공지되어 있으며, 임의의 적절한 로봇이 사용될 수 있다. 예를 들어, 예시적인 로봇방식 전송 어셈블리는 본 명세서에서 참조되며, 1990년 8월 28일자로 "Multi-chamber Integrated Process System"이란 명칭으로 간행된 공동 양도된 USP No.4,951,601호에 개시되어 있다. 일 실시예에서, 웨이퍼는 슬릿 밸브 개구부(160)를 통해 인접한 전송 챔버 및/또는 로드 록 챔버, 또는 클러스터 툴 내의 또다른 챔버를 향해 처리 챔버(100) 안팎으로 전달될 수 있다. 처리 챔버(100)에 결합될 수 있는 형태의 클러스터 툴은 본 명세서에서 참조되며, 1993년 2월 16일자로, "Staged-Vacuum Wafer Processing System and Method"란 명칭으로 간행된 공동 양도된 USP No. 5,186,718호에 개시되어 있다.
하나 이상의 실시예에서, 챔버 몸체부(112) 내부에는 열 전달 유체를 흘려보내기 위한 채널(113)이 형성된다. 열 전달 유체는 가열 유체 또는 냉각제일 수 있으며 처리과정 및 기판 전달과정 동안 챔버 몸체부(112)의 온도를 제어하는데 이용된다. 챔버 몸체부(112)의 온도는 챔버 벽상에 가스 또는 부산물의 원치않는 응축(condensation)을 방지하는 데 있어 중요하다. 예시적인 열 전달 유체는 물, 에틸렌 글리콜, 또는 이들의 혼합물을 포함한다. 또한, 예시적인 열 전달 유체는 질소 가스를 포함할 수 있다.
챔버 몸체부(112)는 지지 어셈블리(300)를 둘러싸는 라이너(133)를 더 포함할 수 있다. 라이너(133)는 바람직하게 공급 및 세정을 위해 제거될 수 있다. 라이너(133)는 알루미늄, 또는 세라믹 물질과 같은 금속으로 구성될 수 있다. 그러나 라이너(133)는 프로세스에 호환성이 있는 임의의 물질일 수 있다. 라이너(133)는 상부에 증착되는 임의의 물질의 접착력을 강화시켜, 처리 챔버(100)의 오염을 야기시키는 물질의 벗겨짐(flaking)을 방지하도록 비즈 블라스터처리될 수 있다(bead blasted). 하나 이상의 실시예에서, 라이너(133)는 개구(135)와 진공 시스템과 유체 소통(fluid communication)되도록 그 안에 형성된 펌핑 채널(129)을 포함한다. 개구(135)는 펌핑 채널(129) 속에 가스 흐름 통로를 제공하여, 처리 챔버(100)내에 가스들의 출구를 제공한다.
진공 시스템은 처리 챔버(100)를 지나는 가스들의 흐름을 제어하기 위해 진공 펌프(125)와 스로틀 밸브(127)를 포함할 수 있다. 진공 펌프(125)는 챔버 몸체부(112)상에 배치된 진공 포트(131)에 결합되어 라이너(133) 내에 형성된 펌핑 채널(129)과 유체 소통된다. "가스" 및 "가스들"란 용어는 상호교환적으로 사용되며, 그렇지 않은 경우는, 하나 이상의 전구체, 반응물, 촉매제, 캐리어, 정화제(purge), 세척물(cleaning), 이들의 조합 및 챔버 몸체부(112)속으로 주입되는 임의의 다른 유체로 간주된다.
보다 상세하게 라이너(133)에 대해서는 도 1B에 라이너(133)의 일 실시예의 확대 개략도로 나타낸다. 본 실시예에서, 라이너(133)는 상부(133A) 및 하부(133B)를 포함한다. 챔버 몸체부(112)의 측벽상에 배치된 슬릿 밸브 개구부(160)와 정렬된 개구부(133C)는 챔버 몸체부(112)에 대해 기판의 진입 및 배출을 허용하도록 라이너(133)내에 형성된다. 전형적으로, 펌핑 채널(129)은 상부(133A)내에 형성된다. 또한 상부(133A)는 펌핑 채널(129)속으로 가스들의 통로 또는 흐름 경로를 제공하도록 형성된 하나 이상의 개구(135)를 포함한다.
도 1A 및 1B를 참조로, 개구(135)는 펌핑 채널(129)이 챔버 몸체부(112)내의 처리 존(zone)(140)과 유체 소통되게 한다. 처리 존(140)은 리드 어셈블리(200)의 하부 표면 및 지지 어셈블리(300)의 상부 표면에 의해 규정되며, 라이너(133)에 의해 둘러싸인다. 개구(135)는 균일한 크기일 수 있고 라이너(133) 부근에서 고르게 이격될 수 있다. 그러나 임의의 개구 수, 위치, 크기 또는 형상이 이용될 수 있으며, 이들 설계 파라미터 각각은 하기에보다 상세히 개시되는 바와 같이 기판 수용 표면에 대해 원하는 가스 흐름 패턴에 따라 변할 수 있다. 또한, 개구(135)의 크기, 수 및 위치는 처리 챔버(100)에서 배출되는 가스들의 균일한 흐름을 달성하도록 구성된다. 또한, 개구 크기 및 위치는 챔버(100)로부터 가스의 신속한 배출이 용이하도록 빠른 또는 높은 펌핑 능력을 제공하도록 구성될 수 있다. 예를 들어, 상기 수 및 진공 포트(131) 부근에 인접한 개구(135)의 크기는 진공 포트(131)로부터 보다 멀리 위치된 개구(135)의 크기보다 작을 수 있다.
도 1A 및 1B를 참조로, 라이너(133)의 하부(133B)는 그 안에 배치된 흐름 경로 또는 진공 채널(129A)을 포함한다. 진공 채널(129A)은 앞서 개시된 진공 시스템과 유체 소통된다. 또한, 진공 채널(129A)은 라이너(133)의 외부 직경에 형성된 리세스 또는 포트(129B)를 통해 펌핑 채널(129)과 유체 소통된다. 일반적으로, 두 개의 가스 포트(129B)(도면에는 단지 한 개만 도시됨)는 상부(133A)와 하부(133B) 사이에서 라이너(133)의 외부 직경에 형성된다. 가스 포트(129B)는 펌핑 채널(129)과 진공 채널(129A) 사이에 흐름 경로를 제공한다. 각각의 포트(129B)의 크기 및 위치는 설계 문제이며, 원하는 막의 화학량론, 형성되는 소자의 기하학적 구조, 처리 챔버(100)의 용적 능력 및 그와 결합되는 진공 시스템의 능력에 의해 결정된다. 전형적으로, 포트(129B)는 서로 마주하게 배열되거나 또는 라이너(133)의 외부 직경 부근에서 180도 떨어지게 배열된다.
동작시, 하나 이상의 가스들을 배출하는 처리 챔버(100)는 라이너(133)의 상부(133A)를 통해 펌핑 채널(129) 속에 형성된 개구(135)를 통해 흐른다. 다음 가스는 펌핑 채널(129) 내에서 포트(129B)를 통해 진공 채널(129A) 속으로 흐른다. 가스는 진공 펌프(125) 속으로 진공 포트(131)를 통해 진공 채널(129A)에서 배출된다.
더욱 상세하게 리드 어셈블리(200)에 대해서는 도 1A에 도시된 챔버 몸체부(112)의 상단부에 배치될 수 있는 리드 어셈블리(200)의 확대 단면을 나타내는 도 2A에 도시된다. 도 1A 및 도 2A를 참조로, 리드 어셈블리(200)는 도 1A에 도시된 것처럼, 서로의 상부에 적층되는 다수의 부품을 포함한다. 하나 이상의 실시예에서, 리드 어셈블리(200)는 리드 림(210), 가스 운반 어셈블리(220), 및 상판(250)을 포함한다. 가스 운반 어셈블리(220)는 리드 림(210)의 상부 표면과 결합되며 이들 사이에 최소의 열 접촉이 이루어지도록 배열된다. 리드 어셈블리(200)의 부품은 바람직하게 예를 들어 고도로 마무리처리된 알루미늄 합금과 같이, 높은 열 전도성 및 낮은 열 저항을 갖는 물질로 구성된다. 바람직하게, 부품의 열 저항은 약 5×10-4m2 K/W 이하이다. 리드 림(210)은 리드 어셈블리(200)를 구성하는 부품의 중량을 유지하도록 설계되며 예를 들어 지지 어셈블리(300)와 같은, 내부 챔버 부품으로의 액서스를 제공하기 위해 힌지 어셈블리(미도시)를 통해 챔버 몸체부(112)의 상부 표면에 결합된다.
도 2B 및 2C를 참조로, 가스 전달 어셈블리(220)는 분배판 또는 샤워헤드(225)를 포함할 수 있다. 도 2B는 가스 분배판을 나타내는 일실시예의 개략적 확대도를 나타내며 도 2C는 단면도를 나타낸다. 하나 이상의 실시예에서, 분배판(225)은 실질적으로 디스크형이며 가스 흐름을 분배하기 위해 다수의 개구(225A) 또는 통로를 포함한다. 분배판(225)의 개구(225A)는 가스 흐름의 속도 프로파일을 늦추고 방향설정을 다시 함으로써 리드 어셈블리(200)를 흐르는 가스들이 하부 기판 표면상에 직접 충돌하는 것을 방지한다. 또한, 분배판(225)의 개구(225A)는 리드 어셈블리(200)에서 배출되는 가스의 흐름을 고르게 분배하여, 기판 표면에 대한 가스의 균일한 분배를 제공한다.
도 2A, 2B 및 2C를 참조로, 분배판(225)은 리드 림(210)상에 위치하는 크기로, 주변부에 형성된 환형 장착 플랜지(222)를 더 포함한다. 따라서, 분배판(225)은 리드 어셈블리(200)와의 최소 열 접촉을 이룬다. 바람직하게, 엘라스토머 O-링과 같은, O-링형 밀봉부(224)가 리드 림(210)과의 기밀한 유체 접촉(fluid-tight)을 위해 환형 장착 플랜지(222) 내에 적어도 부분적으로 배치된다.
가스 운반 어셈블리(220)는 분배판(225)에 인접하게 배치된 차단 어셈블리(230)를 더 포함할 수 있다. 차단 어셈블리(230)는 분배판(225)의 후면에 고른 가스 분배를 제공한다. 바람직하게, 차단 어셈블리(230)는 알루미늄 합금으로 이루어지며 양호한 열 접촉을 위해 분배판(225)에 제거가능하게 결합된다. 예를 들어, 차단 어셈블리(230)는 볼트(221) 또는 유사한 파스너를 사용하여 분배판(225)에 결합될 수 있다. 바람직하게, 차단 어셈블리(225)는 도 2A에 도시된 리드 림(210)과 열 접촉되지 않는다.
하나 이상의 실시예에서, 차단 어셈블리(230)는 제 2 차단판(235)에 장착된 제 1 차단판(233)을 포함한다. 제 2 차단판(235)은 그를 관통하여 형성된 통로(259)를 포함한다. 바람직하게, 통로(259)는 제 2 차단판(235)을 관통하여 중심적으로 위치되어, 통로(259)는 상판(250)의 하부 표면과 제 2 차단판(235)의 상부 표면에 의해 형성된 제 1 캐비티 또는 용적(261)과 유체 소통된다. 또한 통로(259)는 제 2 차단판(235)의 하부 표면과 제 1 차단판(233)의 상부 표면에 의해 형성된 제 2 캐비티 또는 용적(262)과 유체 소통된다. 또한, 통로(259)는 제 1 차단판(233)의 하부 표면과 분배판(225)의 상부 표면에 의해 형성된 제 3 캐비티 또는 용적(263)과 유체 소통된다. 통로(259)는 가스 인입구(223)와 결합된다. 가스 인입구(223)는 그의 제 1 단부에서 상판(250)에 결합된다. 도시되지는 않았지만, 가스 인입구(223)는 그의 제 2 단부에서 하나 이상의 상류 가스 소스 및/또는 가스 혼합기와 같은 다른 가스 운반 부품에 결합된다.
제 1 차단판(233) 내부에는 통로(259)로부터 가스 분배판(225)으로 흐르는 가스들을 분산시키도록 형성된 다수의 통로(233A)를 포함한다. 통로(233A)는 원형 또는 둥근형인 것으로 도시되었지만, 통로(233A)는 사각형, 직사각형, 또는 임의의 다른 형상일 수 있다. 통로(233A)는 기판 표면에 대해 제어되고 균일한 가스 흐름 분포를 제공하기 위해 차단판(233) 부근에 크기설정되어 위치될 수 있다. 상기 설명된 것처럼, 제 1 차단판(233)은 제 2 차단판(235) 및 분배판(225)으로부터 제거가 용이하여 이들 부품의 세척 또는 교체가 용이하다.
사용시, 하나 이상의 처리 가스들이 가스 인입구(223)를 통해 가스 운반 어셈블리(220)로 주입된다. 처리 가스는 제 1 용적(261)으로 흐르며 제 2 차단판(235)의 통로(259)를 통해 제 2 용적(262)으로 흐른다. 다음 처리 가스는 제 1 차단판(233)의 홀(233A)을 통해 제 3 용적(263)으로 분포되며 또한 가스가 챔버 몸체부(112)내에 배치된 기판의 노출된 표면과 접촉할 때까지 차단판(225)의 홀(225A)을 통해 분포된다.
일반적으로 가스 공급 패널(미도시)은 처리 챔버(100)에 하나 이상의 가스들을 제공하도록 허용된다. 챔버(100)내에서 수행되는 프로세스 또는 프로세스들에 따라 특정 가스 또는 가스들이 사용된다. 설명되는 가스들은 제한되는 것은 아니지만, 하나 이상의 전구체, 환원제, 촉매제, 캐리어, 퍼지(purge), 세정제(cleaning) 또는 이들의 임의의 혼합물 또는 조합물을 포함할 수 있다. 전형적으로, 처리 챔버(100)에 주입되는 하나 이상의 가스들은 인입구(223)를 통해 리드 어셈블리(200)속으로 흐르며 다음 가스 운반 어셈블리(220)를 통해 챔버 몸체부(112)로 흐른다. 전기적으로 동작되는 밸브 및/또는 흐름 제어 메커니즘(미도시)이 가스 공급부로부터 처리 챔버(100)로의 가스 흐름을 제어하기 위해 사용될 수 있다. 프로세스에 따라, 임의의 수의 가스들이 처리 챔버(100)에 전달될 수 있으며, 처리 챔버(100)에서 또는 가스들이 처리 챔버(100)에 전달되기 전에, 예를 들어 가스 혼합기(미도시)내에서 혼합될 수 있다.
다시 도 1A 및 도2A를 참조로, 또한 리드 어셈블리(200)는 리드 어셈블리(200)내에 반응성 종의 플라즈마를 발생시키기 위해 전극(240)에 포함될 수 있다. 일 실시예에서, 전극(240)은 상판(250)상에서 지지되며 상판(250)과 전기적으로 절연된다. 예를 들어, 절연 필러(filer) 링(241)은 도 2A에 도시된 것처첨 상판(250)으로부터 전극(240)과 분리되는 전극(240)의 하부 부근에 배치될 수 있다. 또한, 환형 절연체(242)는 절연체 필러 링(241)의 외부 표면 부근에 배치될 수 있다. 다음 환형 절연체(243)는 전극(240)의 상부 부근에 배치되어 전극(240)은 상판(250) 및 리드 어셈블리(200)의 다른 모든 부품으로부터 전기적으로 절연된다. 이들 링(241, 242, 243) 각각은 알루미늄 산화물 또는 프로세스에 호환성있는 물질의 다른 절연체로 구성될 수 있다.
하나 이상의 실시예에서, 전극(240)은 전원(미도시)에 결합되는 반면 가스 운반 어셈블리(220)는 접지에 연결된다(즉, 가스 운반 어셈블리(220)는 전극으로서의 역할을 한다). 따라서, 하나 이상의 플라즈마가 전극(204)(제 1 전극)과 가스 운반 어셈블리(220)(제 2 전극) 사이에 용적(261, 262 및/또는 263)에 발생될 수 있다. 예를 들어, 플라즈마는 전극(240)과 차단 어셈블리(230) 사이에서 충돌되고 포함될 수 있다. 선택적으로, 플라즈마는 차단 어셈블리(230) 없이 전극(240)과 분배판(225) 사이에서 충돌되고 포함될 수 있다. 하나의 실시예에서, 플라즈마는 리드 어셈블리(200) 내에 바람직하게 한정되거나 포함될 수 있다. 따라서, 플라즈마는 활성 플라즈마가 챔버 몸체부(112)내에 배치된 기판과 직접 접촉하기 않기 때문에 "원격 플라즈마"이다. 결과적으로, 플라즈마가 기판을 손상시키는 것이 방지되며, 이는 플라즈마가 기판 표면으로부터 충분히 이격되어 있기 때문이다.
반응성 종에서 가스들을 활성화시키고 반응성 종의 플라즈마를 유지할 수 있는 임의의 전원이 사용될 수 있다. 예를 들어, 무선 주파수(RF), 직류(DC), 또는 마이크로파(MW) 기초 파워 방전 기술이 사용될 수 있다. 또한, 활성화(activation)는 열적 기초 기술, 가스 브레이크다운 기술, 고밀도 광원(예를 들어 UV 에너지), 또는 X-레이 소스 노출에 의해 발생될 수 있다. 선택적으로, 챔버(100)로 운반되는 반응성 종의 플라즈마를 발생시키기 위해, 원격 플라즈마 발생기와 같은 원격 활성화 소스가 사용될 수 있다. 예시적인 원격 플라즈마 발생기로는 MKS Instruments, Inc. 및 Advanced Energy Industries, Inc. 와 같은 업체로부터 입수가능하다. 바람직하게, RF 파워는 전극(240)에 결합된다.
도 2A를 참조로, 가스 운반 시스템(220)은 처리 가스와 처리 챔버(100)내에서 수행되는 동작에 따라 가열될 수 있다. 일 실시예에서, 예를 들어 저항성 히터와 같은 가열 소자(270)가 분배판(225)에 결합될 수 있다. 일 실시예에서, 가열 소자(270)는 튜브형 부재로 도 2B 및 2C에 보다 상세히 도시된 것처럼 분배판(225)의 상부 표면에서 압착될 수 있다.
도 2B 및 2C를 참조로, 분배판(225)의 상부 표면은 가열 소자(270)의 외부 직경보다 약간 작은 폭을 갖는 그루브 또는 리세스 채널을 포함하여, 인터퍼런스 피트(interference fit)를 사용하여 그루브내에 가열 소자(270)가 고정될 수 있다. 분배판(225)과 차단 어셈블리(230)를 포함하는 운반 어셈블리(220)의 부품은 각각 서로 전도성 결합되기 때문에 가열 소자(270)는 가스 운반 어셈블리(220)의 온도를 조절한다. 온도 조절은 분배판(225)에 결합된 열전쌍(272)에 의해 용이해질 수 있다. 열전쌍(272)은 전원으로부터 가열 소자(270)에 인가된 전류를 제어하기 위해 피드백 루프에 사용될 수 있으며, 가스 운반 어셈블리(220) 온도는 원하는 온도에서 또는 원하는 온도 범위 내에서 유지 또는 제어될 수 있다. 가스 운반 어셈블리(220) 온도의 제어는, 상기 설명된 바와 같이, 가스 운반 어셈블리(220)가 리드 어셈블리(200)의 다른 부품들과의 열 접촉이 최소화되고, 열 전도성이 제한되기 때문에 용이해진다.
*하나 이상의 실시예에서, 리드 어셈블리(200) 내부에는 가스 운반 어셈블리(220)의 온도 제어를 제공하기 위해 열전달 매체가 유동될 수 있도록 형성된 하나 이상의 유체 채널(202)을 포함할 수 있다. 일 실시예에서, 유체 채널(202)은 도 2A에 도시된 것처럼 리드 림(210)내에 형성될 수 있다. 선택적으로, 유체 채널(202)은 가스 운반 어셈블리(220)에 균일한 열 전달을 제공하기 위해 리드 어셈블리(200)의 임의의 부품 내에 형성될 수 있다. 유체 채널(202)은 챔버(100)내에서의 프로세스 조건에 따라, 가스 전달 어셈블리(220)의 온도를 제어하기 위해 가열 또는 냉각 매체 중 하나를 포함할 수 있다. 예를 들어 질소, 물, 에틸렌 글리콜, 또는 이들의 혼합물이 임의의 열전달 매체로 사용될 수 있다.
하나 이상의 실시예에서, 가스 운반 어셈블리(220)는 하나 이상의 가열 램프(미도시)를 사용하여 가열될 수 있다. 전형적으로, 가열 램프는 방사에 의해 분배판(225)을 가열하기 위해 분배판(225)의 상부 표면 부근에 배열될 수 있다.
도 3A는 지지 어셈블리(300)의 부분 단면도를 나타낸다. 지지 어셈블리(300)는 챔버 몸체부(112)내에 적어도 부분적으로 배치될 수 있다. 지지 어셈블리(300)는 챔버 몸체부(112)내에서의 처리를 위해 기판(미도시)을 지지하기 위해 지지 부재(310)를 포함할 수 있다. 지지 부재(310)는 챔버 몸체부(112)의 하부 표면에 형성된 중심-위치된 개구부(114)를 통해 연장되는 샤프트(314)를 통해 리프트 메커니즘(330)에 연결될 수 있다. 리프트 메커니즘(330)은 샤프트(314) 부근에서 진공 누설을 방지하는 벨로즈(333)에 의해 챔버 몸체부(112)에 탄력적으로 시일처리된다. 리프트 메커니즘(330)은 지지 부재(310)가 처리 위치 및 하부 전달 위치 사이에서 챔버 몸체부(112)내에서 수직적으로 이동되게 한다. 전달 위치는 챔버 몸체부(112)의 측벽에 형성된 슬릿 밸브(160)의 개구부의 약간 아래에 있다.
도 3B는 도 3A에 도시된 지지 어셈블리(300)의 확대 부분 단면도이다. 하나 이상의 실시예에서, 지지 부재(310)는 그위에서 처리되는 기판을 지지하도록 평탄한, 원형 표면 또는 실질적으로 평탄한 원형 표면을 갖는다. 지지 부재(310)는 바람직하게 알루미늄으로 구성된다. 지지 부재(310)는 기판 후면의 오염을 감소시키기 위해, 예를 들어, 실리콘 또는 세라믹 물질과 같이 소정의 다른 물질로 이루어진 제거가능한 상판(311)을 포함할 수 있다.
하나 이상의 실시예에서, 지지 부재(310) 또는 상판(311)은 그의 상부 표면상에 배열되는 다수의 연장부 또는 딤플(311A)을 포함할 수 있다. 도 3B에서, 딤플(311A)은 상판(311)의 상부 표면상에 도시된다. 상판(311)이 요구되지 않는다면, 딤플(311A)은 지지 부재(310)의 상부 표면상에 배열될 수 있다. 딤플(311A)은 지지 어셈블리(300)의 지지 표면(즉, 지지 부재(310) 또는 상판(311))과 기판의 하부 표면 사이에 최소 접촉을 제공한다.
하나 이상의 실시예에서, 기판(미도시)은 진공 척을 사용하여 지지 어셈블리(300)에 고정될 수 있다. 상판(311)은 지지 부재(310)에 형성된 하나 이상의 그루브(316)와 유체 소통되는 다수의 홀을 포함할 수 있다. 그루브(316)는 샤프트(314)와 지지 부재(310) 내에 배치된 진공 도관(313)을 통해 진공 펌프(미도시)와 유체 소통된다. 소정 조건하에서, 진공 도관(313)은 기판이 지지 부재(310)상에 배치되지 않을 경우 증착을 방지하기 위해 지지 부재(310)의 표면에 퍼지 가스(purge gas)를 공급하는데 사용될 수 있다. 또한, 진공 도관(313)은 기판 후면과 반응 가스 또는 부산물의 접촉을 방지하기 위해 처리과정 동안 퍼지 가스를 통과시킬 수 있다. 하나 이상의 유체 도관들 중 적어도 하나는 상기 지지 부재의 측벽 상에 증착을 방지하기 위해 상기 지지 부재의 상부 표면에 형성된 하나 이상의 유체 채널들에 퍼지 가스를 제공할 수 있다.
하나 이상의 실시예에서, 기판(미도시)은 정전기 척을 사용하여 지지 부재(310)에 고정될 수 있다. 하나 이상의 실시예에서, 기판은 종래의 클램프 링과 같은 기계적 클램프(미도시)에 의해 지지 부재(310)상의 위치에서 고정될 수 있다.
바람직하게, 기판은 정전기 척을 사용하여 고정된다. 전형적으로 정전기 척은 전극(미도시)을 둘러싸는 적어도 유전체 물질을 포함하며, 지지 부재(310)의 상부 표면에 위치되거나 또는 지지 부재(310)와 일체식 부품으로 형성될 수 있다. 척의 유전체 부분은 기판과 지지 어셈블리(300)의 나머지 부분으로부터 척 전극을 절연시킨다.
하나 이상의 실시예에서, 척 유전체의 주변부는 기판의 직경보다 약간 작다. 다른 말로, 기판은 척 유전체의 주변부에 약간 걸쳐져 있어(overhang), 기판이 척 상에 위치되는 경우 중심부로부터 오정렬되더라도 기판에 의해 척 유전체가 완전히 커버 될 수 있다. 기판이 척 유전체를 완전히 커버한다는 가정으로 기판이 챔버 몸체부(112)내의 잠잭적인 부식성 또는 손상 물질의 노출에 대해 척을 차폐할 수 있다.
정전기 척을 동작시키기 위한 전압은 개별 "척" 전원(미도시)에 의해 공급될 수 있다. 척 전원의 하나의 출력 단자는 척 전극에 연결된다. 또다른 외부 단자는 전형적으로 접지에 연결되나 선택적으로 지지 어셈블리(300)의 금속 몸체부에 연결될 수도 있다. 동작시, 기판은 유전체부와 접촉되게 위치되며 직류 전압은 지지 부재(310)의 상부 표면에 기판을 부착시키기 위해 정전 흡인력 또는 바이어스를 발생시키기 위해 전극 상에 제공된다.
도 3A 및 3B를 참조로, 지지 부재(310)는 리프트 핀(325)을 수용하도록 그를 관통하여 형성된 하나 이상의 구멍(bore)(323)을 포함할 수 있다. 전형적으로 각각의 리프트 핀(325)은 세라믹 또는 세라믹을 함유한 물질로 구성되며, 기판 처리 및 전달을 위해 사용된다. 각각의 리프트 핀(325)은 구멍(323) 내에 슬라이드 방식으로 장착된다. 일 양상에서, 구멍(323)은 리프트 핀(325)이 자유롭게 슬라이딩 되는 것을 보조하기 위해 세라믹 슬리브(sleeve)와 정렬(line)된다. 리프트 핀(325)은 챔버 몸체부(112)내에 배치된 환형 리프트 링(320)과 맞물림으로써 각각의 구멍(323) 내에서 이동할 수 있다. 리프트 링(320)은, 리프트 링(320)이 상부 위치에 있는 경우, 리프트-핀(325)의 상부 표면이 지지 부재(310)의 기판 지지 표면상에 위치될 수 있도록 이동할 수 있다. 반대로, 리프트 링(320)이 하부 위치에 있는 경우, 리프트-핀(325)의 상부 표면은 지지 부재(310)의 기판 지지 표면 아래에 위치된다. 따라서, 각각의 리프트-핀(325) 부품은 리프트 링(320)이 하부 위치로부터 상부 위치로 이동하는 경우, 지지 부재(310)내의 각각의 구멍(323)을 통과할 수 있다.
활성화될 때, 리프트 핀(325)은 기판의 하부 표면을 밀어 지지 부재(310)로부터 기판을 들어올린다. 반대로, 리프트-핀(325)이 기판을 하강시키도록 비활성화되어, 지지 부재(310)상에 기판을 올려놓는다. 리프트 핀(325)은 핀(325)이 지지 부재(310)로부터 떨어지는 것을 방지하기 위해 확대된 상단부 또는 원뿔형 헤드를 포함할 수 있다. 다른 핀 설계 또한 이용될 수 있으며 이는 당업자가 잘 알 것이다.
일 실시예에서, 하나 이상의 리프트 핀(325) 상부에는 기판이 그 위에서 지지되는 경우 기판이 슬라이드 되는 것을 방지하기 위해 미끄러지지 않는 또는 마찰력이 높은 물질로 구성된 코팅 또는 부착물이 배치된다. 바람직한 물질로는, 처리 챔버(100)내에서 오염물을 형성할 수 있는 기판 후면을 긁거나 손상시키지 않는 고온 중합체 물질이 있다. 바람직하게, 코팅 또는 부착물은 Dupont으로부터 입수되는 KALREZTM 코팅이 있다.
리프트 링(320)을 구동시키기 위해, 종래의 공기식 실린더 또는 스텝퍼 모터(미도시)와 같은 액추에이터가 사용된다. 스텝퍼 모터 또는 실린더는 위아래 위치로 리프트 링(320)을 구동시켜, 기판을 상승 또는 하강시키는 리프트-핀(325)을 구동시킨다. 특정 실시예에서, 기판(미도시)은 리프트 링(320)으로부터 약 120도 떨어져 분포되고 돌출되는 3개의 리프트 핀(325)(미도시)에 의해 지지 부재(310) 상에서 지지된다.
다시 도 3A를 참조로, 지지 어셈블리(300)는 지지 부재(310) 부근에 배치된 에지 링(305)을 포함할 수 있다. 에지 링(305)은 특히 세라믹, 석영, 알루미늄 및 강철과 같은 다양한 물질로 구성될 수 있다. 하나 이상의 실시예에서, 에지 링(305)은 지지 부재(310)의 외부 주변부를 커버하고 증착으로부터 지지 부재(310)를 보호하는 환형 부재이다. 에지 링(305)은 지지 부재(310)상에 또는 그 부근에 위치되어, 지지 부재(310)의 외부 직경과 에지 링(305)의 내부 직경 사이에 환형 퍼지 가스 채널(334)을 형성할 수 있다. 환형 퍼지 가스 채널(334)은 지지 부재(310)와 샤프트(314)를 통해 형성된 퍼지 가스 도관(335)과 유체 소통된다. 바람직하게, 퍼지 가스 도관(335)은 퍼지 가스 채널(334)에 퍼지 가스를 제공하기 위해 퍼지 가스 공급부(미도시)와 유체 소통된다. 질소, 아르곤 또는 헬륨과 같은 임의의 적절한 퍼지 가스가 단독으로 또는 조합되어 사용될 수 있다. 동작시, 퍼지 가스는 도관(335)을 통해 퍼지 가스 채널(334) 및, 지지 부재(310)상에 배치된 기판 에지 부근으로 흐른다. 따라서, 에지 링(305)과 함께 동작하는 퍼지 가스는 기판 에지 및/또는 후면에서의 증착을 방지한다.
도 3A와 3B를 다시 참조하면, 지지 어셈블리(300)의 온도가 지지 부재(310)의 바디에 내장된 유체 채널(360)을 통해 순화하는 유체에 의해 제어된다. 여러 실시예에서, 유체 채널(360)은 지지 어셈블리(300)의 축(314)을 통해 배치된 열 전달 도관(361)과 유체 소통된다. 바람직하게, 유체 채널(360)은 지지 부재(310)의 기판 수용 표면에 균일한 열을 전달하도록 지지 부재(310) 주위에 위치한다. 유체 채널(360)과 열 전달 도관(361)은 지지 부재(310)를 가열 또는 냉각시키도록 열 전달 유체를 흐르게 할 수 있다. 물, 질소, 에틸렌 글리콜, 또는 이들의 혼합물과 같은 임의의 적절한 열 전달 유체가 사용될 수 있다. 지지 어셈블리(300)는 지지 부재(310)의 지지 표면의 온도를 모니터링하기 위한 내장된 써모커플(도시안됨)을 더 포함할 수 있다. 예컨대, 써모커플로부터의 신호는 유체 채널(360)을 통해 순환하는 유체의 온도 또는 흐름속도를 제어하기 위한 피드백 루프에 사용될 수 있다.
도 3A를 다시 참조하면, 지지 부재(310)는 지지 부재(310)와 리드 어셈블리(200) 사이의 거리가 조절될 수 있도록 챔버 몸체부(112) 내에서 수직으로 이동할 수 있다. 센서(도시안됨)는 챔버(100) 내의 지지 부재(310)의 위치와 관련한 정보를 제공할 수 있다. 지지 부재(310)의 리프팅 메커니즘의 예가 "자체-정렬 리프트 메커니즘"이란 제목으로 셀리우틴 등에게 1999년 9월 14일에 부여된 미국 특허 5,951,776호에 상세히 개시되어 있으며, 상기 특허는 본 명세서에서 그 전체가 참조로 포함된다.
동작시, 지지 부재(310)는 처리중인 기판의 온도를 제어하기 위해 리드 어셈블리(200)에 매우 근접한 곳까지 상승할 수 있다. 이와 같이, 기판은 가열 소자(270)에 의해 제어된 분배 플레이트(225)로부터 방출된 복사를 통해 가열될 수 있다. 선택적으로, 기판은 리프트 링(320)에 의해 동작하는 리프트 핀(325)들을 이용하여 가열된 리드 어셈블리(200)의 매우 근접한 곳까지 지지 부재(310)를 리프트 오프시킬 수 있다.
연장된 사용 주기 후에 또는 계획된 유지동안 지정된 시간에, 상기 설명한 부품들을 포함하는 처리 챔버(100)의 소정의 부품들은 정기적으로 검사, 교체 또는 세척될 수 있다. 통상적으로 이러한 부품들은 집합적으로 "처리 키트"로 알려진 부분들이다. 처리 키트의 예시적인 부품들은 예컨대 샤워 헤드(225), 상부 플레이트(311), 에지 링(305), 라이너, 및 리프트 핀(325)들을 포함하지만, 반드시 이들에 제한되는 것은 아니다. 하나 이상의 이들 부품들은 통상적으로 챔버(100)로부터 제거되고 정기적으로 또는 필요에 따라(as-needed basis) 세척 또는 교체된다.
도 4A는 예시적인 또 다른 리드 어셈블리(400)의 부분 단면도를 도시한다. 리드 어셈블리(400)는 적어도 두 개의 적층된 부품들을 포함하며 이들 사이에서 플라즈마 용적 또는 캐비티를 형성한다. 하나 이상의 실시예에서, 리드 어셈블리(400)는 수직으로 제 2 전극(450)("하부 전극") 위에 위치한 제 1 전극(410)("상부 전극")을 포함하며 이들 사이에서 플라즈마 용적(volume) 또는 캐비티(425)를 형성한다. 제 1 전극(410)은 RF 파워 공급원과 같은 전력원(415)에 연결되어 있고, 제 2 전극(450)은 접지되어, 두 개의 전극(410,450) 사이에서 커패시턴스를 형성한다.
하나 이상의 실시예에서, 리드 어셈블리(400)는 제 1 전극(410)의 상부 부분(413) 내에서 적어도 부분적으로 형성된 하나 이상의 가스 주입구(412)(inlet)들(하나만 도시됨)을 포함한다. 하나 이상의 처리 가스들이 하나 이상의 가스 주입구(412)들을 통해 리드 어셈블리(400)에 진입한다. 하나 이상의 가스 주입구(412)들은 제 1 단부에서 플라즈마 캐비티(425)와 유체 소통되고 제 2 단부에서 하나 이상의 상류 가스원들 및/또는 가스 믹서와 같은 기타 가스 전달 부품들에 결합된다. 하나 이상의 가스 주입구(412)의 제 1 단부는 도 4A에 도시된 것처럼 확장 부분(expanding section)의 내부 직경(430)의 최상부 위치에서 플라즈마 캐비티(425) 안으로 개방될 수 있다. 유사하게, 하나 이상의 가스 주입구(412)의 제 1 단부는 확장 부분(420)의 내부 직경(430)을 따라 소정의 높이 간격에서 플라즈마 캐비티(425) 안으로 개방될 수 있다. 비록 도시되지 않았지만, 두 개의 가스 주입구(412)는 플라즈마 캐비티(425) 내에서 가스들의 혼합을 돕는 확장 부분(420) 안으로의 소용돌이 흐름 패턴 또는 "와류" 흐름을 생성하도록 확장 부분(420)의 반대측 측부들에 배치될 수 있다. 이러한 흐름 패턴과 가스 주입구 장치에 대한 더 상세한 설명은 2002년 12월 21일자로 출원된 믹구 특허 출원 제 20030079686호에 개시되어 있으며, 상기 출원은 본 명세서에서 참조로 포함된다.
하나 이상의 실시예에서, 제 1 전극(410)은 플라즈마 캐비티(425)를 둘러싸는 확장 부분(420)을 갖는다. 도 4A에 도시된 것처럼, 확장 부분(420)은 상기 설명한 것처럼 가스 주입구(412)와 유체 소통한다. 하나 이상의 실시예에서, 확장 부분(420)은 확장 부분의 상부(420A)로부터 확장 부분의 하부(420B)까지 점진적으로 증가하는 내부 표면 또는 직경(430)을 갖는 환형 부재이다. 이와 같이, 제 1 전극(410)과 제 2 전극(450) 사이의 거리는 가변적이다. 거리를 변화시키는 것은 플라즈마 캐비티(425) 내에서 생성된 플라즈마의 형성 및 안정성을 제어하는데 도움이 된다.
하나 이상의 실시예에서, 확장 부분(420)은 도 4A와 4B에 도시된 것처럼 원뿔 또는 "깔때기"와 닮았다. 도 4B는 도 4A의 상부 전극의 개략적으로 확대된 부분 단면도를 도시한다. 하나 이상의 실시예에서, 확장 부분(420)의 내부 표면(430)은 확장 부분(420)의 상부(420A)로부터 하부(420B)까지 점진적으로 경사져 있다. 내부 직경(430)의 경사 또는 각도는 처리 조건 및/또는 처리 제한값에 따라 바뀔 수 있다. 또한 확장 부분(420)의 길이 또는 높이는 특정 처리 조건 및/또는 제한값에 따라 바뀔 수 있다. 하나 이상의 실시예에서, 내부 직경(430)의 경사 또는 확장 부분(420)의 높이 또는 이들 모두는 처리에 필요한 플라즈마 용적에 따라 바뀔 수 있다. 예컨대, 내부 직경(430)의 경사는 적어도 1:1, 또는 적어도 1.5:1, 또는 적어도 2:1, 또는 적어도 3:1, 또는 적어도 4:1, 또는 적어도 5:1 또는 적어도 10:1이다. 하나 이상의 실시예에서, 내부 직경(430)의 경사는 2:1의 낮은 범위에서 20:1의 높은 범위까지 이를 수 있다.
하나 이상의 실시예에서, 확장 부분(420)은 비록 도면에 도시되지 않았지만 굴곡되거나 활모양이 될 수 있다. 예컨대, 확장 부분(420)의 내면(430)은 볼록해지거나 오목해지도록 굴곡되거나 활모양일 수 있다. 하나 이상의 실시예에서, 확장 부분(420)의 내면(430)은 각각 경사지고, 테이퍼링되고, 볼록하거나 오목한 다수의 부분들을 가질 수 있다.
상기 언급한 것처럼, 제 1 전극(410)의 확장 부분(420)은 제 1 전극(410)의 점차적으로 증가하는 내면(430)으로 인해 제 1 전극(410)과 제 2 전극(450) 사이의 수직 거리를 변화시킨다. 가변 거리는 플라즈마 캐비티(425) 내의 파워 레벨과 직접 관련한다. 이론에 얽매이지 않는다면, 두 개의 전극(410,450) 사이의 거리 변화는 플라즈마가 전체 플라즈마 캐비티(425)의 전체가 아니라면 플라즈마 캐비티(425)의 일부 내에서 플라즈마를 유지하는 필수 파워 레벨을 발견할 수 있게 한다. 따라서 플라즈마 캐비티(425) 내의 플라즈마는 압력에 거의 의존하지 않고, 넓은 작동 윈도우에서 생성되고 유지될 수 있게 한다. 이와 같이, 반복가능하고 신뢰할 수 있는 플라즈마가 리드 어셈블리(400) 내에서 형성될 수 있다.
제 1 전극(410)은 예컨대 알루미늄, 양극화(anodized) 알루미늄, 니켈 도금 알루미늄, 니켈 도금 알루미늄 6061-T6, 스테인레스 강 분만 아니라 이들의 조합물과 합금과 같은 임의의 처리 호환 재료들로부터 구성될 수 있다. 하나 이상의 실시예에서, 전체 제 1 전극(410) 또는 부분은 원치않는 입자 형성을 감소시키기 위해 니켈로 코팅된다. 바람직하게, 적어도 확장 부분(420)의 내면은 니켈 도금된다.
제 2 전극(450)은 하나 이상의 적층된 플레이트를 포함할 수 있다. 두 개 이상의 플레이트가 바람직한 경우, 플레이트는 서로 전기적으로 소통되어야 한다. 각각의 플레이트들은 하나 이상의 가스들이 플라즈마 캐비티(425)로부터 흐를 수 있도록 다수의 구멍 또는 가스 통로를 포함한다.
도 4B를 참조하면, 리드 어셈블리(400)는 제 2 전극(450)으로부터 제 1 전극(410)을 전기적으로 절연시키기 위한 절연 링(440)을 더 포함할 수 있다. 절연 링(440)은 알루미늄 산화물 또는 기타 절연성 처리 호환 물질로 만들어질 수 있다. 바람직하게, 절연 링(440)은 도 4B에 도시된 것처럼 적어도 확장 부분(420)을 둘러싸거나 거의 둘러싼다.
도 4A에 도시된 특정 실시예를 다시 참조하면, 제 2 전극(450)은 상부판(460), 분배판(470) 및 차단판(480)을 포함한다. 상부판(460), 분배판(470) 및 차단판(480)은 도 4B에 도시된 것처럼 적층되고 챔버 몸체부(112)에 연결된 리드 림(490) 상에 배치된다. 종래와 같이, 힌지 어셈블리(도시안됨)는 리드 림(490)은 챔버 몸체부(112)에 연결될 수 있다. 리드 림(490)은 열 전달 매체를 둘러싸기 위한 내장된 채널 또는 통로(492)를 포함할 수 있다. 열 전달 매체는 처리 조건에 따라 가열, 냉각 또는 가열 및 냉각을 위해 사용될 수 있다. 예시적인 열 전달 매체들은 위에서 나열하였다.
하나 이상의 실시예에서, 상부판(460)은 가스가 플라즈마 캐비티(425)로부터 흐를 수 있도록 플라즈마 캐비티(425) 아래에 형성된 다수의 가스 통로 또는 구멍(465)을 포함한다. 하나 이상의 실시예에서, 상부판(460)은 제 1 전극(410)의 적어도 일부분을 둘러싸기에 적합한 리세싱부(462)를 포함할 수 있다. 하나 이상의 실시예에서, 구멍(465)은 리세싱부(462) 아래의 상부판(460)의 단면을 통과한다. 상부판(460)의 리세싱부(462)는 그 사이에서 양호한 밀봉 피트(fit)를 제공하기 위해 도 4A에 도시된 것처럼 층계형 계단일 수 있다. 또한, 상부판(460)의 외부 직경은 도 4A에 도시된 것처럼 분배판(470)의 외부 직경 위에 장착되거나 놓여지도록 설계될 수 있다. 탄성 O-링과 같은 o-링 타입의 밀봉부는 제 1 전극(410)과의 유체-밀봉 접촉부를 제공하도록 상부판(460)의 리세싱부(462) 내에 적어도 부분적으로 배치될 수 있다. 마찬가지로, o-링 타입의 밀봉부(466)는 상부판(460)과 분배판(470)의 외부 주변부들 사이에서 유체-밀봉 접촉부를 제공하는데 사용될 수 있다.
하나 이상의 실시예에서, 분배판(470)은 도 2A-2C를 참조로 위에서 도시하고 설명한 분배판(225)과 동일하다. 특히, 분배판(470)은 거의 디스크-모양이며 가스의 흐름을 분배시키기 위한 다수의 구멍(475) 또는 통로들을 포함한다. 구멍(475)은 처리될 기판이 위치한 챔버 몸체부(112)에 제어되고 균일한 흐름 분배를 제공하도록 크기를 가지며 분배판(470) 둘레에 위치할 수 있다. 또한, 구멍(475)은 흐르는 가스의 속도 프로파일을 늦추고 다시 방향을 조정할 뿐만 아니라 기판 표면에 걸쳐 가스의 균일한 분배를 제공하기 위해 가스 흐름을 균일하게 분배함으로써 가스(들)이 기판 표면에 직접 부딪히는 것을 방지한다.
또한, 분배판(470)은 외측 둘레(outer perimeter)에 형성된 환형 장착 플랜지(472)를 포함할 수 있다. 장착 플랜지(472)는 리드 림(490)의 상부면 위에 놓일 수 있는 크기를 가질 수 있다. 탄성 o-링과 같은 o-링 타입의 밀봉부는 리드 림(490)과의 유체-밀봉 접촉부를 제공하기 위해 환형 장착 플랜지(472) 내에 적어도 부분적으로 배치될 수 있다.
하나 이상의 실시예에서, 분배판(470)은 리드 어셈블리(400)의 온도를 제어하기 위해 가열기 또는 가열 유체를 둘러싸기 위한 하나 이상의 내장된 채널 또는 통로(474)를 포함한다. 상기 설명한 리드 어셈블리(200)와 유사하게, 저항성 가열 소자가 분배판(470)을 가열시키기 위해 통로(474) 내에 삽입될 수 있다. 열전쌍은 온도를 조절하도록 분배판(470)에 연결될 수 있다. 열전쌍은 상기 설명한 것처럼, 가열 소자에 공급된 전류를 제어하기 위해 피드백 루프에 사용될 수 있다.
선택적으로, 열 전달 매체는 통로(474)를 통과할 수 있다. 하나 이상의 통로(474)는 필요시 챔버 몸체부(112) 내의 처리 조건에 따라 분배판(470)의 온도를 잘 조절할 수 있도록 냉각 매체를 포함할 수 있다. 상기 언급한 것처럼, 예컨대 질소, 물, 에틸렌 글리콜, 또는 이들의 혼합물과 같은 열 전달 매체가 사용될 수 있다.
하나 이상의 실시예에서, 리드 어셈블리(400)는 하나 이상의 가열 램프(도시안됨)를 사용하여 가열될 수 있다. 통상적으로, 가열 램프는 분배판(470)을 포함하는 리드 어셈블리(400)의 부품들을 방사에 의해 가열시키도록 분배판(470)의 상부 표면 주위에 배치된다.
차단판(480)은 선택적이며 상부판(460)과 분배판(470) 사이에 배치된다. 바람직하게, 차단판(480)은 상부판(460)의 하부 표면에 제거가능하게 장착된다. 차단판(480)은 상부판(460)과 양호하게 열적으로 및 전기적으로 접촉해야 한다. 하나 이상의 실시예에서, 차단판(480)은 볼트 또는 유사한 패스너를 사용하여 상부판(460)에 결합될 수 있다. 또한 차단판(480)은 상부판(460)의 외부 직경 위로 스레딩(thread)되거나 나사결합될 수 있다.
차단판(480)은 상부판(460)으로부터 분배판(470)까지 다수의 가스 통로를 제공하기 위한 다수의 구멍(485)을 포함한다. 구멍(485)은 제어되고 균일한 흐름 분배를 분배판(470)에 제공하도록 크기를 가지며 차단판(480) 둘레에 위치한다.
도 4C는 리드 어셈블리(400)가 위에 놓인 챔버 몸체부(112)의 부분 단면도를 도시한다. 바람직하게, 확장 부분(420)은 도 4C에 도시된 것처럼 지지 어셈블리(300) 위의 중앙에 위치한다. 플라즈마 캐비티(425) 내의 플라즈마 제한 및 제한된 플라즈마의 중심 위치는 해리된 가스(들)이 챔버 몸체부(112) 안으로 균일하고 반복가능하게 분배되게 한다. 특히, 플라즈마 용적(425)을 떠난 가스가 상부판(460)의 구멍(465)을 통해 차단판(480)의 상부 표면까지 흐른다. 차단판(480)의 구멍(485)은 가스가 챔버 몸체부(112) 내의 기판(도시안됨)과 접촉하기 전에 분배판(470)의 구멍(475)을 통해 더 분배되도록 분배판(470)의 후면으로 가스를 분배시킨다.
중앙에 위치한 플라즈마 캐비티(425) 내의 플라즈마 제한과 제 1 전극(410)과 제 2 전극(450) 사이의 가변 거리는 리드 어셈블리(400) 내에서 안정적이고 신뢰할 수 있는 플라즈마를 생성하는 것으로 여겨진다.
설명을 간단하고 용이하게 하기 위해, 처리 챔버(100) 내에서 수행된 암모니아(NH3)와 나이트로겐 트리플루오라이드(NF3) 가스 혼합물을 사용하여 실리콘 산화물을 제거하기 위한 예시적인 드라이 에칭 처리가 이제 설명된다. 처리 챔버(100)는 열처리를 포함하는 하나의 처리 환경 내에서의 기판 가열과 냉각에 추가하여 플라즈마 처리(treatment)로부터 장점을 얻는 임의의 드라이 에칭 처리에 바람직한 것으로 여겨진다.
도 1을 참조하면, 드라이 에칭 처리는 예컨대 반도체 기판과 같은 기판(도시안됨)을 처리 챔버(100) 안으로 위치시킴으로써 시작한다. 기판은 통상적으로 슬릿 밸브 개구부(160)를 통해 챔버 몸체부(112) 안으로 위치하고 지지 부재(310)의 상부 표면 위에 위치한다. 기판은 지지 부재(310)의 상부 표면에 척킹되고(chuck), 에지 퍼지(purge)가 채널(334)을 통과한다. 바람직하게, 기판은 도관(313)을 통해 진공 펌프와 유체 소통하는 홀(312)과 홈(316)을 통해 진공화시킴으로써 지지 부재(310)의 상부 표면에 척킹된다. 이 때 지지 부재(310)는 이미 처리 위치에 있지 않다면 챔버 몸체부(112) 내의 처리 위치로 들어올려 진다. 바람직하게 챔버 몸체부(112)는 50℃ 내지 80℃ 사이의 온도에서 유지되고 더 바람직하게는 약 65℃의 온도에서 유지된다. 이러한 챔버 몸체부(112)의 온도는 열 전달 매체를 유체 채널(113)을 통과시킴으로써 유지된다.
기판은 열 전달 매체 또는 냉각제를 지지 엄셈블리(300) 내에 형성된 유체 채널(360)에 통과시킴으로써 15℃ 내지 50℃ 사이와 같이 65 ℃ 아래로 냉각된다. 일 실시예에서, 기판은 실온 아래로 유지된다. 또 다른 실시예에서, 기판은 22℃ 내지 40℃ 사이의 온도에서 유지된다. 통상적으로, 지지 부재(310)는 상기 설명한 바람직한 기판 온도에 도달하도록 약 22℃ 아래로 유지된다. 지지 부재(310)를 냉각시키기 위해, 냉각제는 유체 채널(360)을 통과한다. 냉각제의 연속적인 흐름은 지지 부재(310)의 온도를 더 잘 제어하기에 바람직하다. 냉각제는 50퍼센트 부피의 에틸렌 글리콜과 50퍼센트 부피의 물이다. 물론, 기판의 바람직한 온도가 유지되는 한 다른 비율의 물과 에틸렌 글리콜이 사용될 수 있다.
다음에 암모니아와 나이트로겐 트리플루오라이드 가스는 세척 가스 혼합물을 형성하기 위해 챔버(100) 안으로 유입된다. 챔버 안으로 유입된 각각의 가스의 양은 가변적이며 예컨대 제거될 산화물 층의 두께, 세척되는 기판의 형상, 플라즈마의 용적 능력, 챔버 몸체부(112)의 용적 능력, 뿐만 아니라 챔버 몸체부(112)에 결합된 진공 시스템의 능력을 수용하도록 조절될 수 있다. 일 양상에서, 가스는 암모니아 대 나이트로겐 트리플루오라이드의 몰비율이 적어도 1:1인 가스 혼합물을 제공하도록 첨가된다. 또 다른 양상에서, 가스 혼합물의 몰비율은 (암모니아 대 나이트로겐 트리플루오라이드가) 적어도 약 3:1이다. 바람직하게, 가스는 (암모니아 대 나이트로겐 트리플루오라이드가) 5:1 내지 30:1의 몰비율로 챔버(100) 안으로 유입된다. 더 바람직하게, 가스의 몰비율은 (암모니아 대 나이트로겐 트리플루오라이드가) 5:1 내지 10:1이다. 또한 가스 혼합물의 몰비율은 (암모니아 대 나이트로겐 트리플루오라이드가) 약 10:1 내지 약 20:1 사이에 이를 수 있다.
또한, 퍼지 가스 또는 캐리어 가스가 가스 혼합물에 첨가될 수 있다. 예컨대, 아르곤, 헬륨, 수소, 질소, 또는 이들의 혼합물과 같은 적절한 퍼지/캐리어 가스가 사용될 수 있다. 통상적으로, 전체 가스 혼합물은 암모니아와 나이트로겐 트리플루오라이드의약 0.05% 내지 약 20% 부피이다. 잔류하는 것은 캐리어가스이다. 일 실시예에서, 퍼지 또는 캐리어 가스는 챔버 몸체부(112) 내의 압력을 안정화시키기 위해 반응 가스보다 먼저 챔버 몸체부(112) 안으로 유입된다.
챔버 몸체부(112) 내의 동작 압력은 바뀔 수 있다. 통상적으로, 압력은 약 500 mTorr 내지 약 30 mTorr 사이에서 유지된다. 바람직하게, 압력은 약 1 Torr 내지 약 10 Torr 사이에서 유지된다. 더 바람직하게는, 챔버 몸체부(112) 내의 동작 압력은 약 3 Torr 내지 6 Torr 사이에서 유지된다.
가스 전달 어셈블리(220) 내에 담겨진 용적(261,262,263) 내에 가스 혼합물의 플라즈마를 점화시키기 위해 약 5 내지 약 600 와트의 RF 파워가 전극에 공급된다. 바람직하게, RF 파워는 100 와트 미만이다. 더 바람직하게는, 파워가 공급되는 주파수는 100 kHz 미만과 같이 매우 낮다. 바람직하게, 주파수는약 50 kHz 내지 약 90 kHz 사이의 범위이다.
플라즈마 에너지는 암모니아와 나이트로겐 트리플루오라이드 가스를 반응성 종들로 해리시켜 가스 상태의 높은 반응성 암모니아 플루오라이드(NH4F) 화합물 및/또는 암모니아 하이드로겐 플루오라이드(NH4FㆍHF)를 형성하도록 결합한다. 다음에 이들 분자들은 세척될 기판 표면과 반응하도록 분배판(225)의 홀(225A)을 통해 가스 분배 어셈블리(220)를 통해 흐른다. 일 실시예에서, 캐리어 가스가 먼저 챔버(100) 안으로 유입되고, 캐리어 가스의 플라즈마가 생성되며, 다음에 반응성 가스, 암모니아와 나이트로겐 트리플루오라이드가 플라즈마에 첨가된다.
이론에 얽매이지 않고, NH4F 및/또는 NH4FㆍHF의 에천트 가스는 실리콘 산화물 표면과 반응하여 암모니아 헥사플루오로실리케이트(NH4)2SiF6, NH3, 및 H2O 생산물을 형성한다. NH3, 및 H2O은 처리 조건에서 증기이며 진공 펌프(125)에 의해 챔버(100)로부터 제거된다. 특히, 가스가 진공포트(131)를 통해 진공 펌프(125) 안으로 챔버(100)를 배출시키기 전에 휘발성 가스가 라이너(133) 내에 형성된 구멍(135)을 통해 펌핑 채널(129) 안으로 흐른다. (NH4)2SiF6 의 얇은 막은 기판 표면 위에 남겨진다. 이러한 반응 메커니즘은 다음과 같이 요약된다:
NF3 + NH3 -> NH4F + NH4FㆍHF + N2
6NH4F + SiO2 -> (NH4)2SiF6 + H2O
(NH4)2SiF6 + 열 -> NH3 + HF + SiF4
얇은 막이 기판 표면 위에 형성된 후에, 기판이 위에서 지지된 지지 부재(310)는 가열된 분배판(225)에 매우 인접한 어닐링 위치까지 상승한다. 분배판(225)으로부터 복사된 열은 (NH4)2SiF6 의 얇은 막을 휘발성 SiF4, NH3, 및 HF 생산물로 해리시키거나 부차 제한하기에 충분해야한다. 다음에 이러한 휘발성 생산물은 상기 설명한 것처럼 진공 펌프(125)에 의해 챔버로부터 제거된다. 통상적으로, 75℃ 또는 그 이상의 온도가 기판으로부터 얇은 막을 효과적으로 부차 제한하고 제거하는데 사용된다. 바람직하게, 약 115℃ 내지 약 200℃ 사이와 같이 100℃ 또는 그 이상의 온도가 사용된다.
(NH4)2SiF6 의 얇은 막을 휘발성 성분으로 해리시키기 위한 열 에너지는 분배판(225)에 의해 대류하거나 복사된다. 이상 설명한 바와 같이, 가열 소자(270)는 분배판(225)에 직접 결합하고, 분배판(225)과 상기 성분들을 약 75℃ 내지 250℃ 사이의 온도까지 가열시켜 열접촉하도록 활성화된다. 일 양상에서, 분배판(225)은 약 120℃와 같이 100℃ 내지 150℃ 사이의 온도까지 가열된다.
이러한 상승 변화는 다양한 방법으로 달성될 수 있다. 예컨대, 리프트 메커니즘(330)은 분배판(225)의 하부 표면 방향으로 지지 부재(310)를 상승시킬 수 있다. 이러한 리프팅 단계 동안, 기판은 상기 설명한 진공 척 또는 정전기 척과 같은 것에 의해 지지 부재(310)에 고정된다. 선택적으로, 기판은 지지 부재(310)와 떨어져 리프팅되고 리프트 링(320)을 통해 리프트 핀(325)을 상승시킴으로써 가열된 분배판(225)에 매우 인접하여 위치한다.
자신의 위에 얇은 막을 갖는 기판의 상부 표면과 분배판(225) 사이의 거리는 중요하지 않으며 일련의 실험 문제이다. 당업자는 하부 기판을 손상시키지 않으면서 얇은 막을 매우 효과적으로 기화시키는데 필요한 간격을 용이하게 결정할 수 있을 것이다. 그러나, 약 0.254 mm(10 mils) 내지 5.08 mm(200 mils) 사이의 간격이 효과적인 것으로 여겨진다.
일단 막이 기판으로부터 제거되면, 챔버는 소제되고 제거된다. 다음에 세척된 기판은 기판을 이송 위치까지 낮추고, 기판을 디척킹(dechuck)시키고, 슬릿 밸브 개구부(160)를 통해 기판을 이송시킴으로써 챔버 몸체부(112)로부터 제거된다.
시스템 제어기(도시안됨)는 처리 챔버(100)의 동작을 조절하는데 사용될 수 있다. 시스템 제어기는 컴퓨터의 하드 디스크 드라이브 상에 저장된 컴퓨터 프로그램의 제어하에 동작할 수 있다. 예컨대, 컴퓨터 프로그램은 처리 시퀀싱과 타이밍, 가스의 혼합, 챔버 압력, RF 전력 레벨, 서셉터 위치설정, 슬릿 밸브 개방 및 폐쇄, 웨이퍼 냉각 및 특정 처리의 기타 파라미터들을 지시할 수 있다. 사용자와 시스템 제어기 간의 인터페이스는 CRT 모니터와 발광펜(도시안됨)을 이용하여 이루어질 수 있다. 바람직한 실시예에서, 두 개의 모니터가 사용되는데, 하나의 모니터는 조작자를 위해 세척실 벽에 장착되고 다른 모니터는 서비스 기술자들을 위해 벽 위에 장착된다. 또한 두 개의 모니터가 동시에 동일한 정보를 디스플레이하면서 하나의 발광펜만을 이용하는 것이 바람직하다. 발광펜은 펜 끝의 광센서를 이용하여 CRT 디스플레이에 의해 방출되는 광을 검출한다. 특정 스크린 또는 기능을 선택하기 위하여, 조작자는 디스플레이 스크린의 지정 여역을 터칭하고 펜으로 버튼을 누를 수 있다. 일반적으로 디스플레이 스크린은 모양, 즉 하이라이트 또는 색상을 바꾸거나 새로운 메뉴나 스크린을 디스플레이함으로써 발광펜과 터치된 영역 사이의 통신을 확인한다.
다양한 처리들이 예컨대 시스템 제어기를 실행시키는 컴퓨터 프로그램 제품을 사용하여 구현될 수 있다. 컴퓨터 프로그램 코드는 예컨대 68000 어셈블리 언어, C, C++, 또는 파스칼과 같은 종래 컴퓨터 판독가능 프로그래밍 언어로 작성될 수 있다. 적절한 프로그램 코드는 종래 텍스트 에디터를 사용하여 하나의 파일 또는 다중 파일로 입력되고, 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용가능한 매체에 저장 또는 내장될 수 있다. 만약 입력된 코드 텍스트가 하이 레벨 언어라면, 코드는 커파일링되고, 컴파일링된 컴파일러 코드는 미리 컴파일링된 라이브러리 루틴의 오브젝트 코드와 링크된다. 링크된 컴파일링 오브젝트 코드를 실행시키기 위하여, 시스템 사용자는 오브젝트 코드를 불러오며, 이에 의해 컴퓨터 시스템은 메모리의 코드를 로딩시키고, 이로부터 CPU는 프로그램에서 식별된 작업을 수행하기 위해 코드를 판독하고 실행시킨다.
도 5A-5H는 본 명세서에서 설명한 드라이 에칭 처리 및 처리 챔버(100)를 이용하여, MOSFET 구조물(500)과 같은 예시적인 능동 전자소자를 형성하기 위한 예시적인 제조 시퀀스의 개략적인 단면도이다. 도 5A-5H를 참조하면, 예시적인 MOSFET 구조물은 예컨대, 실리콘 또는 갈륨 아르세나이드 기판(525)과 같은 반도체 물질 상에 형성될 수 있다. 바람직하게, 기판(525)은 결정 방향이 <100>이고 직경이 150 mm(6인치), 200 mm(8인치), 또는 300 mm(12인치)인 실리콘 웨이퍼이다. 통상적으로, MOSFET 구조물은 (ⅰ) 실리콘 이산화물, 오르가노실리케이트, 카본 도핑된 실리콘 산화물, 포스포실리케이트 글라스(PSG), 보로포스포실리케이트 글라스(BPSG), 실리콘 나이트라이드, 또는 이들의 조합물과 같은 유전층; (ⅱ) 도핑된 폴리실리콘, 및 n-타입 또는 p-타입 도핑된 단결정 실리콘과 같은 반도체 층; (ⅲ) 텅스텐, 텅스텐 실리사이드, 티타늄, 티타늄 실리사이드, 코발트 실리사이드, 니켈 실리사이드, 또는 이들의 조합과 같은 금속 또는 금속 실리사이드의 층으로 형성된 전기 콘택 및 상호접속 라인들의 조합을 포함한다.
도 5A를 참조하면, 능동 전자 소자의 제조는 능동 전자 소자를 다른 소자들과 전기적으로 절연시키는 전기 절연 구조물을 형성함으로써 개시된다. 일반적으로 맥그로우-힐 출판사 발간(1988), 에스. 엠. 스지(S. M. Sze) 의 VLSI 기술, 2판, 11장에 개시된 것과 같은 여러 타입의 전기 절연 구조물이 존재하며, 상기 문헌은 본 명세서에서 참조로 포함된다. 일 버젼(version)에서, 두께가 약 2000 옴스트롱인 필드 산화물 층(도시안됨)이 전체 기판(525) 위에서 성장하고, 산화물 층의 다른 부분들은 소자의 전기적 능동 엘리먼트가 형성되는 노출된 영역들을 둘러싸는 필드 산화물 베리어(545A,B)를 형성하기 위해 제거된다. 노출된 영역들은 두께가 약 50 내지 300 옹스트롱인 얇은 게이트 산화물 층(550)을 형성하기 위해 열적으로 산화된다. 다음에 폴리실리콘 층이 게이트 전극(555)을 형성하기 위해 증착되고, 패턴화되고, 에칭된다. 폴리실리콘 게이트 전극(555)의 표면은 절연성 유전층(560)을 형성하기 위해 다시 산화되어 도 5A에 도시된 구조물을 형성한다.
도 5B를 참조하면, 소스와 드레인(570A,B)은 적절한 영역들을 적합한 도펀트 원자들로 도핑함으로써 형성된다. 예컨대, p-타입 기판상에서는, 아르세닉 또는 포스포러스를 포함하는 n-타입 도펀트 종들이 사용된다. 통상적으로 도핑은 이온 주입기에 의해 수행되고 예컨대, 약 30 내지 80 Kev 에너지 레벨에서 약 1013 원자/cm2 농도인 포스포러스(31P), 또는 약 10 내지 100 Kev 에너지와 약 1015 내지 1017 원자/cm2 의 도우즈(dose)인 아르세닉(75As)를 포함할 수 있다. 이온주입 처리 후에, 도펀트는 예컨대 급속 열처리(RTP) 장치에서 기판을 가열시킴으로써 기판(525) 안으로 이동한다. 그 후에, 소스와 드레인 영역(570A,B)을 덮는 산화물층(550)은 산화물층 내에 포획된 이온주입 처리에 의해 발생한 불순물들을 제거하기 위해 종래 스트립핑 방식으로 스트립핑되어, 도 8B에 도시된 구조물을 형성한다.
도 5C와 5D를 참조하면, 실리콘 나이트라이드 층(575)은 SiH2, Cl2, 및 NH3의 가스 혼합물을 사용하여 저압 화학 기상 증착(LPCVD)에 의해 게이트 전극(555)과 기판(525) 상의 표면 상에 증착된다. 다음에 실리콘 나이트라이드 층(575)은 도 5D에 도시된 것처럼 게이트 전극(555)의 측벽 상에 나이트라이드 스페이서(580)를 형성하기 위해 반응성 이온 에칭(RIE) 기술을 이용하여 에칭된다. 전기적으로 스페이서(580)는 소스(570A)와 드레인(570B) 위에 증착된 다른 실리사이드 층들로부터 게이트(555)의 상부 표면 상에 형성된 실리사이드 층을 전기적으로 절연시킨다. 전기 절연 측벽 스페이서(580)과 상부층(overlay)은 실리콘 산화물과 같은 기타 재료들로 제조될 수 있다. 측벽 스페이서(580)를 형성하는데 사용된 실리콘 산화물 층은 통상적으로 약 600℃ 내지 약 1000℃ 사이 범위의 온도에서 테트라에톡시실란(TEOS)의 공급 가스로부터 CVD 또는 PECVD에 의해 증착된다.
도 5E를 참조하면, 고유의 실리콘 산화물 층(585)은 처리 전후에 대기에 노출시킴으로써 노출된 실리콘 표면 상에 형성된다. 고유 실리콘 산화물 층(585)은 형성된 금속 슈이사이드(suicide)의 함금 반응과 전기적 도전성을 향상시키기 위해 게이트(555), 소스(570A), 드레인(570B) 상에 도전성 금속 실리사이드 콘택을 형성하기 전에 제거되어야 한다. 고유 실리콘 산화물 층(585)은 반도체 재료의 전기 저항을 증가시키고, 후속하여 증착되는 실리콘과 금속 층의 실리콘화 반응에 나쁜 영향을 줄 수 있다. 따라서, 만약 능동 전자 소자들을 상호접속시키기 위해 금속 실리사이드 콘택 또는 도전체를 형성하기 전에 설명한 드라이 에칭 처리를 사용하여 상기 고유 실리콘 이산화물 층(585)을 제거하는 것이 필요하다. 드라이 에칭 처리는 도 5F에 도시된 것처럼 소스(570A), 드레인(570B), 및 게이트 전극(555)의 상부 표면을 노출시키기 위해 고유 실리콘 산화물 층(585)을 제거한다.
따라서, 도 5G에 도시된 것처럼, PVD 스퍼터링 처리는 금속 층(590)을 증착시키는데 사용된다. 다음에 종래 노 어닐링은 금속층(590)이 실리콘과 접촉하는 영역에 금속 실리사이드를 형성하기 위해 금속 및 실리콘 층을 어닐링하는데 사용된다. 통상적으로 어닐링은 개별 처리 시스템에서 수행된다. 따라서, 보호성 캡층(도시안됨)은 금속(590) 위에 증착될 수 있다. 캡층은 통상적으로 나이트라이드 물질이며 티타늄 나이트라이드, 텅스텐 나이트라이드, 탄탈륨 나이트라이드, 나프늄 나이트라이드, 및 실리콘 나이트라이드로 이루어진 그룹 중에서 선택된 사나 이상의 재료를 포함할 수 있다. 캡층은 임의의 증착 처리, 바람직하게는 PVD에의해 증착될 수 있다.
통상적으로 어닐링은 약 30분 동안 질소 분위기에서 600℃ 내지 800℃ 사이의 온도까지 기판(500)을 가열시키는 단계를 포함한다. 선택적으로, 금속 실리사이드(595)는 기판(500)이 약 30초 동안 약 1000℃까지 금속하게 가열되는 금속 열 어닐링 처리를 이용하여 형성될 수 있다. 적절한 도전성 금속은 코발트, 티타늄, 니켈, 텅스텐, 플래티늄, 및 낮은 접촉 저항을 갖고 폴리실리콘과 단결정 실리콘 모두에 신뢰가능한 금속 실리사이드 콘택을 형성할 수 있는 임의의 다른 금속을 포함한다.
금속층(590)의 반응하지 않는 부분은 금속 실리사이드(595); 스페이서(580), 또는 필드 산화물(545A,B)을 공격하지 않고 금속을 제거하는 왕수(aqua regia)(HCl 및 HNO3)를 이용한 습식 에칭에 의해 제거되어, 도 5H에 도시된 것처럼 게이트(555), 소스(570A), 및 드레인(570B) 상의 자체-정렬 금속 실리사이드 콘택(595)을 남겨둔다. 그 후에, 예컨대, 실리콘 산화물, BPSG, PSG를 포함하는 절연 커버층은 전극 구조물 상에 증착될 수 있다. 절연 커버 층은 재료가 낮은 압력 또는 대기압으로 공급 가스로부터 응축된 CVD 챔버의 화학-기상 증착을 이용하여 증착되며, 이는 1996년 3월 19일자로 부여된 본 출원인의 미국 특허 제5,500,249호에 개시되어 있으며, 상기 특허는 본 명세서에서 참조로 포함된다. 그 후에, 구조물(500)은 매끄러운 평탄화 표면을 형성하기 위해 유리 전이 온도에서 어닐링된다.
하나 이상의 실시예에서, 처리 챔버(100)는 캘리포니아, 산타 클라라에 위치한 어플라이드 머티어리얼스 사로부터 이용할 수 있는 EnduraTM 플랫폼과 같은 다중-처리 플랫폼으로 통합될 수 있다. 이와 같은 처리 플랫폼은 진공을 없애지 않고 여러 처리 동작을 수행할 수 있다. EnduraTM 플랫폼의 상세한 설명은 1999년 11월 30일자로 출원된 제목의 본 출원인의 "통합된 모듈형 처리 플랫폼"이란 미국 출원 번호 09/4451,628에 개시되어 있으며, 상기 출원 명세서는 본 명세서에서 참조로 포함된다.
도 6은 예시적인 다중-챔버 처리 시스템(600)의 개략적인 상면도이다. 시스템(600)은 기판을 시스템(600) 외부로 이송시키기 위해 하나 이상의 로드 락 챔버(602,604)를 포함할 수 있다. 통상적으로, 시스템(600)은 진공 상태이기 때문에, 로드 락 챔버(602,604)는 시스템(600) 안으로 들오온 기판을 "펌핑 다운"시킬 수 있다. 제 1 로봇(610)은 로드락 챔버(602,604)와 제 1 세트의 하나 이상의 기판 처리 챔버들(612,614,616,618)(4개가 도시됨) 사이에서 기판을 이송시킬 수 있다. 각각의 처리 챔버(612,614,616,618)는 싸이클리컬 층 증착(CLD), 원자층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 사전-세척, 배기, 방향설정 및 기타 기판 처리에 추가하여 여기서 설명한 드라이 에칭 처리를 포함하는 다수의 기판 처리 동작을 수행하도록 제공될 수 있다.
또한 제 1 로봇(610)은 하나 이상의 이송 챔버(622,624)로 기판을 이송시키고 이들 챔버로부터 기판을 이송시킬 수 있다. 이송 챔버(622,624)는 초고진공 조건을 유지하면서 기판이 시스템(600) 내에서 이송되도록 사용될 수 있다. 제 2 로봇(630)은 이송 챔버(622,624)와 제 2 세트의 하나 이상의 처리 챔버(632,634,636,638) 간에 기판을 이송시킬 수 있다. 처리 챔버(612,614,616,618)와 유사하게, 처리 챔버(632,634,636,638)는 예컨대 싸이클리컬 층 증착(CLD), 원자층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 사전-세척, 배기, 및 포지셔닝에 추가하여 여기서 설명한 드라이 에칭 처리를 포함하는 다양한 기판 처리 동작을 수행하도록 제공될 수 있다. 기판 처리 챔버(612,614,616,618,632,634,636,638) 중 임의의 챔버가 만약 시스템(600)에 의해 수행될 특정 처리에 필요하지 않다면 시스템(600)에서 제거될 수 있다.
도 5A-5H의 MOSFET 구조물을 형성하기 위한 예시적인 다중-처리 시스템(600)은 상기 설명한 것과 같은 두 개의 처리 챔버(100), 금속(500)을 증착시키기 위한 두 개의 물리 기상 증착 챔버 및 선택적인 캡층(도시안됨)을 증착시키기 위한 두 개의 물리 기상 증착 챔버를 포함할 수 있다. 도 6에 도시된 처리 챔버(612,614,616,618,632,634,636,638)중 임의의 챔버는 PVD 챔버 및/또는 처리 챔버(100)를 나타낸다.
비록 상기 처리 시퀀스가 MOSFET 소자 형성과 관련하여 설명되었지만, 여기서 설명한 드라이 에칭 처리도 기타 반도체 구조물 및 예컨대 텅스텐, 탄탈륨, 몰리브데늄의 슈이사이드와 같은 기타 금속 슈이사이드 층을 갖는 소자를 형성하는데 사용될 수 있다. 또한, 세척 처리는 예컨대 알루미늄, 구리, 코발트, 니켈, 실리콘, 티타늄, 팔라듐, 하프늄, 보론, 텅스텐, 탄탈륨, 또는 이들의 혼합물을 포함하는 상이한 금속의 층을 증착하기 전에 사용될 수 있다.
상기 설명의 이해를 용이하게 하기 위하여, 다음의 제한적이지 않은 예가 제공된다. 비록 예가 특정 실시예에 영향을 받을 수 있지만, 상기 예는 본 발명을 제한하고자 하는 것이 아니다.
예:
에칭 동안, 2 sccm의 NF3, 10 sccm의 NH3 및 2500sccm의 아르곤의 가스 혼합물이 챔버 안으로 유입된다. 가스 혼합물의 플라즈마가 100 와트 파워를 사용하여 점화된다. 바닥 퍼지는 1,500 sccm의 아르곤이고 에지 퍼지는 50 sccm의 아르곤이다. 챔버 압력은 약 6 Torr에서 유지되고, 기판 온도는 약 22℃이다. 기판은 120초 동안 에칭된다.
후속하는 어닐링 동안, 간격은 750 mil이고 리드 온도는 120℃이다. 기판은 약 60초 동안 어닐링된다. 약 50 옹스트롱의 재료가 기판 표면으로부터 제거된다. 어닐링 효과는 관찰되지 않는다. 에칭율은 초당 약 0.46 옹스트롱(28Å/분)이다. 관찰된 에칭 균이도는 50Å에 대해 약 5%이다.
다르게 지칭하는 것이 없다면, 성분, 특성, 반응 조건 등의 양을 표현하는 모든 숫자가 명세서에 사용되었고 청구항은 근사값으로 이해될 수 있다. 이들 근사값들은 본 발명에 의해 얻어지는 원하는 특성과 측정값 에러에 기초한 것이며, 적어도 보고된 중요한 한자리 수자로 구성되어야 하며 라운딩 기술을 적용하였다. 또한, 여기서 표현된, 온도, 압력, 간격, 몰비율, 흐름속도, 등을 포함하는 양은 원하는 에칭 선택도와 입자 성능을 얻도록 더욱 최적화될 수 있다.
상기 설명은 본 발명의 실시예들에 관한 것이지만, 본 발명의 추가의 다른 실시예가 본 발명의 기본 범위를 벗어나지 않고 도출될 수 있으며, 그 범위는 하기 청구항에 의해 결정된다.
본 발명의 실시에 의해, 단일 챔버 내에서 산화물 제거 프로세스를 완성할 수 있으며, 두 개의 챔버를 필요로 하지 않기 때문에 설비 비용을 절감할 수 있다.
*도면의 주요 부분에 대한 설명*
처리챔버: 100, 612, 614, 616, 618, 632, 634, 636, 638
챔버 몸체부: 100 유체 채널: 113, 202, 360
진공 펌프: 125 진공 채널: 129A
가열 소자: 270 열전쌍: 272
금속층: 590 전송 챔버: 622, 624

Claims (20)

  1. 기판 표면으로부터 자연 산화물들을 제거하기 위한 방법으로서,
    진공 챔버에서 상기 기판 표면을 지지하는 단계;
    상기 진공 챔버 내에서 가스 혼합물로부터 반응성 종(reactive species)을 생성하는 단계;
    상기 진공 챔버 내에서 상기 기판 표면을 냉각하는 단계;
    상기 기판 표면 상의 상기 자연 산화물들과 반응하여 상기 기판 표면 상에 막을 형성하기 위해 냉각된 상기 기판 표면으로 상기 반응성 종을 지향시키는 단계; 및
    상기 막을 기화시키기 위해 상기 진공 챔버 내에서 상기 기판 표면을 가열하는 단계
    를 포함하는 기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 진공 챔버로부터 기화된 상기 막을 제거하는 단계를 더 포함하는,
    기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 막은 질소 및 불소 원자들을 포함하는 염(salt)인,
    기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 가스 혼합물은 암모니아 및 불화질소를 포함하는,
    기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
  5. 제 1 항에 있어서,
    상기 기판 표면을 냉각하는 단계는 상부에 상기 기판 표면이 배치된 지지 어셈블리를 통해 냉각제를 유동시키고 상기 기판 온도를 실온 아래로 유지시키는 단계를 포함하는,
    기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
  6. 제 5 항에 있어서,
    상기 기판 온도는 약 22℃ 아래로 유지되는,
    기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 기판 표면을 가열하는 단계는 상기 진공 챔버 내에 배치된 가열형 가스 분배판에 인접하게 상기 기판 표면을 배치하는 단계 및 상기 기판 온도를 약 100℃ 이상으로 유지시키는 단계를 포함하는,
    기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
  8. 제 7 항에 있어서,
    상기 기판 온도는 약 120℃에서 유지되는,
    기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
  9. 제 7 항에 있어서,
    상기 기판 표면은 상기 가열형 가스 분배판으로부터 약 10 mils 내지 200 mils에 배치되는,
    기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
  10. 제 1 항에 있어서,
    냉각된 상기 기판 표면으로 상기 반응성 종을 지향시키는 단계는 가스 분배판을 통해 상기 반응성 종을 유동시키는 단계를 포함하는,
    기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
  11. 제 10 항에 있어서,
    상기 기판 표면을 가열하는 단계는 상기 가스 분배판을 가열하는 단계 및 상기 기판 표면을 상기 가스 분배판에 근접하게 배치하는 단계를 포함하는,
    기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
  12. 제 11 항에 있어서,
    상기 기판 표면은 약 100℃ 이상으로 가열되는,
    기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
  13. 제 12 항에 있어서,
    상기 가스 분배판을 가열하는 단계는 가열 소자를 상기 가스 분배판의 적어도 일부분에 결합하는 단계를 포함하는,
    기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
  14. 제 13 항에 있어서,
    상기 막을 승화(sublimate)시키기 위해 상기 챔버 내에서 상기 기판 표면을 가열하면서, 상기 가스 분배판은 약 100℃ 내지 약 150℃의 온도에서 유지되는,
    기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
  15. 제 4 항에 있어서,
    상기 가스 혼합물은 캐리어 가스를 더 포함하는,
    기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
  16. 제 4 항에 있어서,
    상기 가스 혼합물은 적어도 3:1 몰비율(molar ratio)의 암모니아 대 삼불화질소를 포함하는,
    기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
  17. 제 16 항에 있어서,
    상기 암모니아 대 삼불화질소의 몰비율은 약 10:1 내지 약 20:1인,
    기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
  18. 제 11 항에 있어서,
    상기 기판 표면은 가열된 상기 가스 분배판으로부터 약 10 mils 내지 200 mils에 배치되는,
    기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
  19. 단일 진공 챔버 내에서 기판 표면으로부터 자연 산화물들을 제거하기 위한 방법으로서,
    상기 챔버의 제 1 부분(section)에 반응성 종의 플라즈마를 생성하는 단계;
    상부에 상기 자연 산화물들을 갖는 상기 기판 표면을 상기 챔버의 제 2 부분에서 냉각하는 단계;
    냉각된 상기 기판 표면과 반응시키기 위해 상기 제 1 부분으로부터 상기 제 2 부분으로 상기 반응성 종을 유동시키는 단계;
    냉각된 상기 기판 표면 상에 막을 증착하는 단계;
    상기 기판 표면을 상기 챔버의 제 3 부분으로 이동시키는 단계; 및
    상기 막을 승화시키기 위해 상기 챔버의 상기 제 3 부분에서 상기 기판 표면을 가열하는 단계
    를 포함하는 기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
  20. 단일 처리 챔버 내에서 기판 표면으로부터 자연 산화물들을 제거하기 위한 방법으로서,
    질소 및 불소 원자들을 포함하는 반응성 종의 플라즈마를 생성하는 단계;
    상기 기판 표면을 상기 반응성 종에 노출시키는 단계;
    상기 기판 표면을 약 22℃ 아래의 온도로 냉각하는 단계;
    상기 질소 및 불소 원자들의 막을 냉각된 상기 기판 표면 상에 증착하는 단계; 및
    상기 막을 승화시키기 위해 상기 기판 표면을 어닐링하는 단계
    를 포함하는 기판 표면으로부터 자연 산화물들을 제거하기 위한 방법.
KR1020110090787A 2004-02-26 2011-09-07 Feol 제조를 위한 인시튜 세정 챔버 KR101192099B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US54783904P 2004-02-26 2004-02-26
US60/547,839 2004-02-26

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020050015931A Division KR101148431B1 (ko) 2004-02-26 2005-02-25 Feol 제조를 위한 인시튜 세정 챔버

Publications (2)

Publication Number Publication Date
KR20110110746A true KR20110110746A (ko) 2011-10-07
KR101192099B1 KR101192099B1 (ko) 2012-10-17

Family

ID=34749068

Family Applications (6)

Application Number Title Priority Date Filing Date
KR1020050015931A KR101148431B1 (ko) 2004-02-26 2005-02-25 Feol 제조를 위한 인시튜 세정 챔버
KR1020080113981A KR101228996B1 (ko) 2004-02-26 2008-11-17 샤워헤드 어셈블리
KR1020080113999A KR101107919B1 (ko) 2004-02-26 2008-11-17 Rf 전극
KR1020080113993A KR101324651B1 (ko) 2004-02-26 2008-11-17 지지 어셈블리
KR1020110090807A KR101234740B1 (ko) 2004-02-26 2011-09-07 Feol 제조를 위한 인시튜 세정 챔버
KR1020110090787A KR101192099B1 (ko) 2004-02-26 2011-09-07 Feol 제조를 위한 인시튜 세정 챔버

Family Applications Before (5)

Application Number Title Priority Date Filing Date
KR1020050015931A KR101148431B1 (ko) 2004-02-26 2005-02-25 Feol 제조를 위한 인시튜 세정 챔버
KR1020080113981A KR101228996B1 (ko) 2004-02-26 2008-11-17 샤워헤드 어셈블리
KR1020080113999A KR101107919B1 (ko) 2004-02-26 2008-11-17 Rf 전극
KR1020080113993A KR101324651B1 (ko) 2004-02-26 2008-11-17 지지 어셈블리
KR1020110090807A KR101234740B1 (ko) 2004-02-26 2011-09-07 Feol 제조를 위한 인시튜 세정 챔버

Country Status (6)

Country Link
US (14) US20050230350A1 (ko)
EP (2) EP1568797B1 (ko)
JP (3) JP4960598B2 (ko)
KR (6) KR101148431B1 (ko)
CN (4) CN100487857C (ko)
TW (5) TWI393800B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101360970B1 (ko) * 2011-11-08 2014-02-21 가부시키가이샤 히다치 하이테크놀로지즈 열처리 장치

Families Citing this family (462)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
EP1466034A1 (en) * 2002-01-17 2004-10-13 Sundew Technologies, LLC Ald apparatus and method
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US20050150452A1 (en) * 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
TWI274978B (en) * 2004-02-25 2007-03-01 Advanced Display Proc Eng Co Apparatus for manufacturing flat-panel display
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US20070051388A1 (en) 2005-09-06 2007-03-08 Applied Materials, Inc. Apparatus and methods for using high frequency chokes in a substrate deposition apparatus
JP4806241B2 (ja) * 2005-09-14 2011-11-02 東京エレクトロン株式会社 基板処理装置及び基板リフト装置
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
KR100672731B1 (ko) * 2005-10-04 2007-01-24 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
JP5046506B2 (ja) * 2005-10-19 2012-10-10 東京エレクトロン株式会社 基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7662723B2 (en) * 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
JP4601070B2 (ja) * 2006-01-17 2010-12-22 東京エレクトロン株式会社 熱処理装置
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US20170046458A1 (en) 2006-02-14 2017-02-16 Power Analytics Corporation Systems and methods for real-time dc microgrid power analytics for mission-critical power systems
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
US7743731B2 (en) * 2006-03-30 2010-06-29 Tokyo Electron Limited Reduced contaminant gas injection system and method of using
JP5042517B2 (ja) * 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20070248767A1 (en) * 2006-04-19 2007-10-25 Asm Japan K.K. Method of self-cleaning of carbon-based film
JP2007311540A (ja) * 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7651948B2 (en) * 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
JP2008027796A (ja) * 2006-07-24 2008-02-07 Canon Inc プラズマ処理装置
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US8293066B2 (en) * 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US8419341B2 (en) * 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US9524896B2 (en) * 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
JP5260861B2 (ja) * 2006-11-29 2013-08-14 東京エレクトロン株式会社 キャパシタ電極の製造方法と製造システムおよび記録媒体
US20080179289A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal with a plasma stream
US7967996B2 (en) * 2007-01-30 2011-06-28 Applied Materials, Inc. Process for wafer backside polymer removal and wafer front side photoresist removal
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
JP4949091B2 (ja) * 2007-03-16 2012-06-06 東京エレクトロン株式会社 基板処理装置、基板処理方法および記録媒体
US7670952B2 (en) * 2007-03-23 2010-03-02 Texas Instruments Incorporated Method of manufacturing metal silicide contacts
US20080236614A1 (en) * 2007-03-30 2008-10-02 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US20100151677A1 (en) * 2007-04-12 2010-06-17 Freescale Semiconductor, Inc. Etch method in the manufacture of a semiconductor device
US7732353B2 (en) * 2007-04-18 2010-06-08 Ultratech, Inc. Methods of forming a denuded zone in a semiconductor wafer using rapid laser annealing
KR100898440B1 (ko) * 2007-06-27 2009-05-21 주식회사 동부하이텍 플래시 메모리 소자의 제조 방법
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
CN101399197B (zh) * 2007-09-30 2011-12-07 北京北方微电子基地设备工艺研究中心有限责任公司 一种腔室的衬
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US7659616B2 (en) * 2007-10-10 2010-02-09 International Business Machines Corporation On-chip cooling systems for integrated circuits
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US7967994B2 (en) * 2007-10-25 2011-06-28 Ovonyx, Inc. Method and apparatus for chalcogenide device formation
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US20090197015A1 (en) * 2007-12-25 2009-08-06 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
KR100952671B1 (ko) * 2007-12-27 2010-04-13 세메스 주식회사 척킹부재, 이를 갖는 기판 처리 장치 및 이를 이용한 기판 처리 방법
KR101431197B1 (ko) * 2008-01-24 2014-09-17 삼성전자주식회사 원자층 증착설비 및 그의 원자층 증착방법
US8883650B2 (en) * 2008-01-24 2014-11-11 United Microelectronics Corp. Method of removing oxides
US20090191703A1 (en) * 2008-01-29 2009-07-30 Applied Materials, Inc. Process with saturation at low etch amount for high contact bottom cleaning efficiency for chemical dry clean process
US20090236682A1 (en) * 2008-03-20 2009-09-24 Hocine Boubekeur Layer stack including a tungsten layer
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8333842B2 (en) * 2008-05-15 2012-12-18 Applied Materials, Inc. Apparatus for etching semiconductor wafers
KR20100009625A (ko) * 2008-05-30 2010-01-28 캐논 아네르바 가부시키가이샤 규소 화합물 형성 방법 및 이의 시스템
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8209833B2 (en) * 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
CN101740338B (zh) * 2008-11-24 2012-07-18 中芯国际集成电路制造(北京)有限公司 薄膜去除方法
US7994002B2 (en) 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
KR101566922B1 (ko) * 2009-02-16 2015-11-09 삼성전자주식회사 저스트 드라이 에칭과 케미컬 드라이 에칭을 조합한 반도체소자의 금속 실리사이드막 형성 방법
US9058988B2 (en) 2009-03-05 2015-06-16 Applied Materials, Inc. Methods for depositing layers having reduced interfacial contamination
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110082597A1 (en) 2009-10-01 2011-04-07 Edsa Micro Corporation Microgrid model based automated real time simulation for market based electric power system optimization
US8525139B2 (en) * 2009-10-27 2013-09-03 Lam Research Corporation Method and apparatus of halogen removal
US8232538B2 (en) * 2009-10-27 2012-07-31 Lam Research Corporation Method and apparatus of halogen removal using optimal ozone and UV exposure
CN102054687B (zh) * 2009-11-10 2012-05-23 中芯国际集成电路制造(上海)有限公司 表面氧化物的去除方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
TWI558841B (zh) * 2009-12-22 2016-11-21 應用材料股份有限公司 狹縫閥通道支撐件
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
KR101126389B1 (ko) * 2009-12-29 2012-03-28 주식회사 케이씨텍 원자층 증착장치의 서셉터 유닛
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
KR101155291B1 (ko) * 2010-02-22 2012-06-12 주식회사 테스 건식식각장치 및 이를 구비한 기판처리시스템
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US9175394B2 (en) * 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US8435902B2 (en) * 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
KR20110114030A (ko) 2010-04-12 2011-10-19 삼성전자주식회사 플래시 메모리 장치의 제조 방법
US9004006B2 (en) 2010-04-28 2015-04-14 Applied Materials, Inc. Process chamber lid design with built-in plasma source for short lifetime species
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2011156625A1 (en) * 2010-06-09 2011-12-15 Intevac, Inc. Full-enclosure, controlled-flow mini-environment for thin film chambers
WO2011162770A1 (en) 2010-06-25 2011-12-29 Tousimis Anastasios J Integrated processing and critical point drying systems for semiconductor and mems devices
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
JP5647845B2 (ja) * 2010-09-29 2015-01-07 株式会社Screenホールディングス 基板乾燥装置及び基板乾燥方法
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
CN103109357B (zh) * 2010-10-19 2016-08-24 应用材料公司 用于紫外线纳米固化腔室的石英喷洒器
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20120220116A1 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry Chemical Cleaning For Semiconductor Processing
CN103403852B (zh) 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
KR101970184B1 (ko) * 2011-03-01 2019-04-18 어플라이드 머티어리얼스, 인코포레이티드 얇은 피가열 기판 지지체
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
KR20120108324A (ko) * 2011-03-23 2012-10-05 한국기초과학지원연구원 중성 입자빔을 이용한 발광 소자 제조 방법 및 그 장치
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8912096B2 (en) * 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
KR101295794B1 (ko) * 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 장치
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
KR101870667B1 (ko) * 2011-08-17 2018-06-26 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US20130052809A1 (en) * 2011-08-25 2013-02-28 United Microelectronics Corporation Pre-clean method for epitaxial deposition and applications thereof
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
TW201325326A (zh) * 2011-10-05 2013-06-16 Applied Materials Inc 電漿處理設備及其基板支撐組件
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
DE112011105754B4 (de) * 2011-10-24 2014-12-31 Toyota Jidosha Kabushiki Kaisha Halbleitermodul
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
WO2013074369A1 (en) * 2011-11-15 2013-05-23 Applied Materials, Inc. Method and apparatus for selective nitridation process
KR101356664B1 (ko) * 2012-02-03 2014-02-05 주식회사 유진테크 측방배기 방식 기판처리장치
CN108565198A (zh) 2012-02-14 2018-09-21 恩特格里斯公司 用于改善注入束和源寿命性能的碳掺杂剂气体和协流
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
CN103377868A (zh) * 2012-04-14 2013-10-30 靖江先锋半导体科技有限公司 一种刻蚀电极机中的下电极装置
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US8647439B2 (en) * 2012-04-26 2014-02-11 Applied Materials, Inc. Method of epitaxial germanium tin alloy surface preparation
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US9034773B2 (en) * 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US8916477B2 (en) 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN102814305B (zh) * 2012-08-03 2015-04-08 京东方科技集团股份有限公司 用于刻蚀工艺前清洁腔室的装置及方法
US20140053984A1 (en) * 2012-08-27 2014-02-27 Hyun Ho Doh Symmetric return liner for modulating azimuthal non-uniformity in a plasma processing system
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140083360A1 (en) * 2012-09-26 2014-03-27 Applied Materials, Inc. Process chamber having more uniform gas flow
US9177780B2 (en) * 2012-10-02 2015-11-03 Applied Materials, Inc. Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
TWI591712B (zh) * 2012-10-03 2017-07-11 應用材料股份有限公司 使用低溫蝕刻劑沉積與電漿後處理的方向性二氧化矽蝕刻
US8980761B2 (en) * 2012-10-03 2015-03-17 Applied Materials, Inc. Directional SIO2 etch using low-temperature etchant deposition and plasma post-treatment
CN103785646A (zh) * 2012-10-30 2014-05-14 中微半导体设备(上海)有限公司 反应腔室清洗方法
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
TW201430996A (zh) * 2012-11-12 2014-08-01 Greene Tweed & Co Inc 用於在供基板處理之一真空腔室內之一環形組件的機械式夾具總成
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
JP5507654B2 (ja) * 2012-11-30 2014-05-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9982343B2 (en) * 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
CN103915306B (zh) * 2012-12-31 2016-04-20 北京北方微电子基地设备工艺研究中心有限责任公司 微电子工艺处理设备和用于其的反应腔室
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9123758B2 (en) * 2013-02-06 2015-09-01 Applied Materials, Inc. Gas injection apparatus and substrate process chamber incorporating same
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9685316B2 (en) * 2013-02-25 2017-06-20 United Microelectronics Corp. Semiconductor process
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140262031A1 (en) * 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
KR20210003959A (ko) * 2013-03-15 2021-01-12 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱을 위한 챔버 디자인
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
CN107833848B (zh) 2013-04-30 2021-12-07 应用材料公司 具有空间分布的气体通道的气流控制衬垫
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR20160024914A (ko) * 2013-07-26 2016-03-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
CN105453233B (zh) 2013-08-09 2019-10-22 应用材料公司 于外延生长之前预清洁基板表面的方法和设备
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150083042A1 (en) * 2013-09-26 2015-03-26 Applied Materials, Inc. Rotatable substrate support having radio frequency applicator
US9472416B2 (en) * 2013-10-21 2016-10-18 Applied Materials, Inc. Methods of surface interface engineering
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
TW201522696A (zh) * 2013-11-01 2015-06-16 Applied Materials Inc 使用遠端電漿cvd技術的低溫氮化矽膜
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
DE102013020106A1 (de) * 2013-12-06 2015-06-11 Oliver Feddersen-Clausen Reaktionskammer insbesondere für Atomic Laver Deposition
CN103695839B (zh) * 2013-12-07 2016-05-18 深圳市金凯新瑞光电有限公司 一种应用在镀膜设备中的离子源清洗装置
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
KR102458230B1 (ko) 2014-01-21 2022-10-21 어플라이드 머티어리얼스, 인코포레이티드 저압 툴 교체를 허용하는 얇은 필름 캡슐화 프로세싱 시스템 및 프로세스 키트
JP2015138931A (ja) * 2014-01-24 2015-07-30 株式会社日立ハイテクノロジーズ 真空処理装置および真空処理方法
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9824865B2 (en) * 2014-03-05 2017-11-21 Lam Research Corporation Waferless clean in dielectric etch process
US9673092B2 (en) * 2014-03-06 2017-06-06 Asm Ip Holding B.V. Film forming apparatus, and method of manufacturing semiconductor device
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9368370B2 (en) * 2014-03-14 2016-06-14 Applied Materials, Inc. Temperature ramping using gas distribution plate heat
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
JP5941491B2 (ja) * 2014-03-26 2016-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びにプログラム
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9380694B2 (en) * 2014-04-17 2016-06-28 Millenium Synthfuels Corporation Plasma torch having an externally adjustable anode and cathode
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9346127B2 (en) * 2014-06-20 2016-05-24 Velo3D, Inc. Apparatuses, systems and methods for three-dimensional printing
US11302520B2 (en) 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US20160032451A1 (en) * 2014-07-29 2016-02-04 Applied Materials, Inc. Remote plasma clean source feed between backing plate and diffuser
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US20160033070A1 (en) * 2014-08-01 2016-02-04 Applied Materials, Inc. Recursive pumping member
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9558928B2 (en) 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
US9653320B2 (en) 2014-09-12 2017-05-16 Applied Materials, Inc. Methods for etching a hardmask layer for an interconnection structure for semiconductor applications
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9359679B2 (en) 2014-10-03 2016-06-07 Applied Materials, Inc. Methods for cyclically etching a metal layer for an interconnection structure for semiconductor applications
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
US9368369B2 (en) 2014-11-06 2016-06-14 Applied Materials, Inc. Methods for forming a self-aligned contact via selective lateral etch
US9520302B2 (en) 2014-11-07 2016-12-13 Applied Materials, Inc. Methods for controlling Fin recess loading
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102438139B1 (ko) * 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10199230B2 (en) * 2015-05-01 2019-02-05 Applied Materials, Inc. Methods for selective deposition of metal silicides via atomic layer deposition cycles
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9595452B2 (en) 2015-05-27 2017-03-14 Lam Research Corporation Residue free oxide etch
US10053774B2 (en) * 2015-06-12 2018-08-21 Asm Ip Holding B.V. Reactor system for sublimation of pre-clean byproducts and method thereof
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10008366B2 (en) 2015-09-08 2018-06-26 Applied Materials, Inc. Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
US10322384B2 (en) * 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
JP6333232B2 (ja) * 2015-12-02 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
JP2019504507A (ja) * 2016-02-05 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複数種類のチャンバを有する集積型の層エッチングシステム
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11145495B2 (en) * 2016-06-15 2021-10-12 Evatec Ag Vacuum treatment chamber and method of manufacturing a vacuum treated plate-shaped substrate
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
WO2018034715A1 (en) * 2016-08-18 2018-02-22 Mattson Technology, Inc. Separation grid for plasma chamber
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
JP6827287B2 (ja) 2016-09-28 2021-02-10 株式会社日立ハイテク プラズマ処理装置の運転方法
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP6820717B2 (ja) 2016-10-28 2021-01-27 株式会社日立ハイテク プラズマ処理装置
US20180122670A1 (en) * 2016-11-01 2018-05-03 Varian Semiconductor Equipment Associates, Inc. Removable substrate plane structure ring
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10504720B2 (en) * 2016-11-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Etching using chamber with top plate formed of non-oxygen containing material
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR102587615B1 (ko) * 2016-12-21 2023-10-11 삼성전자주식회사 플라즈마 처리 장치의 온도 조절기 및 이를 포함하는 플라즈마 처리 장치
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR101850895B1 (ko) * 2017-01-03 2018-04-20 한국표준과학연구원 플라즈마 발생 장치
US10629416B2 (en) * 2017-01-23 2020-04-21 Infineon Technologies Ag Wafer chuck and processing arrangement
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10249532B2 (en) 2017-02-27 2019-04-02 International Business Machines Corporation Modulating the microstructure of metallic interconnect structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR102431354B1 (ko) 2017-07-11 2022-08-11 삼성디스플레이 주식회사 화학기상 증착장치 및 이를 이용한 표시 장치의 제조 방법
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10190216B1 (en) * 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) * 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6772117B2 (ja) 2017-08-23 2020-10-21 株式会社日立ハイテク エッチング方法およびエッチング装置
CN109427647B (zh) * 2017-09-04 2021-04-20 联华电子股份有限公司 隔离结构的制作方法
US10907252B2 (en) * 2017-10-23 2021-02-02 Applied Materials, Inc. Horizontal heat choke faceplate design
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US20190119815A1 (en) * 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
CN107937886A (zh) * 2017-11-14 2018-04-20 武汉华星光电半导体显示技术有限公司 化学气相沉积设备及成膜方法
JP6890085B2 (ja) * 2017-11-30 2021-06-18 東京エレクトロン株式会社 基板処理装置
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10410854B2 (en) * 2017-12-28 2019-09-10 Globalfoundries Singapore Pte. Ltd. Method and device for reducing contamination for reliable bond pads
US10766057B2 (en) * 2017-12-28 2020-09-08 Micron Technology, Inc. Components and systems for cleaning a tool for forming a semiconductor device, and related methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
JP7066438B2 (ja) * 2018-02-13 2022-05-13 東京エレクトロン株式会社 冷却システム
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11515130B2 (en) * 2018-03-05 2022-11-29 Applied Materials, Inc. Fast response pedestal assembly for selective preclean
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN111954927A (zh) * 2018-04-17 2020-11-17 应用材料公司 加热的陶瓷面板
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11328929B2 (en) * 2018-05-01 2022-05-10 Applied Materials, Inc. Methods, apparatuses and systems for substrate processing for lowering contact resistance
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
US20190385828A1 (en) * 2018-06-19 2019-12-19 Lam Research Corporation Temperature control systems and methods for removing metal oxide films
WO2019244790A1 (ja) * 2018-06-20 2019-12-26 株式会社アルバック 真空処理装置、支持シャフト
EP3588533A1 (en) * 2018-06-21 2020-01-01 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and method of operating the same
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
EP3821457A4 (en) 2018-07-09 2022-04-13 Lam Research Corporation ETCHING ATOMIC LAYER ETCHING USING ELECTRON EXCITATION
JP7110020B2 (ja) * 2018-07-24 2022-08-01 キオクシア株式会社 基板支持装置およびプラズマ処理装置
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
JP6966402B2 (ja) * 2018-09-11 2021-11-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および基板処理装置の電極
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN112714948A (zh) * 2018-09-26 2021-04-27 应用材料公司 气体分配组件及其操作
JP7475337B2 (ja) 2018-09-28 2024-04-26 アプライド マテリアルズ インコーポレイテッド 動的水平化を備えた同軸リフト装置
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102386210B1 (ko) * 2018-10-15 2022-04-12 세메스 주식회사 가열 플레이트 냉각 방법과 기판 처리 장치 및 방법
KR20230085954A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109600898B (zh) * 2018-12-13 2020-04-17 大连理工大学 一种喷淋式电极及放电系统
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2020123672A (ja) 2019-01-30 2020-08-13 東京エレクトロン株式会社 基板処理装置の制御方法、基板処理装置及びクラスタシステム
WO2020157954A1 (ja) 2019-02-01 2020-08-06 株式会社日立ハイテクノロジーズ エッチング方法およびプラズマ処理装置
JP6963097B2 (ja) 2019-04-22 2021-11-05 株式会社日立ハイテク プラズマ処理方法
US20200377998A1 (en) * 2019-05-28 2020-12-03 Applied Materials, Inc. Apparatus for improved flow control in process chambers
KR102628919B1 (ko) * 2019-05-29 2024-01-24 주식회사 원익아이피에스 기판처리장치 및 이를 이용한 기판처리방법
KR20210005515A (ko) * 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11532463B2 (en) * 2019-07-29 2022-12-20 Applied Materials, Inc. Semiconductor processing chamber and methods for cleaning the same
US10692730B1 (en) * 2019-08-30 2020-06-23 Mattson Technology, Inc. Silicon oxide selective dry etch process
US10991547B2 (en) 2019-09-25 2021-04-27 Applied Materials, Inc. Method and device for a carrier proximity mask
US10957512B1 (en) * 2019-09-25 2021-03-23 Applied Materials, Inc. Method and device for a carrier proximity mask
TWI721578B (zh) * 2019-09-27 2021-03-11 聚昌科技股份有限公司 快速更換產線之模組化電漿反應腔室結構
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
TW202125573A (zh) * 2019-11-16 2021-07-01 美商應用材料股份有限公司 具有嵌入式螺帽的噴淋頭
US20210175103A1 (en) * 2019-12-06 2021-06-10 Applied Materials, Inc. In situ failure detection in semiconductor processing chambers
KR102274459B1 (ko) 2019-12-27 2021-07-07 한국기계연구원 플라즈마 세정장치 및 이를 구비한 반도체 공정설비
WO2021150625A1 (en) 2020-01-23 2021-07-29 Applied Materials, Inc. Method of cleaning a structure and method of depositiing a capping layer in a structure
WO2021162932A1 (en) * 2020-02-10 2021-08-19 Applied Materials, Inc. Methods and apparatus for improving flow uniformity in a process chamber
CN111312583B (zh) * 2020-04-01 2022-04-29 山东职业学院 一种制备半导体硅芯片的生产工艺
US11854839B2 (en) 2020-04-15 2023-12-26 Mks Instruments, Inc. Valve apparatuses and related methods for reactive process gas isolation and facilitating purge during isolation
US20210335586A1 (en) * 2020-04-22 2021-10-28 Applied Materials, Inc. Methods and apparatus for cleaning a showerhead
JP2023524023A (ja) * 2020-04-28 2023-06-08 ラム リサーチ コーポレーション ウエハのベベル/縁部上の堆積を制御するためのシャワーヘッド設計
CN114080662A (zh) 2020-06-16 2022-02-22 株式会社日立高新技术 等离子处理装置以及等离子处理方法
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
EP4200901A1 (en) * 2020-08-18 2023-06-28 Mattson Technology, Inc. Rapid thermal processing system with cooling system
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
US20220195617A1 (en) * 2020-12-22 2022-06-23 Applied Materials, Inc. Multi-layer epi chamber body
JP7312160B2 (ja) * 2020-12-28 2023-07-20 株式会社アルバック エッチング装置及びエッチング方法
CN112813415A (zh) * 2020-12-31 2021-05-18 拓荆科技股份有限公司 腔体内的清洁方法
CN115142046B (zh) * 2021-03-31 2024-03-12 中微半导体设备(上海)股份有限公司 基片承载组件、化学气相沉积设备及吹扫方法
US11781212B2 (en) * 2021-04-07 2023-10-10 Applied Material, Inc. Overlap susceptor and preheat ring
US11851758B2 (en) * 2021-04-20 2023-12-26 Applied Materials, Inc. Fabrication of a high temperature showerhead
US20230009692A1 (en) * 2021-07-07 2023-01-12 Applied Materials, Inc Coated substrate support assembly for substrate processing

Family Cites Families (378)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) * 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3756511A (en) * 1971-02-02 1973-09-04 Kogyo Kaihatsu Kenyusho Nozzle and torch for plasma jet
US4232060A (en) * 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4397812A (en) * 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US3937857A (en) * 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4006047A (en) * 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US4230515A (en) * 1978-07-27 1980-10-28 Davis & Wilder, Inc. Plasma etching apparatus
US4265943A (en) * 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) * 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4361441A (en) * 1979-04-17 1982-11-30 Plasma Holdings N.V. Treatment of matter in low temperature plasmas
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (it) * 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4405435A (en) * 1980-08-27 1983-09-20 Hitachi, Ltd. Apparatus for performing continuous treatment in vacuum
US4368223A (en) * 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (ja) * 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
JPS63204726A (ja) * 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
EP0286306B1 (en) * 1987-04-03 1993-10-06 Fujitsu Limited Method and apparatus for vapor deposition of diamond
US4753898A (en) * 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
US4810520A (en) * 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
WO1989003587A1 (en) * 1987-10-14 1989-04-20 The Furukawa Electric Co., Ltd. Method and apparatus for thin film formation by plasma cvd
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US5015331A (en) * 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
JPH02121330A (ja) 1988-10-31 1990-05-09 Hitachi Ltd プラズマ処理方法及び装置
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
DE68928402T2 (de) 1988-12-27 1998-03-12 Toshiba Kawasaki Kk Verfahren zur Entfernung einer Oxidschicht auf einem Substrat
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5000319A (en) * 1989-06-02 1991-03-19 Leon Mermelstein Negative storage page with lock-in flaps
US4994404A (en) * 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
DE69111493T2 (de) 1990-03-12 1996-03-21 Ngk Insulators Ltd Wafer-Heizgeräte für Apparate, zur Halbleiterherstellung Heizanlage mit diesen Heizgeräten und Herstellung von Heizgeräten.
US4971653A (en) * 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5147692A (en) * 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
US5578130A (en) * 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
JP2787142B2 (ja) * 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
US5203911A (en) * 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5240497A (en) * 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5380560A (en) * 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
KR100238629B1 (ko) * 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5500249A (en) * 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
DE69432383D1 (de) * 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) * 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
EP0637063B1 (en) 1993-07-30 1999-11-03 Applied Materials, Inc. Method for depositing silicon nitride on silicium surfaces
US5468597A (en) * 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5384284A (en) * 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (sv) 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JPH07193214A (ja) 1993-12-27 1995-07-28 Mitsubishi Electric Corp バイアホール及びその形成方法
US5415890A (en) * 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5531835A (en) * 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5767373A (en) * 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5716485A (en) * 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
TW323387B (ko) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP2814370B2 (ja) * 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6197364B1 (en) * 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
WO1997009737A1 (en) * 1995-09-01 1997-03-13 Advanced Semiconductor Materials America, Inc. Wafer support system
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
US5910340A (en) * 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) * 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) * 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5733816A (en) * 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) * 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
JPH11510219A (ja) * 1995-12-19 1999-09-07 エフエスアイ インターナショナル インコーポレイテッド スプレー・プロセッサを用いる金属膜の無電解めっき
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5891513A (en) * 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
EP0891684B1 (en) 1996-03-25 2008-11-12 S. George Lesinski Attaching of an implantable hearing aid microactuator
US6048798A (en) * 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5846373A (en) * 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5917285A (en) * 1996-07-24 1999-06-29 Georgia Tech Research Corporation Apparatus and method for reducing operating voltage in gas discharge devices
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5747373A (en) * 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5904827A (en) * 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5951776A (en) * 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
JPH10154699A (ja) 1996-11-25 1998-06-09 Anelva Corp リモートプラズマ型プラズマ処理装置
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
DE19700231C2 (de) * 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5885749A (en) * 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) * 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6518155B1 (en) * 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6086688A (en) * 1997-07-28 2000-07-11 Alcan International Ltd. Cast metal-matrix composite material and its use
US6364957B1 (en) * 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
JP3874911B2 (ja) 1997-10-15 2007-01-31 株式会社Neomaxマテリアル 微小プラスチック球へのめっき方法
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6077780A (en) * 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
JPH11204442A (ja) 1998-01-12 1999-07-30 Tokyo Electron Ltd 枚葉式の熱処理装置
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5932077A (en) * 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
WO1999052135A1 (en) 1998-04-02 1999-10-14 Applied Materials, Inc. Method for etching low k dielectrics
US6117245A (en) * 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6113771A (en) * 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6179924B1 (en) * 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
DE69929607T2 (de) 1998-06-30 2006-07-27 Semitool, Inc., Kalispell Metallisierungsstrukturen für mikroelektronische anwendungen und verfahren zur herstellung dieser strukturen
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
JP2000026975A (ja) * 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) * 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
KR100271770B1 (ko) * 1998-09-03 2001-02-01 윤종용 반도체장치 제조를 위한 플라즈마 공정챔버
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6180523B1 (en) * 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) * 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) * 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
JP4124543B2 (ja) 1998-11-11 2008-07-23 東京エレクトロン株式会社 表面処理方法及びその装置
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6251236B1 (en) * 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6228233B1 (en) * 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6015747A (en) * 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) * 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6010962A (en) * 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) * 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6144099A (en) * 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
JP4236329B2 (ja) * 1999-04-15 2009-03-11 日本碍子株式会社 プラズマ処理装置
US6110836A (en) * 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
US6464795B1 (en) * 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
US20020033233A1 (en) * 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6174812B1 (en) * 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6110530A (en) * 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6258223B1 (en) * 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6516815B1 (en) * 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
JP4057198B2 (ja) 1999-08-13 2008-03-05 東京エレクトロン株式会社 処理装置及び処理方法
US6375748B1 (en) * 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) * 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6432819B1 (en) * 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
DE29919142U1 (de) * 1999-10-30 2001-03-08 Agrodyn Hochspannungstechnik G Plasmadüse
US6551924B1 (en) * 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
KR20010051575A (ko) 1999-11-09 2001-06-25 조셉 제이. 스위니 살리사이드 처리를 위한 화학적 플라즈마 세정
TW484170B (en) * 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
US6596085B1 (en) * 2000-02-01 2003-07-22 Applied Materials, Inc. Methods and apparatus for improved vaporization of deposition material in a substrate processing system
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6350320B1 (en) * 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6958098B2 (en) * 2000-02-28 2005-10-25 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP2001355074A (ja) * 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
JP2001308023A (ja) * 2000-04-21 2001-11-02 Tokyo Electron Ltd 熱処理装置及び方法
US6458718B1 (en) * 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6729081B2 (en) 2000-06-09 2004-05-04 United Solar Systems Corporation Self-adhesive photovoltaic module
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6491978B1 (en) * 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (ko) * 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6436267B1 (en) * 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP2002100578A (ja) 2000-09-25 2002-04-05 Crystage Co Ltd 薄膜形成装置
CN1471727A (zh) * 2000-11-01 2004-01-28 应用材料有限公司 在衬底中的大高宽比部件的蚀刻
WO2002037541A2 (en) * 2000-11-01 2002-05-10 Applied Materials, Inc. Etch chamber for etching dielectric layer with expanded process window
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (ko) * 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
AUPR179500A0 (en) * 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6291348B1 (en) * 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) * 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
US6849854B2 (en) * 2001-01-18 2005-02-01 Saintech Pty Ltd. Ion source
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
KR100735932B1 (ko) * 2001-02-09 2007-07-06 동경 엘렉트론 주식회사 성막 장치
JP4260404B2 (ja) * 2001-02-09 2009-04-30 東京エレクトロン株式会社 成膜装置
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP3924483B2 (ja) * 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
JP3707394B2 (ja) 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
KR100687531B1 (ko) * 2001-05-09 2007-02-27 에이에스엠 저펜 가부시기가이샤 반도체 장치용 저유전상수 절연막의 형성방법
JP4720019B2 (ja) * 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
US6717189B2 (en) * 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US6573606B2 (en) * 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
JP2004533123A (ja) * 2001-06-14 2004-10-28 マトソン テクノロジー インコーポレーテッド 銅接続用の障壁エンハンスメント工程
JP2003019433A (ja) 2001-07-06 2003-01-21 Sekisui Chem Co Ltd 放電プラズマ処理装置及びそれを用いた処理方法
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US7138649B2 (en) 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
CN1329972C (zh) * 2001-08-13 2007-08-01 株式会社荏原制作所 半导体器件及其制造方法
JP2003059914A (ja) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US20030038305A1 (en) * 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
WO2003018867A1 (en) 2001-08-29 2003-03-06 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
EP1302988A3 (de) 2001-10-12 2007-01-24 Bayer MaterialScience AG Photovoltaik-Module mit einer thermoplastischen Schmelzklebeschicht sowie ein Verfahren zu ihrer Herstellung
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
JP3954833B2 (ja) 2001-10-19 2007-08-08 株式会社アルバック バッチ式真空処理装置
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
KR20040066170A (ko) 2001-12-13 2004-07-23 어플라이드 머티어리얼스, 인코포레이티드 질화물 숄더에 대해 높은 민감도를 갖는 자기 정렬 콘택에칭
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) * 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
US6821379B2 (en) * 2001-12-21 2004-11-23 The Procter & Gamble Company Portable apparatus and method for treating a workpiece
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030116439A1 (en) * 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP2003217898A (ja) 2002-01-16 2003-07-31 Sekisui Chem Co Ltd 放電プラズマ処理装置
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6806653B2 (en) * 2002-01-31 2004-10-19 Tokyo Electron Limited Method and structure to segment RF coupling to silicon electrode
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7256370B2 (en) * 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6913651B2 (en) * 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6616967B1 (en) * 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
JP2003347278A (ja) * 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
WO2004006303A2 (en) * 2002-07-02 2004-01-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) * 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
JP2005536042A (ja) * 2002-08-08 2005-11-24 トリコン テクノロジーズ リミティド シャワーヘッドの改良
US20040033677A1 (en) * 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
KR100500852B1 (ko) 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4606713B2 (ja) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6699380B1 (en) * 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US6713873B1 (en) * 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
JP3838969B2 (ja) 2002-12-17 2006-10-25 沖電気工業株式会社 ドライエッチング方法
US6720213B1 (en) * 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
WO2004074932A2 (en) * 2003-02-14 2004-09-02 Applied Materials, Inc. Method and apparatus for cleaning of native oxides with hydroge-containing radicals
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
EP1602125B1 (en) 2003-03-07 2019-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation process
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
US20050022735A1 (en) * 2003-07-31 2005-02-03 General Electric Company Delivery system for PECVD powered electrode
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US20050035455A1 (en) * 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7282244B2 (en) * 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
JP4644676B2 (ja) 2003-10-06 2011-03-02 アプライド マテリアルズ インコーポレイテッド フェイスアップウェット処理用のウェーハ温度均一性を改善する装置
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
WO2005087974A2 (en) 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
JP2007537602A (ja) 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US7122949B2 (en) * 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
KR100593740B1 (ko) 2004-09-16 2006-06-28 삼성전자주식회사 반도체 자연산화막 제거방법
WO2006069085A2 (en) * 2004-12-21 2006-06-29 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US7514353B2 (en) 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
US20060246217A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
WO2007035880A2 (en) * 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US20070087573A1 (en) 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
AU2008333222A1 (en) 2007-12-04 2009-06-11 Parabel Ag Multilayer solar element
JP2009170890A (ja) 2007-12-18 2009-07-30 Takashima & Co Ltd 可撓性膜状太陽電池複層体

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101360970B1 (ko) * 2011-11-08 2014-02-21 가부시키가이샤 히다치 하이테크놀로지즈 열처리 장치

Also Published As

Publication number Publication date
KR101107919B1 (ko) 2012-01-25
KR101228996B1 (ko) 2013-02-04
TWI402371B (zh) 2013-07-21
KR101192099B1 (ko) 2012-10-17
JP2011205154A (ja) 2011-10-13
EP1568797A2 (en) 2005-08-31
US20050205110A1 (en) 2005-09-22
KR101148431B1 (ko) 2012-05-23
KR101234740B1 (ko) 2013-02-19
EP2787099A2 (en) 2014-10-08
JP2011205135A (ja) 2011-10-13
EP1568797B1 (en) 2014-07-23
KR20110110748A (ko) 2011-10-07
JP4960598B2 (ja) 2012-06-27
KR20080110566A (ko) 2008-12-18
TW200923126A (en) 2009-06-01
KR20080111424A (ko) 2008-12-23
US20120244704A1 (en) 2012-09-27
US20120267346A1 (en) 2012-10-25
CN101916740B (zh) 2013-01-02
US20050230350A1 (en) 2005-10-20
US20110223755A1 (en) 2011-09-15
US20080268645A1 (en) 2008-10-30
US7767024B2 (en) 2010-08-03
US10593539B2 (en) 2020-03-17
KR20080110967A (ko) 2008-12-22
KR101324651B1 (ko) 2013-11-01
US20050221552A1 (en) 2005-10-06
US20090111280A1 (en) 2009-04-30
CN100487857C (zh) 2009-05-13
EP1568797A3 (en) 2006-10-04
US8343307B2 (en) 2013-01-01
CN101916740A (zh) 2010-12-15
US20090095621A1 (en) 2009-04-16
US20200006054A1 (en) 2020-01-02
JP5250668B2 (ja) 2013-07-31
US7520957B2 (en) 2009-04-21
CN1681079A (zh) 2005-10-12
CN101916715B (zh) 2012-11-28
TWI393800B (zh) 2013-04-21
KR20060042255A (ko) 2006-05-12
US20050218507A1 (en) 2005-10-06
US20090095334A1 (en) 2009-04-16
CN101241844B (zh) 2010-09-22
TWI330669B (en) 2010-09-21
EP2787099A3 (en) 2014-12-03
TWI421370B (zh) 2014-01-01
US8846163B2 (en) 2014-09-30
US20210225640A1 (en) 2021-07-22
TW200934887A (en) 2009-08-16
TWI386517B (zh) 2013-02-21
US20140076234A1 (en) 2014-03-20
TW201102455A (en) 2011-01-16
TW200930829A (en) 2009-07-16
US7396480B2 (en) 2008-07-08
JP2005244244A (ja) 2005-09-08
TW200533777A (en) 2005-10-16
CN101916715A (zh) 2010-12-15
CN101241844A (zh) 2008-08-13
JP5028536B2 (ja) 2012-09-19

Similar Documents

Publication Publication Date Title
US20210225640A1 (en) Support assembly
JP5184890B2 (ja) 基板のための処理チャンバ
US20060051966A1 (en) In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
EP1831430A2 (en) An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
KR101248182B1 (ko) Feol 제조를 위한 인시튜 세정 챔버

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150930

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160929

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181001

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20191001

Year of fee payment: 8