JPH11510219A - スプレー・プロセッサを用いる金属膜の無電解めっき - Google Patents

スプレー・プロセッサを用いる金属膜の無電解めっき

Info

Publication number
JPH11510219A
JPH11510219A JP52300397A JP52300397A JPH11510219A JP H11510219 A JPH11510219 A JP H11510219A JP 52300397 A JP52300397 A JP 52300397A JP 52300397 A JP52300397 A JP 52300397A JP H11510219 A JPH11510219 A JP H11510219A
Authority
JP
Japan
Prior art keywords
solution
metal
substrate
electroless plating
plating solution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP52300397A
Other languages
English (en)
Inventor
シャーチャム−ダイヤモンド,ヨシ
ングイェン,ヴィン
ダビン,ヴァレリィ
Original Assignee
エフエスアイ インターナショナル インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エフエスアイ インターナショナル インコーポレイテッド filed Critical エフエスアイ インターナショナル インコーポレイテッド
Publication of JPH11510219A publication Critical patent/JPH11510219A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • C23C18/40Coating with copper using reducing agents
    • C23C18/405Formaldehyde
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1655Process features
    • C23C18/1658Process features with two steps starting with metal deposition followed by addition of reducing agent
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1655Process features
    • C23C18/166Process features with two steps starting with addition of reducing agent followed by metal deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1676Heating of the solution
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1682Control of atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1689After-treatment
    • C23C18/1692Heat-treatment

Abstract

(57)【要約】 非常に薄い銅のような金属フィルムの無電解めっきをスプレー・プロセッサにより達成する。無電解めっき溶液のアトマイズされた微小滴または連続的な流れを基材に噴霧する。無電解めっき溶液は噴霧直前に還元溶液および金属貯蔵溶液を混合することによって製造できる。析出工程は金属貯蔵溶液および還元化貯槽、めっき溶液を生成するための混合チャンバー、所望により不活性ガスまたは空気(酸素)源、その中で基材に溶液を噴霧するプロセスチャンバーならびに自動化されためっき溶液の混合および噴霧のための予め決定されたプログラムによって混合チャンバーおよびプロセスチャンバーに溶液を供給するための制御システムを含む装置において行われ得る。方法は100Å程の薄さの金属フィルムを製造するのに使用でき、これらのフィルムはバルクの値にほぼ等しい低い抵抗率値、低い表面荒さ、優れた電気的および厚み均質性および鏡様の表面をもつ。低い温度のアニーリングは析出されたフィルムの電気特性をさらに改良するのに使用できる。開示された方法により製造された薄い金属フィルムは半導体ウエーハ二次加工品および集成体に使用でき、ならびに薄フィルムディスク、薄フィルムヘッド、光学的記憶装置、センサ装置、マイクロエレクトロマシーン化センサ(MEM)およびアクチュエータ、ならびに光学フィルターの製造に使用できる。

Description

【発明の詳細な説明】 スプレー・プロセッサを用いる金属膜の無電解めっき 発明の分野 本発明は、バルク金属と実質的に同一の電気特性を有する非常に薄い金属膜を 有する物品、並びに無電解めっき技術による前記膜の製造方法に関するものであ る。 発明の背景 超大規模集積(ULSI)構造においては、高い回路速度、高い充填密度及び 低い電力損が必要であり、従って、固有寸法は小さくしなければならない。相互 に連結する関連した時間遅れは、高い回路速度を達成する際の主な制限事項とな る。自動的な装置寸法の縮小は相互連結する固有寸法を小さくし、この事は、相 互連結抵抗及び相互連結電流密度を増加し得る。穴を経由する場合の深さに対す る金属の乏しいステップ塗布量(step coverage)も、相互連結抵抗及び電気伝導 損失を増加させる。全ての前記因子の結果として、現在のアルミニウム相互連結 材料を一層低い抵抗の金属材料に置換することは、半導体装置の製造業者におけ る重要な目標になった。低い固有抵抗を有する金属膜を使用することは、自動的 にRC〔“レジスタンス・キャパシタンス(Resistance Capacitance)”〕時間遅 れを減少させ、そして、これは大きな利点である。 性能特性を比較すると、アルミニウム相互連結線は、銅線の5×106アンペ ア/cm2レベルの電流密度に 対して、2×105アンペア/cm2の電流密度限界を有する。相互連結線中の銅 の電気伝導は、アルミニウムの活性化エネルギーの2倍まで大きい高い活性化エ ネルギーを有する。従って、アルミニウム線よりも非常に薄い銅線を使用するこ とができ、それ故、漏話及びキャパシタンスは減少する。一般に、相互連結材料 としての銅の使用は、0.25μmの有効チャンネル長さを有する装置において 、アルミニウムベースの相互連結を越える、CMOS(相補的な金属酸化物半導 体)に関する最大クロック周波数における1.5倍の改良を導く。前記の銅の電 気特性は、ULSI装置並びに上部金属層中の相互連結層としての銅膜を開発す ることに強い誘因を与える。アルミニウムに対する銅及び幾つかの他の金属代替 物における性能の利点及び加工の問題は、5000Å厚の薄膜に関して比較され た。 これらの問題及び現在のULSI研究に関する背景情報を提供する文献は、ジ ェイ.リー(J.Li),ティー.サイデル(T.Seidel)及びジェイ.マイヤー(J.Mayer ),MRS Bulletin 19(1994年8月)第15頁;ジェイ.チョー(J.Cho),エイチ .カン(H.Kang),エス.ウォン(S.Wong)及びワイ.シャチャム−ダイヤモンド(Y .Shacham-Diamond),MRS Bulletin 19(1993年6月)第31頁;並びにピー.エ ル.パイ(P.L.Pai)及びシー.エイチ.チン(C.H.Ting),IEEE Blectron Device Lett.10(1989)第423頁、の文献を包含する。 銅ベースの相互連結はULSI加工における将来の傾向を示し得るので、異な る銅の加工技術に関する大規模な研究開発が行なわれた。現在の技術水準は、以 下の銅析出及び通路充填(via-filling)技術から成る:めっき(例えば無電解め っき及び電解めっき)、スパッターリング(物理的蒸着法,PVD)、レーザー 誘起還流及びCVD(化学気相成長)。銅CVDは高い析出速度を与え得るが、 しかし、その技術は、通路充填及びステップ塗布量に乏しい。レーザー還流技術 は、半導体製作において、現在のVLSI加工工程と単純に互換性を持たない。 全ての前記因子のために、ジェイ.リー(J.Li)他,MRS Bulletin 19(1994年8 月)第15頁には、銅CVDは“ULSIチップ中の銅ベースの多層相互連結の ための最も魅惑的なアプローチ”であると述べられている。低い基材温度での高 い銅CVD析出速度(>250nm/分)は、装置製作におけるスループット(t hroughput)要求に合致することが必要とされる。しかしながら、析出速度と望ま しい膜特性との間には、例えば、低い固有抵抗,良好なステップ塗布量、及び完 全な通路充填の兼ね合いが存在する。 従って、他の加工技術(例え、最初のうちは、それらが、銅CVDが成す設定 に近いとは思われなくとも)が考慮されている。一つのそのような加工技術は、 無電解めっきを含む。無電解めっきは、自己触媒めっき技術、とりわけ、金属又 は合金が析出することにより触媒作用 が生じる、制御された化学的還元による金属被膜の析出である。無電解析出は、 金属イオンをその金属に還元するための溶液中の化学的還元剤の作用に依存する 。しかしながら、均質な化学還元と異なり、前記反応は、溶液を通してよりむし ろ“触媒作用のある”表面の上だけで起こる。無電解めっきについて背景情報を 提供している文献は、ジョン エル(John L)によって編集された、薄膜加工(Thi n Film Processes)、ヴォッセン及びウェルナー ケルン(Vossen and Werner Ke rn),アカデミック出版(Academic Press),1978年,第210頁;及び薄膜現 象(Thin Film Phenomena),第2版,編者;カスツリ エル チョプラ(Casturi L.Chopra),ロバートイー.クライガー(Robert E.Kreiger),1979年、を包含 する。 無電解めっきは、Ni,Co,Fe,Pd,Pt,Ru,Rh,Cu,Au, Ag,Sn,Pd及び前記金属に加えてP又はBを含む幾つかの合金を析出させ るために使用された。典型的な化学的還元剤はNaH2PO2及びホルムアルデヒ ドを包含する。単に適当な基材を無電解溶液に浸漬することによって、基材上に 金属又は合金被膜が連続的に形成される。溶液中の化学的還元剤は、還元Mn+n eM0のための電子の源であるが、しかし、その反応は“触媒作用のある”表面 だけの上で起こる。それが“自己触媒的”であるので、一旦、析出金属の最初の 層が形成されたならば、前記反応は無限に続く。こ の因子のために、一旦析出が始まると、析出された金属は、析出を継続するため に、それ自体触媒作用を示さなければならない。 慣用の無電解銅めっき法においては、めっきすべき基材は、銅無電解溶液の攪 拌された浴中に浸漬される。この事は、幾つかの不利益を生じさせる。 (1)種々の添加剤、例えば界面活性剤、安定剤、又は同種のもの(これらは、 前記浴中で通常用いられる)は、純度に対して負の効果を有し得、それ故、析出 された銅の非常に薄い膜の伝導度に負の効果を有し得る。そのような添加剤は、 典型的には、析出工程において徐々に消費される。それらは分解されるかもしれ ず、そして、その生成物の一部は、前記析出物に混入するかもしれず、そして、 電解液に戻されるかもしれない。 (2)銅イオンがめっき・除去されるため、析出表面のすぐ近くの銅イオン濃度 は、バルク溶液の銅イオン濃度より低い。この界面での化学的非平衡は、逆に析 出された銅の形態に影響を及ぼし得る。汚染物、例えば水素ガス,表面活性剤の 副生成物及び安定剤を多く含む粗い表面が生じ得る。 (3)平滑な銅表面及びより高いめっき速度を生じさせるため、基材/溶液界面 における周期的なリフレッシングが、基材から新鮮なイオンを供給し且つ副生成 物を除去するために必要である。前記界面により近く新鮮な反応物を持ってくる ために、強制対流が典型的に使用され る。しかしながら、基材表面に近づくと、金属と溶液との間の摩擦力が、流れて いる液を停止させるか又は遅らせるように働く。それ故、強制対流が無視し得る 基材表面においては、拡散は、反応物を界面に移送することができる唯一の物理 的メカニズムである。 増感され且つ活性化された非伝導性基材、例えばベークライト回路基板材料上 に、圧縮空気キャリヤーを使用して銅を無電解析出させるための噴霧法は、ゴー ルディー(Goldie),“無電解銅析出(Electroless Copper Deposition)”,プレ ーティング(Plating),51,(1965),1069-1074中に報告されている。 発明の要約 非常に薄い膜の無電解銅めっきは、スプレー・プロセッサを用いて行なうこと ができる。液体に浸漬する代わりに、本発明は、基材に無電解めっき溶液の霧化 された溶滴を噴霧することを含む。或いは又、無電解めっき溶液は、溶液に風を 送るか流動させる噴霧を介して供給されてもよく、或いは外に、ウェーハ上に円 錐状のパターンで溶液を供給してもよい。本方法は、100Åほどの薄さの金属 膜を形成するために使用することができ、そして前記の非常に薄い膜は、バルク 値に近い低い抵抗率、低い表面粗さ、優秀な電気的及び厚さ均一性、並びに鏡の ような表面を有する。前記薄膜は、他の方法によって得られた非常に一層厚い膜 に匹敵する電気特性を有する。200Åの析出膜は、20倍ないし100倍厚い CVD, スパッタリングされた又は液浸された無電解めっき膜の電気抵抗率値と同等の電 気抵抗率値を有する。200〜500Å厚の膜は、とりわけ低温アニーリング後 に、バルク値に匹敵する電気特性を有する。 一態様において、無電解めっき溶液は、噴霧操作の直前に還元性溶液と金属原 液とを混合することによって調製される。高品質の析出膜は、少量の界面活性剤 を含むか又は全く界面活性剤を含まない無電解めっき溶液を用いて得ることがで きる。 本発明の方法によって製造された前記薄膜は、半導体ウェーハ製作及び組立に おいて使用することができる。他の用途分野は、薄膜ディスク,薄膜ヘッド,光 学的記憶装置,センサ装置,ミクロエレクトロマシーン化されたセンサ(MEM S)及び作動装置、並びに光学フィルターを包含する。本方法は、多数の基材及 び膜原料に許容され得、そして本方法は、また発見されるべき特性を有する異な る化学的複合材の層を作るために使用することができる。 本発明を実施するために特別に構成された装置は、本発明の別の対象を提供す る。 図面の簡単な説明 図1は、本発明を実施する際に使用するための、好ましい装置の概略構成図で ある。 図2は、本発明を実施する際に使用するための、好ましい析出チャンバーの横 断面図である。 図3は、図2の析出チャンバーのための噴霧位置の拡大断面図である。 図4は、本発明の方法によって製造された析出金属膜を含む半導体素子の部分 断面図である。 発明の詳細な説明 無電解めっきに関係する化学反応および工程順序の詳細な記載はThin Film Pr ocesses(John L.VossenおよびWerner Kern編,Academic Press,1978)217頁 およびR.M.Lucasによる「アルカリ性ホルムアルデヒドによる銅の自触媒還元 の化学(The Chemistry of the Autocatalytic Reduction of Copper by Alkalin e Formaldehyde)」(Plating,51,1066(1964))に見出され得る。 無電解めっき溶液は析出(めっき)金属源および還元剤を包含する。溶解され た金属塩は析出金属源として作用する。本発明の一態様において、無電解めっき 溶液は、基材(基板)上に噴霧される前30分以内に適当に、使用直前に形成さ れる。これは、析出金属塩および還元剤溶液を含有する金属貯蔵溶液の自動化直 列型(インライン)混合により非常に好都合に行われる。 銅析出の場合、金属貯蔵溶液は銅イオン源としての銅塩、通常硫酸第二銅(C uSO4)と、水酸化銅の沈澱を防止するための錯生成剤またはキレート化剤を 含有する。キレート化剤のための適当な配合物はタルトレート、エチレンジアミ ン四酢酸(EDTA)、リンゴ酸、コハク酸、シトレート、トリエタノールアミ ン、エチレンジアミンおよびグリコール酸を包含する。最も好ましい配合物はE DTAである。 適当な還元剤は次亜リン酸塩、ホルムアルデヒド、ヒドラジン、水素化ホウ素 、ジメチルアミンボラン(DM AB)、グリオキシル酸、レドックス対(すなわちFe(II)/Fe(III), Ti(III)/Ti(IV),Cr(II)/Cr(III),V(II)/V(III)) およびこれらの誘導体を包含する。本発明において、ホルムアルデヒドが還元溶 液のための最も好ましい配合物である。ホルムアルデヒドの還元力は溶液のアル カリ度と共に増加するので、溶液は通常pH11を越えている。必要なアルカリ 度は典型的には水酸化ナトリウム(NaOH)または水酸化カリウム(KOH) により供給される。その他の塩基、第四アンモニウム水酸化物、例としてTMA H(テトラメチルアンモニウムヒドロキシド)および水酸化コリン等もまた使用 され得る。TMAHおよび同様の有機塩基は、溶液がVLSI製造工程に対して 汚染物であるアルカリイオンなしに製造され得るという利点を有する。 無電解めっきされる銅1モルに対し、少なくとも2モルのホルムアルデヒドと 4モルの水酸化物が消費され、そして1モルの水素ガスが蒸発される。 実際、上記式に示されたものより多い量のホルムアルデヒドおよび水酸化物が消 費される。これにより、ホルムアルデヒドと水酸化物のメタノールとホルメート への不均化が生じる。 界面活性剤、例えばポリエチレングリコールは無電解 めっき溶液に通常使用され、そして本発明において使用される噴霧溶液に包含さ れ得る。しかしながら、良好なフィルム(皮膜)特性を得るために界面活性剤の 使用は必要でなく、それ故に、界面活性剤は浸漬系に対して通常使用されるもの に比べ実質的により低いレベル、適当には1/2またはそれ以下で使用される場 合に好ましいことが驚くべきことに見出された。そのような低いレベルの界面活 性剤を使用することにより、界面活性剤残渣からのフィルム層の汚染の可能性が 減らされ、そして不活性ガスと組み合わせて噴霧する間に析出溶液の泡だちの可 能性が低下する。 析出フィルムの汚染の可能性を最小限にし、そして析出が予測可能な時間以内 に金属が所望の厚さに再現性よく析出されるように調整され得ることをさらに確 実にするために、貯蔵溶液、特に還元剤溶液はそれらが混合および噴霧される時 の約24時間以内に配合されるのが好ましい。それから貯蔵溶液が製造される出 発化学物質は高純度のものであるべきであり、最も好ましくは該化学物質はエレ クトロニクス純度または半導体純度である。 めっき溶液は、めっき溶液金属の自触媒析出を開始する活性化された基材上に 噴霧される。好ましい態様において、めっき溶液は噴霧前に50ないし90℃の 温度まで、適当には直列型(インライン)ヒーター、例えばIRヒーターで加熱 される。 活性化された基材またはシード(結晶種,seed)層は 無電解めっき溶液から析出金属の自触媒析出を開始するあらゆる伝導性金属であ ってよい。好ましくはそれは以下の金属の一つである:銅、金、銀、白金、鉄、 コバルト、ニッケル、パラジウムまたはロジウム。基材はケイ素、ガリウム砒素 または酸化ケイ素等の材料から製造された下層の半導体デバイス上の金属シード 層であってよい。該シード層はめっき、蒸発、CVDまたはスパッター技術によ り慣用の方法に従って上記デバイス上に析出され得る。そのようなシード層に対 する適当な厚さは約50ないし約1000Åの範囲内である。シード層は単層系 として、または下層の接着/バリヤ層と上層のシード層とを包含する多層系とし て析出され得る。シード層は大面積にわたり連続的であっても、またパターン化 されていてもよい。適当な接着/バリヤ材はTi/TiN、Ta/TaN、Ta /SiN、W/WN、Ti/WおよびAlを包含する。 めっき溶液は非常に細かい液滴を形成するように噴霧されてもよく、そして不 活性ガス中で行われてもよい。本明細書で使用されるような「アトマイズ」とい う用語は液体を小滴に分散させることにより液体を噴霧する(spraying)か、また は吐出する(discharging)ことを意味する。溶液を噴霧するために不活性キャリ アガスが使用されるか否かにかかわらず、アトマイズは本発明の全ての態様にお いて起こる。めっき溶液は、約0.017−0.022インチ(0.043−0 .056cm)の開 口径を有する多数のオリフィスからの一連の細かい流れとして、30psi(2 07kPa)まで、好ましくは約20psi(138kPa)の圧力で噴出され るのが適当であり、上記流れは約20ないし50psi(138−345kPa )の圧力で同様の大きさのオリフィスから噴出される高速の不活性ガスの直交流 により噴霧物をアトマイズするようにばらばらにされる。そのようなプロセッサ ー(処理プログラム)のための適当な噴霧速度は100ないし2000ml/分 の範囲であり、150ないし1500ml/分がより適当である。適当なファン ノズルは約10−15個のオリフィスを持つ1.25mmないし2.00mmの オリフィスを有する。適当なファンノズルはミネソタ州チャスカのフルオロウエ アから部品番号215−15として入手可能である。適当な不活性ガスは窒素、 ヘリウムおよびアルゴンを包含する。精製された空気または酸素はまた、噴霧物 をアトマイズするために使用され得る。半導体デバイス上に保持されるシード層 基材上への薄層銅析出のために、窒素ガス、好ましくはエレクトロニクス純度、 より好ましくは半導体純度が適している。 アトマイズされた液滴よりむしろ連続的なブレードまたは円錐形の流れを通常 形成するノズルを用いてめっき溶液を噴霧することも可能である。そのような場 合、析出が不活性ガス雰囲気中で行われるように、不活性ガス供給は噴霧域から 離れたプロセスチャンバーに行われる。 高速噴霧は基材/溶液界面でめっき溶液の積極的な補充を提供する。系の力学 的エネルギーをさらに増加させ、それにより使用された溶液の方向転換(turnin g over)を補助し、ならびに噴霧物が基材を均一に覆うことを確実にするために 、基材物品は噴霧操作の間、回されるか、またはある軸の周りに回転させること が望ましい。例えば、シード層を上に保持する半導体ウェーハの場合において、 該ウェーハはそれ自身の軸の周りに回転させても、また、該ウェーハはそれがあ る回転軸のまわりを旋回するように回転される担体に取付けられてもよい。上記 ウェーハは実質的に水平または垂直に配向され得る。各々の場合において、噴霧 オリフィスは、めっきされるべきウェーハ表面に噴霧物が横方向に接触するよう に、適当に位置決めされる。この技術は、基材/溶液界面での溶液の迅速な方向 転換およびウェーハ表面から消費溶液の迅速な除去の両方を促進する。回転軸は 垂直、水平または水平と垂直の間のある角度で伸び得る。 いくつかの場合において、めっき溶液の迅速な方向転換は、高度に活性で実質 的に純粋なめっき溶液のままである廃棄流を提供する。溶液利用を最適化しなが ら活性を維持することが必要ならば、新鮮な溶液と混合して上記使用済み液を再 循環することが可能である。 金属フィルム(皮膜)が基材上に析出された後、該フィルムは焼なましされ得 るが、約200℃ないし約450℃の温度で0.5ないし5時間、真空または不 活性も しくは還元性雰囲気、例えば乾燥窒素、アルゴン、水素または水素と窒素または アルゴンとの混合物中で適当に行われる。そのような条件下での焼なましは安定 化することが観察されており、そしていくつの場合には、析出フィルムの電気特 性を改善することが観察されている。 図面を参照すると、図1−3には本発明の実施に使用するための好ましい装置 が示されている。第1の貯槽4は金属貯蔵溶液を含有する。該金属貯蔵溶液はラ イン6を介してマニホールド10に連結されている。計量バルブ8はマニホール ド10への金属貯蔵溶液の流れ(フロー)の正確な制御を可能にする。第2の貯 槽12は還元溶液を含有し、そしてライン14および計量バルブ16を介してマ ニホールド10に連結されている。高純度の脱イオン(DI)水源18はライン 20および計量バルブ22を介してマニホールド10に連結されていてもよい。 廃棄物はライン26内の開放バルブ30によりマニホールド10から除去され得 る。 マニホールド10は、マニホールド10に金属貯蔵溶液と還元剤溶液を供給し 、場合によりその混合物をDI水で希釈することにより、予め設定された速度で 無電解めっき溶液がその中で調製される混合チャンバーとして機能する。マニホ ールド10から、調製された無電解めっき溶液は、被めっき物品が設置されてい るプロセスチャンバー40に供給ライン34を介して運搬される。IRヒーター 38は供給ライン34に沿って備えられ、所 望する場合にめっき溶液の加熱を可能にしている。ヒーター38には適当なセン サーが備えられ、そして制御して供給ライン34内の溶液をモニターし、予め設 定された温度に加熱する。 窒素源46はライン48およびバルブ50を介してプロセスチャンバー40に 連結されている。窒素源は、上記チャンバーに供給されたガスの圧力が所望通り に制御され得るように、圧力調節器を備えている。使用された無電解めっき溶液 および水はプロセスチャンバーから廃棄ライン52およびバルブ54を介して除 去され得る。所望ならば使用済み溶液の再循環を可能にするように、任意のライ ン53,55、バルブ57,59およびポンプで稼働されるタンク61が供給ラ イン34に通常の閉鎖連結を供給する。溶液の再循環が実施される際に、この装 置はIRヒーターを包含しない。むしろ、加熱および冷却コイルが、溶液を保持 しめっき溶液の温度の正確な制御を可能にするタンクに備えられる。 マニホールド10および供給ライン34に一気に流す(フラッシュする)ため に、DI水ライン35および窒素ライン37はライン39およびバルブ43,4 5および47を介して供給ライン34に連結されている。この配置はプロセスチ ャンバーへ向かう前方およびマニホールド10を過ぎた後方でライン34のすす ぎを可能にする。すすぎ廃棄物はライン52およびバルブ30を介してプロセス チャンバー40から、そしてライン26およ びバルブ30を介してマニホールドから除去される。供給ライン34およびマニ ホールド10をすすいだ後、すすぎ水を動かし、そして供給ライン34およびマ ニホールド10を乾燥させるために窒素が流される。 バルブ41およびライン42はプロセスチャンバー40への水および/または 窒素のための任意の別々の供給ラインを提供する。これにより、一気に流される べき供給ライン34を待つことなしに、析出工程の終わりに基材上にすすぎ水を すぐに噴霧することにより析出(めっき,付着)反応の即時終結を実質的に可能 にする。供給ライン34は、その内容物が基材に噴霧されないか、まかは非常に 希釈された形態で基材に達するだけであるように、少ない流れのみを用いて同時 に一気に流され得る。 装置を介する液流が機械的ポンプにより供給され得る間、バルブが開かれる時 、加圧された不活性ガスが流れを強制的に作るために使用されることが好ましい 。窒素源46と貯槽4,12および18の間に図示しない加圧連結部がこの目的 のために備えられてもよい。 適当なプロセスチャンバー40は図2に示されている。プロセスチャンバー4 0は周囲の環境から密封され、そしてターンテーブル56および多数の垂直に配 置された噴霧オリフィスを有する中央噴霧ポスト58を含む。ウェーハカセット 60はターンテーブル上に載置され、そして噴霧ポストの周りを回転される。モ ーター62はタ ーンテーブルの回転を調節する。 めっき溶液供給ライン34、水/窒素供給ライン42および窒素供給ライン4 8は図3に示されるようにそれぞれ噴霧ポスト58内の別々の垂直流路64,6 6および68に連結されている。多数の水平に配置されたオリフィス70,74 および76はそれぞれ流路64,66および68に供給される液体または気体の ための噴霧ノズルとして機能する。オリフィス70は、窒素流が液流の後方に噴 出され液流を微小滴にアトマイズするように、先端において窒素オリフィス70 とある角度をもって設置されている。 個々のウェーハが噴霧ポストオリフィスの後方で回転される際に、噴霧ポスト から噴出されるめっき溶液が個々のウェーハの水平面に容易に接触し、そして個 々のウェーハの水平面を横切ることができるように、処理されるべきウェーハは カセット60内に配置され、そして隙間を設けたスタックに保持される。図2の プロセスチャンバーにおいて、ウェーハは水平に配置されている。しかしながら 、該ウェーハを垂直または水平と垂直の間の角度でプロセスチャンバー内に配列 することもまた可能である。 図1−3に記載の装置の全てのバルブは電子制御になっていて、それらバルブ が予定の順序に従って開閉するようになっておりそして計量バルブはマス又は流 量センサーを備えていてそこを通過する流体の量を精確な制御できるようになっ ている。装置のバルブとセンサーは好ましくはプログラム化できる制御手段に連 結しており本発明のめっき工程を、制御手段を適当なバルブ開シーケンス、液流 、温度とセンサー読み取りプログラムでプロクラム化することにより、簡単に自 動化できるようになっている。制御手段は望ましくは回転板回転速度とガス圧の 調節もできる。 図1−3は、本発明を実施するための装置の可能な組み合わせの一例を示して いるが、他の変法も実施できると理解されるべきである。例えば、より多い又は より少ない種類の化学溶液を使用してこのシステムに組み込んでもよく、それは より多い又はより少ないリザーバー(貯蔵部)、供給ラインとバルブを備えるこ とを意味する。 他の別法の実施態様では、加工チャンバー40は、そのスプレー方向をチャン バーの中央に向けた、壁に取り付けたスプレーポストを備えているように変形さ れていてもよい。この実施態様では、ウェーハがそれらの軸の廻りを回転できる ようにした、回転板の中央に置かれた1個のウェーハカセットが使用されてもよ い。 別の実施態様では、マニホールド40を無しに済まし そしてスプレーポスト58のチャネル64と66への連結管を備えていてもよい 。この構造では、金属ストック溶液と還元溶液は、基板表面に散布する時に混合 されて無電解めっき溶液になる。 本発明の方法を実施するために容易に採用できる加工チャンバーの構造は、U S3,990,462、US4,609,575及びUS4,482,615に 開示されているが、それらの全ての内容は本参照により本明細書に包含されるも のとする。図1−3に示した型の装置、又は丁度上述した変形、は(登録商標) FSI MERCURY・スプレー加工システム(FSI Coerporat ion,Chaska,Minnesotaから販売)のような市販の装置を変 更することにより容易に備え付けることができる。そのような装置は、水供給ラ イン、化学品供給ライン、混合マニホールドとガス源を含むテフロン配管;適当 なカセット、回転板とスプレーポストを入れた加工チャンバー;及びプログラム 化できる制御装置を含んでいる。かくして、そのような加工装置に、金属ストッ ク溶液リザバーと還元溶液リザーバーを備え付け、所望によりリサイクルライン 53と55、バルブ57と59及びポンプ付タンク61を備え付け、そして適当 なプログラムであって、上記2種の溶液をマニホールドに供給してめっき溶液を 製造し次いでその溶液を、供給液を霧化するための窒素供給を使用している加工 チャンバー内のウェーハ上へ散布し、そして間歇的 にシステムを濯ぎそして乾燥するようにする適当なプログラムを備えることは、 市販の装置を変形して本明細書の発明を実施できるようにするのに十分である。 本発明を実施するための好ましい装置では、加圧溶液と加圧窒素をスプレーオ リフィス70と76をそれぞれ同時に通過させて、その溶液を霧化して高い運動 エネルギーを持つ液体の微小滴を形成する。その微小滴は回転しているウェーハ の表面上へ移送されそこでその微小滴はウェーハ上に液フィルムを形成する。ウ ェーハが回転してスプレー通路から外れそして再び通路内に入る時に、液フィル ムは遠心的に絞られそして再供給される。これらの工程の結果、並外れて薄いフ ィルムが展開する。この組み合わせと工程に因り、析出速度、均一性、表面の粗 さとフィルム純度は劇的に改良される。 本発明では浸漬法の技術と装置の多数の欠点は回避され又は最小化される。 制御環境:スプレー工程の加工チャンバーは外気から遮断される。窒素霧化の 間、加工チャンバーは急速にN2で充満され得る。 より薄い効果的な散布層:無電解霧は非常に高い運動エネルギーを担っている 。高運動エネルギーを持つスプレーは、ウェーハ表面に衝突し、効果的に散布層 を減少させる。更に、析出の間のウェーハのスピン効果により消費しためっき溶 液は追い出され、新しい溶液がウェーハ表面に到達するようにする。これはめっ き反応をより 効果的にしそして析出速度をより高めるという両方の効果がある。回転速度を、 回転速度の所望の範囲内で急速に変更させて、基板表面上の溶液の回転率を更に 高めるようにしてもよい。 常用の浸漬工程を凌ぐ、本発明の他の利点は下記のものを含む: 1.電気的均一性と厚みの均一性が改善される。 2.溶液−基板の界面における散布層の厚みが減少するために、金属析出層の 表面の粗さが減少する。 3.析出、濯ぎと乾燥を単一の加工チャンバー中で制御した大気条件下で実施 し、浴から浴への又は加工モジュールから加工モジュールへのウェーハの移動が ないので、汚染されていない、純粋な金属層が形成される。 4.フィルムは非多孔性であり、金属表面上に形成された薄い高密度表面酸化 物層は非多孔性金属フィルムを酸化から防護するので、酸化に対する抵抗性が増 大する。 5.連続するフィルム形態が非常に薄いフィルム層中で非常に早く成長する: これは部分的には溶液の連続的揺動と連続的更新、及び薄い散布層による。 6.散布される析出溶液を変更することによる幾つかの異なる析出層の集積; その場所におけるプライミングとクリーニングも可能である。 本発明により、バルク金属の抵抗値に到達する100Åだけという厚みを持つ 薄いフィルムを製造できる。そのような薄いフィルムは、ULSI工程の構造物 の要求、 特にトポロジー、ステップカバレージと側壁厚み調節に関しての要求に適合する 。適当に工程を制御することにより、系統連系抵抗と電気移動の欠陥を除くこと ができないにしても減らすことができる。これらの高電導性フィルムは高回路速 度の発揮を抑止する(RC遅延の)主たる限界の問題に対処する。そのようにし て、これらのフィルムは、常用の又は現水準の技術により析出された最近の半導 体を凌駕して基本的に改善する。本発明により製造される薄フィルムはまた非常 に微小の粒子からなる。従って、本発明は微小粒子からなるフィルムを必要とす る適用場面、例えば磁気又は光磁気メモリー等(ディスク)のようなものに有用 である。 これらの利点に加えて、この工程は異なる化学組成の幾つかの析出段階からな ることができ、それにより多数の基板表面上に多層薄フィルムを形成する。この 方法は、Cu,Ni,Co,Fe,Ag,Au,Pd,Rh,Ru,Pt,Sn ,Pb,Re,Te,In,CdとBiの薄フィルムを析出するのに使用できる 。他の金属を共析出させて合金を形成することができる。その例は、二元Cu合 金(CuNi、CuCd、CuCo、CuAu、CuPt、CuPd、CuBi 、CuRh、CuSb、CuZn)、二元Ni合金(NiCo、NiRe、Ni Sn、NiFe、NiRh、NiIr、NiPt、NiRu、NiW、NiZn 、NiCd、NiAg、NiTl、NiCr、NiV)と三元合金(NiFeS n、 NiZnCd、NiMoSn、NiCoRe、NiCoMn、CoWP、CoW B)を包含するが、これらに限定されるものではない。 本発明を下記の限定を意図しない実施例により説明する。 本発明を以下の実施例によって説明するが、本発明は該実施例によって限定され るものではない。 実施例1ないし11および比較例1 実験を、噴霧プロセッサを中心軸について回転するシングルカセットのために 組立てること、および噴霧ポストがプロセスチャンバーの側に位置していること を除いて、図1と同様な噴霧プロセッサにおいて行った。実験のために、4イン チシリコンウェーハを使用した。チタン約100Å、銅約100Åおよびアルミ ニウム約100Åからなる三層、またはクロム約100Åおよび金約100Åか らなる二層のいずれかからなる遮断/シード層を、銅無電メッキのための接触表 面を与えるためにウェーハ上にスパッターした。 無電銅溶液を二つの成分、硫酸銅並びにエチレンジアミンテトラ酢酸(EDT A)を含有する銅ストック溶液およびホルムアルデヒド並びに水を含有する還元 溶液に分ける。銅ストック溶液を室温で12.4ないし12.7のpHに水酸化 カリウムおよび硫酸を用いて調節した。溶液は以下の組成を有した。 銅ストック溶液 硫酸銅5水和物 8g EDTA 15g 85%水酸化カリウム溶液 30g 脱イオン水 800ml 還元溶液 ホルムアルデヒド(37%溶液) 10ml 脱イオン水 200ml ストックおよび還元溶液をそれぞれ800ml/分および200ml/分の速 度で小出しした。IRヒーターで結果として生じたメッキ溶液の温度をおよそ7 0℃に昇温した。窒素噴霧の冷却効果で水温を無電銅メッキのための最適温度で あるおよそ60℃に降温した。表1は実施例1ないし11についての操作パラメ ータおよび結果を列挙する。比較のために、浸漬メッキによって得られた代表的 な結果をも比較例1として表の最下段に含む。 以下の表1において示されるいくつかの場合において、ポリエチレングリコー ル界面活性剤、GAF RE−610、を金属ストック溶液に添加した。表1に 与えられる界面活性剤濃度は混合されたメッキ溶液において計算された。 バルク抵抗率値に近づく実際の値と矛盾なく、低い抵抗率値がとても薄い銅フ ィルムから得られた。スプレープロセッサを用いるメッキ速度は、浸漬法を用い るよりも明らかに高い。浸漬法についての500ないし600Å/分に比較して 、最も高くは1800Å/分の速度を達成することができる。電気的および/ま たは厚さの均一性は浸漬法を用いるよりもおよそ3倍よい(3%対10%)。銅 フィルムの表面の荒さはフィルムをスプレー法によってメッキする場合、大きな 水準で減ずる。銅フィルム4500ないし5000Åについて、浸漬法について の1500Åに比較して、スプレー法は50ないし200Åの荒さを生じる。 これらの結果はまた以前に報告されたフィルムの性質ともとても有利に匹敵す る。抵抗率およびメッキ速度は、他のメッキ技術によって得られたフィルムにつ いて報告されたそれらの値よりも半導体二次加工に特にとてもよく適している。 メッキ過程後、低温度アニーリングを250℃で3時間行った。その後、抵抗 率、荒さ、電気的および厚さの均一性を測定した。とても薄い無電銅フィルム( 200ないし500Å)は2.2ないし2.6microhm−cmの抵抗率値 、低い表面荒さ(40ないし50Åの範囲)、およびすばらしい電気的および厚 さの均一性(およそ3%の偏差)を有した。薄い無電銅フィルム(2000ない し5000Å)は1.8ないし1.9mic rohm−cmの抵抗率値(メッキされたままのフィルムについての2.2ない し2.7microhm−cmの抵抗率値と比較して)、低い表面荒さ(100 ないし200Åの範囲)、およびすばらしい電気的および厚さの均一性(約3% 偏差)を有した。 図4を参照すると、約50ないし500Åの間の厚さの付着/遮断−シード層 110がシリコンウェーハ100上に得られた後に、ウェーハが上述の実施例に おいて述べられた手法において無電メッキ溶液の噴霧を受けた断片の様子が示さ れる。メッキ銅層120が結果として生じる。層120は250ないし4500 Åの間の厚さおよび2.2ないし3.8microhm−cmの間の測定された 抵抗率を有する。 実施例12ないし18 実験を、再循環手段を使用すること、および窒素供給を用いないことを除いて 、前述の実施例と同様な噴霧プロセッサにおいて行った。実験のために、8イン チシリコンウェーハを使用した。タンタル約300Å、銅約300Åおよびアル ミニウム約300Åからなる三つの連続する層からなる遮断/シード層を、銅無 電メッキのための接触表面を与えるためにウェーハ上にスパッターした。無電銅 メッキ溶液を以下の組成を用いて製造した。 硫酸銅5水和物 8g/l EDTA 14g/l 85%水酸化カリウム溶液 23g/l 脱イオン水 1l GAF RE−610 0.01g/l ホルムアルデヒド(37%溶液) 5ml/l 溶液を噴霧プロセッサ装置を通して再循環ポンプを介して10l/分の速度で 再循環した。温浴タンク中に置かれた抵抗加熱コイルをメッキ溶液の温度をおよ そ70℃に昇温するために使用した。表は2は操作パラメータおよび結果を列挙 する。 上に記載された配合および試験の結果は単に本発明の説明であって、そして当 業者はここにおいて与えられた教示を伴う多くの他の変法を用いることができる ことを理解するであろう。そのような変法は請求項において述べられる発明の範 囲に包含されるとみなされる。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ングイェン,ヴィン アメリカ合衆国,ミネソタ州 55347 エ デン プレイリー,バッキンガム ドライ ヴ 9715 (72)発明者 ダビン,ヴァレリィ アメリカ合衆国,カリフォルニア州 95050 サンタ クレア,ベントン スト リート 1050 アパートメント 2119 【要約の続き】 成体に使用でき、ならびに薄フィルムディスク、薄フィ ルムヘッド、光学的記憶装置、センサ装置、マイクロエ レクトロマシーン化センサ(MEM)およびアクチュエ ータ、ならびに光学フィルターの製造に使用できる。

Claims (1)

  1. 【特許請求の範囲】 1. 第二の金属材料である基材上厚さ約100Åないし約2000Åの、約 4マイクロオーム−cm未満の抵抗率をもつ銅フィルムからなる物品。 2. 第二の金属材料である基材上約20,000Å未満の厚さおよび約2. 0マイクロオーム−cm未満の抵抗率をもつ銅フィルムからなる物品。 3. 前記銅フィルムが約5,000Å未満の厚さおよび約2.8マイクロオ ーム−cm未満の抵抗率を有する請求項2に記載の物品。 4. 第二の金属材料が半導体デバイス上の金属シード層である請求項1,2 もしくは3に記載の物品。 5. 半導体デバイスが、珪素、砒化ガリウム、および酸化珪素よりなる群か ら選択される材料からなる請求項4に記載の物品。 6. 金属シード層が約50ないし約1000Åの範囲の厚さをもつ請求項4 に記載の物品。 7. 第二の金属材料がCu,Au,Ag,Pt,Fe,Co,Ni,Pdお よびRhよりなる群から選択される金属からなる請求項1,2または3に記載の 物品。 8. 金属基材の表面に薄い金属フィルムを析出させる方法であって無電解め っき溶液で基材を噴霧することからなる方法。 9. 前記無電解めっき溶液が不活性キャリアガスまたは、純粋空気もしくは 酸素と一緒に噴霧される請求項8 に記載の方法。 10. 前記溶液の噴霧が、前記基材と該溶液が接触する前に前記化学的に不活 性なガスの交差する流れによりアトマイズされる請求項9に記載の方法。 11. 方法が(a) 還元溶液である第一の溶液を調整する段階; (b) 金属貯蔵溶液である第二の溶液を調整する段階; (c) 前記第一および第二の溶液を混合して前記無電解めっき溶液を 製造する段階;ならびに (d) 基材上へ前記無電解めっき溶液を噴霧する段階をさらに含む請 求項9に記載の方法。 12. 無電解めっき溶液が、その噴霧の前に50ないし90℃の範囲の温度に 加熱される請求項11に記載の方法。 13. 薄い金属フィルムの金属がCu,Ni,Co,Fe,Ag,Au,Pd ,Rh,Ru,Pt,Sn,Pb,Re,Te,In,Cd,およびBiよりな る群から選択される金属からなる請求項8に記載のに記載の方法。 14. 金属基材がCu,Au,Ag,Pt,Fe,Co,Ni,PdおよびR hよりなる群から選択される金属からなる請求項8に記載の方法。 15. 金属基材が半導体基材上の金属シード層であり、該半導体基材が珪素、 砒化ガリウムおよび酸化珪素より なる群から選択される請求項8に記載の方法。 16. 金属シード層が約50ないし約1000Åの範囲の厚さをもつ請求項8 に記載の方法。 17. 金属基材上の薄い金属フィルムの数層の複合材料であって、各々の層が 順に析出され、各々の析出が請求項8に記載の方法による複合材料。 18. 各々の層がCu,Ni,Co,Fe,Ag,Au,Pd,Rh,Ru, Pt,Sn,Pb,Re,Te,In,Cd,およびBiよりなる群から選択さ れる金属からなる請求項17に記載の数層の複合材料。 19. 前記薄いフィルムを析出した後、該フィルムを約150℃ないし約45 0℃の範囲の温度で30分間ないし5時間の範囲の間アニールする請求項8に記 載の方法。 20. 還元溶液である第一の溶液がホルムアルデヒドを含みおよび金属貯蔵溶 液である第二溶液が銅貯蔵溶液を含む請求項11に記載の方法。 21. 銅貯蔵溶液が硫酸銅およびエチレンジアミン四酢酸を含む請求項20に 記載の方法。 22. 還元溶液および金属貯蔵溶液が水酸化物塩基および鉱酸の添加により約 11ないし約13.5の範囲のpHに調整される請求項21に記載の方法。 23. 第一の溶液および第二の溶液が第一のおよび第二の溶液の混合およびブ レンドから約24時間以内に配合される請求項11に記載の方法。 24. 無電解めっき溶液が界面活性剤を実質的に含まない溶液から配合される 請求項11に記載の方法。 25. 無電解めっき溶液が少なくとも1種の界面活性剤を含む溶液から配合さ れる請求項11に記載の方法。 26. 基材上に金属フィルムを析出するための装置であって、 a) 析出させる金属の溶液からなる金属貯蔵溶液を含有する第一の貯 槽; b) 還元溶液を含有する第二の貯槽; ここで、該金属貯蔵溶液および還元溶液は、予め決められた割合で混合される とき無電解めっき溶液を形成する; c) 前記金属貯蔵溶液および前記還元溶液を混合してそれにより無電 化めっき溶液を提供する混合チャンバー; d) それぞれ第一および第二の貯槽を混合チャンバーに連結している 第一および第二のライン、該第一および第二のラインはその中にそれぞれ第一お よび第二の制御可能なバルブを含み、それによってそれぞれの貯槽の溶液の予め 決められた量が選択された回数で混合チャンバーに提供できる; e) 金属フィルムが析出される基材を保持するためのプロセスチャン バー; f) 前記無電解メッキ溶液の前記プロセスチャンバーへの放出を可能 にするように前記混合チャンバー および前記プロセスチャンバーを連結する供給ライン; g) 前記基材上に無電解めっき溶液の噴霧を提供するための供給ライ ンに連結された少なくとも1つのプロセスチャンバー中の噴霧ポスト;ならびに h) インストールされた制御プログラムを有する計算機ユニットを含 む制御手段であって、該制御手段は前記制御プログラムに従って前記第一および 第二の制御可能なバルブを制御するために操作可能であり、そして前記制御プロ グラムは i) 金属貯蔵溶液および還元溶液を前記予め決められた割合で混合 チャンバーに提供してそれにより前記無電解めっき溶液を生成し;および ii) 前記無電解めっき溶液で基材が噴霧されるように前記噴霧ヘッ ドポストに前記無電解めっき溶液を提供する; ように前記制御手段を操作するために作られている;を含む装置。 27. 前記供給源が、不活性ガスを予め決められた圧力または流速で選択され た回数、該プロセスチャンバーに提供できおよび前記プロセスチャンバーに連結 された制御可能な不活性ガス供給バルブをさらに含む請求項26に記載の装置。 28. プロセスチャンバー中噴霧された無電解溶液を収集しそして該溶液を再 噴霧するために前記噴霧ポストに戻 すための溶液再循環手段を含む請求項26に記載の装置。 29. 前記めっき溶液が噴霧される間前記基材を回すために操作され得る、該 基材のための回転可能な担体を含む請求項26に記載の装置。 30. 回転可能な担体が、該担体が回転している間、噴霧ポストから噴出する 噴霧の経路の中および外に前記基材を断続的に通過させるように作られている請 求項29に記載の装置。 31. 回転可能な担体が、該担体が回転している間、噴霧ポストから噴出する 噴霧の経路中に基材が保持されるように作られている請求項26に記載の装置。 32. 前記噴霧ポストがまた不活性ガス源に連結され、前記無電解めっき溶液 および不活性ガスが同時に前記噴霧ポストに提供されるとき該ポストは前記不活 性ガスであるキャリア中の無電解めっき溶液のアトマイズされた噴霧を前記基材 上に提供し、ならびに前記制御手段が前記無電解めっき溶液と前記不活性ガスを 同時に噴霧ポストに提供して、不活性ガスキャリア中の前記無電解めっき溶液の アトマイズされた噴霧によって基材が噴霧されるように該制御手段を操作するよ うに作られている、請求項27に記載の装置。 33. 前記噴霧ポストが前記無電解めっき溶液の実質的に連続的な流れを基材 へ提供するように作られている請求項26に記載の装置。 34. 前記装置が1より多くの噴霧ポストを含む請求項26に記載の装置。
JP52300397A 1995-12-19 1996-12-18 スプレー・プロセッサを用いる金属膜の無電解めっき Pending JPH11510219A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US884895P 1995-12-19 1995-12-19
US60/008,848 1995-12-19
PCT/US1996/020354 WO1997022733A1 (en) 1995-12-19 1996-12-18 Electroless deposition of metal films with spray processor

Publications (1)

Publication Number Publication Date
JPH11510219A true JPH11510219A (ja) 1999-09-07

Family

ID=21734043

Family Applications (1)

Application Number Title Priority Date Filing Date
JP52300397A Pending JPH11510219A (ja) 1995-12-19 1996-12-18 スプレー・プロセッサを用いる金属膜の無電解めっき

Country Status (5)

Country Link
US (1) US6065424A (ja)
EP (1) EP0811083B1 (ja)
JP (1) JPH11510219A (ja)
DE (1) DE69608669T2 (ja)
WO (1) WO1997022733A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7179741B2 (en) 2002-04-23 2007-02-20 Nikko Materials Co., Ltd. Electroless plating method and semiconductor wafer on which metal plating layer is formed
JP2008101268A (ja) * 2006-07-07 2008-05-01 Rohm & Haas Electronic Materials Llc 無電解銅およびレドックス対
WO2019239772A1 (ja) * 2018-06-13 2019-12-19 株式会社Screenホールディングス 無電解めっき方法、無電解めっき装置およびプログラム
WO2021177015A1 (ja) * 2020-03-05 2021-09-10 富士フイルム株式会社 被覆方法

Families Citing this family (261)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6020266A (en) * 1997-12-31 2000-02-01 Intel Corporation Single step electroplating process for interconnect via fill and metal line patterning
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
TW593731B (en) 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
JP4304547B2 (ja) * 1998-03-20 2009-07-29 キヤノンアネルバ株式会社 枚葉式cvd装置および枚葉式cvd方法
JP2000011323A (ja) * 1998-06-16 2000-01-14 Hitachi Metals Ltd 薄膜磁気ヘッド
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
EP1126512A4 (en) * 1998-08-11 2007-10-17 Ebara Corp METHOD AND APPARATUS FOR METALLIZING PLATELETS
US6037271A (en) * 1998-10-21 2000-03-14 Fsi International, Inc. Low haze wafer treatment process
US20040065540A1 (en) * 2002-06-28 2004-04-08 Novellus Systems, Inc. Liquid treatment using thin liquid layer
US7338908B1 (en) 2003-10-20 2008-03-04 Novellus Systems, Inc. Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage
JP2000212754A (ja) * 1999-01-22 2000-08-02 Sony Corp めっき方法及びその装置、並びにめっき構造
US6265020B1 (en) * 1999-09-01 2001-07-24 Shipley Company, L.L.C. Fluid delivery systems for electronic device manufacture
US7105434B2 (en) 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6395164B1 (en) * 1999-10-07 2002-05-28 International Business Machines Corporation Copper seed layer repair technique using electroless touch-up
US20020152955A1 (en) * 1999-12-30 2002-10-24 Yezdi Dordi Apparatus and method for depositing an electroless solution
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
JP2001355074A (ja) * 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6489857B2 (en) * 2000-11-30 2002-12-03 International Business Machines Corporation Multiposition micro electromechanical switch
JP4482744B2 (ja) * 2001-02-23 2010-06-16 株式会社日立製作所 無電解銅めっき液、無電解銅めっき方法、配線板の製造方法
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US6664122B1 (en) 2001-10-19 2003-12-16 Novellus Systems, Inc. Electroless copper deposition method for preparing copper seed layers
US7265323B2 (en) * 2001-10-26 2007-09-04 Engineered Glass Products, Llc Electrically conductive heated glass panel assembly, control system, and method for producing panels
JP2003147541A (ja) * 2001-11-15 2003-05-21 Hitachi Ltd 無電解銅めっき液、無電解銅めっき用補給液及び配線板の製造方法
US6843852B2 (en) * 2002-01-16 2005-01-18 Intel Corporation Apparatus and method for electroless spray deposition
US6824666B2 (en) * 2002-01-28 2004-11-30 Applied Materials, Inc. Electroless deposition method over sub-micron apertures
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6913651B2 (en) * 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US7690324B1 (en) 2002-06-28 2010-04-06 Novellus Systems, Inc. Small-volume electroless plating cell
US20050072455A1 (en) * 2002-04-04 2005-04-07 Engineered Glass Products, Llc Glass solar panels
US20030207206A1 (en) * 2002-04-22 2003-11-06 General Electric Company Limited play data storage media and method for limiting access to data thereon
US7189313B2 (en) * 2002-05-09 2007-03-13 Applied Materials, Inc. Substrate support with fluid retention band
US8257781B1 (en) * 2002-06-28 2012-09-04 Novellus Systems, Inc. Electroless plating-liquid system
US7025866B2 (en) * 2002-08-21 2006-04-11 Micron Technology, Inc. Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces
US7897198B1 (en) 2002-09-03 2011-03-01 Novellus Systems, Inc. Electroless layer plating process and apparatus
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
FI20030816A (fi) * 2003-05-30 2004-12-01 Metso Corp Menetelmä metallijohtimien valmistamiseksi substraatille
US20050006339A1 (en) * 2003-07-11 2005-01-13 Peter Mardilovich Electroless deposition methods and systems
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7972970B2 (en) 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US8530359B2 (en) * 2003-10-20 2013-09-10 Novellus Systems, Inc. Modulated metal removal using localized wet etching
US7531463B2 (en) * 2003-10-20 2009-05-12 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US8372757B2 (en) * 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US20050092611A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Bath and method for high rate copper deposition
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
US7597763B2 (en) * 2004-01-22 2009-10-06 Intel Corporation Electroless plating systems and methods
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7300860B2 (en) * 2004-03-30 2007-11-27 Intel Corporation Integrated circuit with metal layer having carbon nanotubes and methods of making same
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US7476327B2 (en) * 2004-05-04 2009-01-13 Idc, Llc Method of manufacture for microelectromechanical devices
US7186652B2 (en) * 2004-05-05 2007-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing Cu contamination and oxidation in semiconductor device manufacturing
JP2008505867A (ja) * 2004-07-09 2008-02-28 アクゾ ノーベル ナムローゼ フェンノートシャップ 水酸化コリンを含む組成物及びそれを製造するための方法
US7564612B2 (en) 2004-09-27 2009-07-21 Idc, Llc Photonic MEMS and structures
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
TW200734482A (en) * 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US7514353B2 (en) * 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
KR101255048B1 (ko) 2005-04-01 2013-04-16 에프에스아이 인터내쇼날 인크. 하나 이상의 처리 유체를 이용하여 마이크로일렉트로닉 워크피이스를 처리하는데 이용되는 장치용 배리어 구조 및 노즐 장치
WO2007035880A2 (en) * 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US7456102B1 (en) 2005-10-11 2008-11-25 Novellus Systems, Inc. Electroless copper fill process
US7605082B1 (en) 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7527998B2 (en) 2006-06-30 2009-05-05 Qualcomm Mems Technologies, Inc. Method of manufacturing MEMS devices providing air gap control
CN104299928B (zh) 2006-07-07 2018-02-23 Tel Fsi股份有限公司 用于处理微电子工件的设备和方法
US7684106B2 (en) * 2006-11-02 2010-03-23 Qualcomm Mems Technologies, Inc. Compatible MEMS switch architecture
CN101802975B (zh) * 2007-08-07 2012-10-03 Fsi国际公司 在用于通过一种或多种处理流体来处理微电子工件的工具中的阻挡板和文氏管容纳系统的漂洗方法以及相关装置
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US9439293B2 (en) * 2007-11-21 2016-09-06 Xerox Corporation Galvanic process for making printed conductive metal markings for chipless RFID applications
WO2009137032A2 (en) 2008-05-09 2009-11-12 Fsi International, Inc. Tools and methods for processing microelectronic workpieces using process chamber designs that easily transition between open and closed modes of operation
US8475637B2 (en) * 2008-12-17 2013-07-02 Novellus Systems, Inc. Electroplating apparatus with vented electrolyte manifold
KR101962587B1 (ko) 2009-09-02 2019-07-18 노벨러스 시스템즈, 인코포레이티드 작업물 가공 장치 및 작업물 가공 방법
TW201109267A (en) * 2009-09-08 2011-03-16 Jung-Tang Huang A general strength and sensitivity enhancement method for micromachined devices
KR20130100232A (ko) 2010-04-09 2013-09-10 퀄컴 엠이엠에스 테크놀로지스, 인크. 전기 기계 디바이스의 기계층 및 그 형성 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8632628B2 (en) 2010-10-29 2014-01-21 Lam Research Corporation Solutions and methods for metal deposition
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP5496925B2 (ja) * 2011-01-25 2014-05-21 東京エレクトロン株式会社 めっき処理装置、めっき処理方法および記憶媒体
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9134527B2 (en) 2011-04-04 2015-09-15 Qualcomm Mems Technologies, Inc. Pixel via and methods of forming the same
US8963159B2 (en) 2011-04-04 2015-02-24 Qualcomm Mems Technologies, Inc. Pixel via and methods of forming the same
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9700821B2 (en) 2013-03-15 2017-07-11 Illinois Tool Works Inc. Portable industrial air filtration device
USD732647S1 (en) 2013-03-15 2015-06-23 Illinois Tool Works Inc. Air filtration device
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
USD737946S1 (en) 2013-03-15 2015-09-01 Illinois Tool Works Inc. Filter for an air filtration device
USD737945S1 (en) 2013-03-15 2015-09-01 Illinois Tool Works Inc. Filter
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
KR102338550B1 (ko) 2013-06-06 2021-12-14 엔테그리스, 아이엔씨. 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
USD758558S1 (en) 2014-03-10 2016-06-07 Illinois Tool Works Inc. Air filtration device
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9517428B2 (en) 2014-09-12 2016-12-13 Illinois Tool Works Inc. Filter for a portable industrial air filtration device
USD761946S1 (en) 2014-09-12 2016-07-19 Illinois Tool Works Inc. Filter for an air filtration device
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
FR3133199A1 (fr) * 2022-03-04 2023-09-08 Jet Metal Technologies Procede de fabrication d’un article tridimensionnel a motif(s) metallique(s)
CN114934265B (zh) * 2022-05-26 2023-03-07 中国科学院长春光学精密机械与物理研究所 薄膜生长装置、方法及二氧化钒薄膜生长方法
CN115595566A (zh) * 2022-11-17 2023-01-13 西华大学(Cn) 一种环保节能高效灵活的化学镀装置和方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3075855A (en) * 1958-03-31 1963-01-29 Gen Electric Copper plating process and solutions
US2938805A (en) * 1958-03-31 1960-05-31 Gen Electric Process of stabilizing autocatalytic copper plating solutions
US3075856A (en) * 1958-03-31 1963-01-29 Gen Electric Copper plating process and solution
US2956900A (en) * 1958-07-25 1960-10-18 Alpha Metal Lab Inc Nickel coating composition and method of coating
GB880414A (en) * 1958-11-20 1961-10-18 Pilkington Brothers Ltd Improvements in or relating to the deposition of copper
CH428372A (de) * 1963-02-21 1967-01-15 Ermes Rolf Automatisches Silberspritzgerät zur chemischen Versilberung von Gegenständen
US3990462A (en) * 1975-05-19 1976-11-09 Fluoroware Systems Corporation Substrate stripping and cleaning apparatus
US4286541A (en) * 1979-07-26 1981-09-01 Fsi Corporation Applying photoresist onto silicon wafers
US4525390A (en) * 1984-03-09 1985-06-25 International Business Machines Corporation Deposition of copper from electroless plating compositions
US4682615A (en) * 1984-07-02 1987-07-28 Fsi Corporation Rinsing in acid processing of substrates
US4609575A (en) * 1984-07-02 1986-09-02 Fsi Corporation Method of apparatus for applying chemicals to substrates in an acid processing system
US5401539A (en) * 1985-11-12 1995-03-28 Osprey Metals Limited Production of metal spray deposits
US4908242A (en) * 1986-10-31 1990-03-13 Kollmorgen Corporation Method of consistently producing a copper deposit on a substrate by electroless deposition which deposit is essentially free of fissures
JP2675309B2 (ja) * 1987-09-19 1997-11-12 パイオニア株式会社 無電解めっき方法及びその装置
US5077090A (en) * 1990-03-02 1991-12-31 General Electric Company Method of forming dual alloy disks
JPH0734257A (ja) * 1993-07-21 1995-02-03 Sony Corp 無電解メッキ用薬液供給装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7179741B2 (en) 2002-04-23 2007-02-20 Nikko Materials Co., Ltd. Electroless plating method and semiconductor wafer on which metal plating layer is formed
JP2008101268A (ja) * 2006-07-07 2008-05-01 Rohm & Haas Electronic Materials Llc 無電解銅およびレドックス対
JP2013163867A (ja) * 2006-07-07 2013-08-22 Rohm & Haas Electronic Materials Llc 無電解銅およびレドックス対
WO2019239772A1 (ja) * 2018-06-13 2019-12-19 株式会社Screenホールディングス 無電解めっき方法、無電解めっき装置およびプログラム
JP2019214776A (ja) * 2018-06-13 2019-12-19 株式会社Screenホールディングス 無電解めっき方法、無電解めっき装置およびプログラム
WO2021177015A1 (ja) * 2020-03-05 2021-09-10 富士フイルム株式会社 被覆方法
US11905598B2 (en) 2020-03-05 2024-02-20 Fujifilm Corporation Coating method

Also Published As

Publication number Publication date
DE69608669D1 (de) 2000-07-06
WO1997022733A1 (en) 1997-06-26
US6065424A (en) 2000-05-23
DE69608669T2 (de) 2001-03-01
EP0811083B1 (en) 2000-05-31
EP0811083A1 (en) 1997-12-10

Similar Documents

Publication Publication Date Title
JPH11510219A (ja) スプレー・プロセッサを用いる金属膜の無電解めっき
US20050181226A1 (en) Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US7648913B2 (en) Method of electroless deposition of thin metal and dielectric films with temperature controlled stages of film growth
US7179503B2 (en) Method of forming thin metal films on substrates
US6824666B2 (en) Electroless deposition method over sub-micron apertures
US6843852B2 (en) Apparatus and method for electroless spray deposition
US6713377B2 (en) Method of electroless plating copper on nitride barrier
US6165912A (en) Electroless metal deposition of electronic components in an enclosable vessel
US7432200B2 (en) Filling narrow and high aspect ratio openings using electroless deposition
US6902605B2 (en) Activation-free electroless solution for deposition of cobalt and method for deposition of cobalt capping/passivation layer on copper
JP2005539369A (ja) 無電解堆積装置
US6794288B1 (en) Method for electroless deposition of phosphorus-containing metal films onto copper with palladium-free activation
US20040065540A1 (en) Liquid treatment using thin liquid layer
US7622382B2 (en) Filling narrow and high aspect ratio openings with electroless deposition
US7690324B1 (en) Small-volume electroless plating cell
US20030190426A1 (en) Electroless deposition method
CN100356547C (zh) 用于铜互连的可制造CoWP金属帽盖方法
JP2007509236A (ja) 無電解堆積のための装置
US20030143837A1 (en) Method of depositing a catalytic layer
WO2008002977A2 (en) Apparatus for applying a plating solution for electroless deposition
US8104425B2 (en) Reagent activator for electroless plating
US20050022745A1 (en) Electroless plating method, electroless plating device, and production method and production device of semiconductor device
WO2002004704A2 (en) Method and apparatus for patching electrochemically deposited layers using electroless deposited materials
US20050048768A1 (en) Apparatus and method for forming interconnects
US7897198B1 (en) Electroless layer plating process and apparatus