KR20210003959A - 반도체 프로세싱을 위한 챔버 디자인 - Google Patents

반도체 프로세싱을 위한 챔버 디자인 Download PDF

Info

Publication number
KR20210003959A
KR20210003959A KR1020207038108A KR20207038108A KR20210003959A KR 20210003959 A KR20210003959 A KR 20210003959A KR 1020207038108 A KR1020207038108 A KR 1020207038108A KR 20207038108 A KR20207038108 A KR 20207038108A KR 20210003959 A KR20210003959 A KR 20210003959A
Authority
KR
South Korea
Prior art keywords
chamber
chamber body
lid assembly
lid cover
disposed
Prior art date
Application number
KR1020207038108A
Other languages
English (en)
Inventor
주안 카를로스 로샤-알바레즈
데일 알. 두 보이스
아미트 쿠마르 반살
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210003959A publication Critical patent/KR20210003959A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Abstract

본원에서 설명되는 실시예들은, 트리-컷(tri-cut) 챔버 라이너를 사용하여 플라즈마 프로파일을 개선함으로써 증착 균일성을 개선하기 위한 장치를 제공한다. 장치는 또한, 비가동 시간을 줄이기 위해 분할된 프로세스 스택을 갖는 리드 조립체 및 챔버 벽들의 보다 효율적인 가열을 위한 바닥 히터 지지부를 포함한다.

Description

반도체 프로세싱을 위한 챔버 디자인{CHAMBER DESIGN FOR SEMICONDUCTOR PROCESSING}
[0001] 본 발명의 실시예들은 일반적으로, 기판들을 프로세싱하기 위한 장치 및 방법에 관한 것이다. 보다 구체적으로, 본 발명의 실시예들은 유전체 증착을 위해 사용되는 플라즈마 프로세싱 챔버에 관한 것이다.
[0002] 플라즈마 강화 화학 기상 증착(PECVD)과 같은 플라즈마 프로세싱은, 반도체 웨이퍼들과 같은 기판들 상에 블랭킷 유전체 필름들(blanket dielectric films)과 같은 물질들을 증착하는데 사용된다. 현재의 플라즈마 프로세싱 챔버들 및 프로세스들에 대한 난제(challenge)는 증착 균일성을 제어하는 것을 포함한다. 특정 난제는, 8시간을 초과하는 긴(long) 계획 유지보수(PM) 비가동 시간(downtime), 및 슬릿 밸브 기하형상들 및 펌핑과 관련된 프로세스 용적의 비대칭성들을 포함한다.
[0003] 따라서, 균일성을 개선하고 PM 비가동 시간을 감소시키기 위한 장치 및 프로세스가 필요하다.
[0004] 본원에서 설명되는 실시예들은, 트리-컷(tri-cut) 챔버 라이너를 사용하여 플라즈마 프로파일을 개선함으로써 증착 균일성을 개선하기 위한 장치를 제공한다. 이 장치는 또한, 비가동 시간을 감소시키기 위해 분할된(split) 프로세스 스택을 갖는 리드 조립체 및 챔버 벽들의 보다 효율적인 가열을 위한 바닥 히터 지지부를 포함한다.
[0005] 일 실시예에서, PECVD 프로세스 챔버가 개시된다. PECVD 프로세스 챔버는, 하나 또는 그 초과의 챔버 라이너들, 및 하나 또는 그 초과의 분할된 프로세스 스택들 중 제 1 부분들(first portions)을 지지하는 챔버 본체를 포함한다. PECVD 프로세스 챔버는 챔버 본체 위에 배치되는 리드 조립체를 더 포함한다. 리드 조립체는, 하나 또는 그 초과의 분할된 프로세스 스택들 중 제 2 부분들을 지지하는 리드 커버를 포함한다. PECVD 프로세스 챔버는, 리드 조립체와 챔버 본체를 연결하기 위한 실린더들을 포함하는 리프트 메커니즘(lift mechanism)을 더 포함한다.
[0006] 다른 실시예에서, PECVD 프로세스 챔버가 개시된다. PECVD 프로세스 챔버는 슬릿 밸브 개구를 갖는 챔버 본체 및 챔버 본체 내에 배치되는 프로세스 라이너를 포함한다. 프로세스 라이너는 3개의 대칭적인 개구들을 가지며, 개구들 중 하나는 슬릿 밸브 개구와 정렬된다.
[0007] 다른 실시예에서, PECVD 프로세스 챔버가 개시된다. PECVD 프로세스 챔버는 바닥 벽 및 측벽, 바닥 벽 위에 배치되는 금속 히터 플레이트, 및 바닥 벽과 금속 히터 플레이트 사이에 배치되는 바닥 플레이트를 포함한다. 금속 히터 플레이트와 바닥 플레이트 사이에 갭들이 형성된다.
[0008] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은 본 발명의 다양한 실시예들에 따른 프로세스 챔버의 등축도이다.
[0010] 도 2는 본 발명의 일 실시예에 따른, 도 1의 프로세스 챔버의 최상부 부분(top portion)의 등축도이다.
[0011] 도 3은 본 발명의 일 실시예에 따른, 개방 위치에 있는, 도 2의 프로세스 챔버의 최상부 부분의 등축도이다.
[0012] 도 4는 본 발명의 일 실시예에 따른 분할된 프로세스 스택의 단면도이다.
[0013] 도 5는 본 발명의 일 실시예에 따른 트리-컷 챔버 라이너의 등축도이다.
[0014] 도 6은 본 발명의 일 실시예에 따른, 바닥 히터를 도시하는, 도 1의 프로세스 챔버의 챔버 본체의 단면도이다.
[0015] 이해를 용이하게 하기 위해, 도면들에 공통적인 동일한 엘리먼트들을 지시하기 위해, 가능한 경우, 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들은, 추가 설명 없이도 다른 실시예들에 유리하게 포함될 수 있는 것으로 생각된다.
[0016] 본원에서 설명되는 실시예들은, 트리-컷 챔버 라이너를 사용하여 플라즈마 프로파일을 개선함으로써 증착 균일성을 개선하기 위한 장치를 제공한다. 이 장치는 또한, 비가동 시간을 줄이기 위해 분할된 프로세스 스택을 갖는 리드 조립체 및 챔버 벽들의 보다 효율적인 가열을 위한 바닥 히터 지지부를 포함한다.
[0017] 도 1은 본 발명의 다양한 실시예들에 따른 프로세스 챔버(100)의 등축도이다. 프로세스 챔버(100)는 플라즈마 강화 화학 기상 증착(PECVD) 챔버일 수 있다. 본원에서 설명되는 개선점(improvement)으로부터 이득을 얻을 그러한 챔버의 예시는, 캘리포니아 산타 클라라에 소재하는 Applied Materials, Inc.로부터 입수 가능한 PRODUCER® GT 시스템 상의 이중 또는 트윈 챔버이다. 트윈 챔버는 (2개의 기판들, 즉 프로세싱 영역 당 하나의 기판을 프로세싱하기 위한) 2개의 격리된 프로세싱 영역들을 가지며, 그에 따라 각각의 영역 내에서 경험되는 유량들은 전체 챔버 내로의 유량들의 대략 절반이다. 프로세스 챔버(100)는, 프로세스 영역을 둘러싸는 챔버 본체(102) 및 프로세스 영역 위에 배치되는 리드 조립체(104)를 갖는다. 리드 조립체(104)는, 챔버 본체(102) 위에 배치되는 2개의 분할된 프로세스 스택들(도 3 및 4 참조)을 커버하는 리드 커버(106)를 포함한다. 리드 커버(106) 및 2개의 원격 플라즈마 소스(108)가 리드 조립체(104) 위에 배치된다.
[0018] 도 2는 본 발명의 일 실시예에 따른, 도 1의 프로세스 챔버(100)의 최상부 부분의 등축도이다. 브래킷(210)이 챔버 본체(102)에 커플링되며, 리드 커버(105)에 피봇 가능하게(pivotally) 커플링된다. 브래킷(210)은, 그 내부에 배치되는 힌지 핀(212)을 갖는다. 브래킷(210) 및 힌지 핀(212)은, 리드 커버(105)가 2개의 수직한 위치들을 갖도록 구성된다. 제 2 위치보다 더 높은 제 1 위치에서, 리드 커버(105)는 챔버 본체(102) 상에 놓이지 않으며, 리드 커버(105)와 챔버 본체(102) 사이에 작은 갭이 존재한다. 리드 조립체(104)에 진공이 흡인(drawn)됨에 따라, 리드 커버(105)는, 리드 커버(105)와 챔버 본체(102) 사이의 갭을 닫는(close) 제 2 위치로 떨어지며(drop), 그에 따라 리드 조립체(104)를 기밀되게(air tight) 한다. 누출들을 방지하기 위해, o-링들과 같은 시일들이 리드 조립체(104) 내에 배치된다. 리드 조립체(104) 내에 진공이 흡인됨에 따라, 리드 커버(105)가 제 2 위치로 떨어질 때, o-링들이 압축된다. 리드 조립체(104)가 대기 상태(atmospheric condition)로 배기될(vent) 때, o-링들이 감압되며(decompress), 그에 따라 리드 커버(105)를 제 1 위치로 들어 올린다.
[0019] 리드 조립체(104)의 각 측면 상에, 제 1 실린더(214) 및 제 2 실린더(216)를 갖는 리프트 메커니즘이, 리드 커버(105)에 대한 지지를 제공하며, 리드 커버(105)의 개방 및 폐쇄를 가능하게 한다. 실린더들(214, 216)은 유압식 또는 공압식일 수 있다. 제 1 실린더(214)는 브래킷(210) 및 리드 커버(105)에 피봇 가능하게 커플링된다. 제 2 실린더(216)는, 챔버 본체(102) 및 부재(218)에 피봇 가능하게 커플링되며, 부재(218)는 리드 커버(105)에 피봇 가능하게 커플링된다.
[0020] 도 3은, 본 발명의 일 실시예에 따른, 개방 위치에 있는, 도 2의 프로세스 챔버(100)의 최상부 부분의 등축도이다. 도 3에 도시된 바와 같이, 리드 커버(105)는, 약 55도인 각도로 개방되어 있다. 양쪽 실린더들(214, 216)은 확장되어서(extended), 리드 커버(105)의 중량을 지지하는 것을 돕고, 리드 커버(105)가 폐쇄되는 것을 방지한다. 리드 커버(105)가 폐쇄될 때, 리드 커버(105) 내에서, 챔버 본체(102) 위에 2개의 분할된 프로세스 스택들(302)이 배치된다. 프로세스 스택(302)의 최상부 부분(306)이 리드 커버(105)에 커플링되며, 그에 따라 리드 커버(105)가 개방될 때, 분할된 프로세스 스택(302)의 바닥 부분(304)이 노출된다. 분할된 프로세스 스택(302)은 프로세스 스택(302)의 플레이트들에 대한 용이한 접근을 제공하며, 이는 PM을 위한 더 짧은 비가동 시간으로 이어진다.
[0021] 도 4는 본 발명의 일 실시예에 따른 프로세스 스택(302)의 단면도이다. 프로세스 스택(302)은, 절연체(402), 가스 박스(404), 이중 채널 차단기 플레이트(406), 면판(408), 및 면판 히터(410)를 포함한다. 리드 커버(105)가 폐쇄될 때, 프로세스 스택(302)의 플레이트들은, 챔버 본체(102) 내로 아래쪽으로 프로세스 가스 또는 원격 플라즈마가 들어가는 것을 허용하도록 정렬된다. 프로세스 스택(302)은 다중-구역(multi-zoned) 가스/플라즈마 진입을 위한 채널들을 제공한다. 리드 커버(105)가 개방될 때, 절연체(402) 및 가스 박스(404)는 프로세스 스택(302)의 나머지로부터 분할되는데, 이는 절연체(402) 및 가스 박스(404)가 리드 커버(105)에 커플링되기 때문이다. 이중 채널 차단기 플레이트(406) 및 면판 히터(410)는, 리드 커버(105)가 개방될 때 노출된다.
[0022] 도 4에 도시된 바와 같이, 트리-컷 챔버 라이너(412)가 챔버 본체(102) 내에 배치된다. PECVD 프로세스 동안, 증착 균일성은 플라즈마 밀도 프로파일에 의해 영향을 받을 수 있다. 통상적으로, RF 복귀 경로를 제공하기 위해 챔버 본체(102) 내에 챔버 라이너가 배치된다. 종래의 챔버 라이너는 하나의 개구를 가지며, 하나의 개구는 프로세스 챔버의 내부로 및 외부로 기판들을 이송하기 위한 슬릿 밸브 개구와 정렬된다. 종래의 챔버 라이너의 개구는, RF 복귀 경로가 비대칭이 되게 하며, 그에 따라 불균일한 플라즈마 밀도 프로파일을 초래한다.
[0023] 트리-컷 챔버 라이너(412)는, 도 5에 도시된 바와 같이, 3개의 동일한 개구들(502)을 갖는다. 개구들 중 하나는, 프로세스 챔버의 내부로 및 외부로 기판들을 이송하기 위한 슬릿 밸브 개구(601)와 정렬된다. 나머지 2개의 개구들은 "더미 개구들(dummy openings)"이다. 3개의 개구들(502)로 인해, 트리-컷 챔버 라이너(412)는 대칭적인 기하형상을 가지며, 그에 따라 대칭적인 RF 복귀 경로를 초래한다. 결국, 플라즈마 밀도 프로파일이 개선되며, 증착이 보다 균일해진다.
[0024] 도 6은 본 발명의 일 실시예에 따른 챔버 본체(102)의 단면도이다. 또한, 트리-컷 챔버 라이너(412)는, 슬릿 밸브 개구(601)와 정렬되는, 개구들(502) 중 하나를 갖는다. 챔버 본체(102)는 측벽(602) 및 바닥(604)을 갖는다. 히터 플레이트(606)가 바닥(604) 위에 배치된다. 작동 중에, 히터 플레이트(606)는, 측벽(602) 및 바닥(604) 상의 응축을 방지하기에 충분한 온도로, 바닥(604) 및 측벽(602)을 가열한다. 히터 플레이트(606)는 가열 유체를 유동시키기 위해, 내부에 유체 채널들을 가질 수 있거나, 그 내부에 매립되는 저항성 가열 엘리먼트를 가질 수 있다.
[0025] 바닥(604) 및 측벽(602)은 전형적으로, 높은 열 전도율을 갖는 알루미늄으로 제조된다. 보다 효율적인 가열을 제공하기 위해, 히터 플레이트(606)와 바닥(604) 사이에 바닥 플레이트(608)가 배치된다. 바닥 플레이트(608)는 스테인리스 스틸과 같은 낮은 열 전도율을 갖는 금속으로 제조될 수 있다. 열 손실을 더 감소시키기 위해, 히터 플레이트(606)는 구조적 지지에 충분한, 바닥 플레이트(608) 및 측벽(602)과의 최소 접촉을 갖는다. 갭들(614)을 형성하기 위해, 히터 플레이트(606)와 바닥 플레이트(608) 사이에 o-링들(612)이 배치된다. 바닥 플레이트(608)의 엣지들은, 진공 프로세스 조건에 의해 챔버의 프로세스 영역 내로 바닥 플레이트(608)를 들어 올리는 것을 방지하기 위해, 측벽(602) 내로 연장한다. 또한, 바닥 플레이트(608)는 체결 디바이스들(610)에 의해 바닥(604)에 또한 커플링된다. 바닥 플레이트(608)는, PM 동안 바닥(604)이 오퍼레이터를 버닝(burning)시키는 것을 막기 위해, 냉각 유체를 유동시키기 위한 채널들을 내부에 가질 수 있다.
[0026] 요약하면, 보다 균일한 증착, 챔버 벽들의 보다 효율적인 가열 및 PM을 위한 더 적은 비가동 시간을 위해, 개선된 PECVD 프로세스 챔버가 제공된다.
[0027] 전술한 바는 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가의 실시예들이 본 발명의 기본 범위로부터 벗어나지 않고 안출될 수 있으며, 본 발명의 범위는 하기의 청구항들에 의해 결정된다.

Claims (3)

  1. PECVD 프로세스 챔버로서:
    하나 또는 그 초과의 챔버 라이너들, 및 하나 또는 그 초과의 분할된 프로세스 스택들 중 제 1 부분들(first portions)을 지지하는 챔버 본체;
    상기 챔버 본체 위에 배치되는 리드 조립체를 포함하며,
    상기 리드 조립체는:
    하나 또는 그 초과의 분할된 프로세스 스택들 중 제 2 부분들을 지지하는 리드 커버; 및
    상기 리드 조립체와 상기 챔버 본체를 연결하기 위한 실린더들을 포함하는 리프트 메커니즘을 포함하는
    PECVD 프로세스 챔버.
  2. PECVD 프로세스 챔버로서:
    슬릿 밸브 개구를 갖는 챔버 본체; 및
    상기 챔버 본체에 배치되는 프로세스 라이너를 포함하며,
    상기 프로세스 라이너는 3개의 대칭적인 개구들을 가지며, 상기 개구들 중 하나는 상기 슬릿 밸브 개구와 정렬되는
    PECVD 프로세스 챔버.
  3. PECVD 프로세스 챔버로서:
    바닥 벽 및 측벽;
    상기 바닥 벽 위에 배치되는 금속 히터 플레이트; 및
    상기 금속 히터 플레이트와 상기 바닥 벽 사이에 배치되는 바닥 플레이트를 포함하며,
    상기 금속 히터 플레이트와 상기 바닥 플레이트 사이에 갭들이 형성되는
    PECVD 프로세스 챔버.
KR1020207038108A 2013-03-15 2014-03-06 반도체 프로세싱을 위한 챔버 디자인 KR20210003959A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361798024P 2013-03-15 2013-03-15
US61/798,024 2013-03-15
PCT/US2014/021358 WO2014149883A1 (en) 2013-03-15 2014-03-06 Chamber design for semiconductor processing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020157026258A Division KR102200277B1 (ko) 2013-03-15 2014-03-06 반도체 프로세싱을 위한 챔버 디자인

Publications (1)

Publication Number Publication Date
KR20210003959A true KR20210003959A (ko) 2021-01-12

Family

ID=51580667

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020157026258A KR102200277B1 (ko) 2013-03-15 2014-03-06 반도체 프로세싱을 위한 챔버 디자인
KR1020207038108A KR20210003959A (ko) 2013-03-15 2014-03-06 반도체 프로세싱을 위한 챔버 디자인

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020157026258A KR102200277B1 (ko) 2013-03-15 2014-03-06 반도체 프로세싱을 위한 챔버 디자인

Country Status (4)

Country Link
US (2) US11004663B2 (ko)
KR (2) KR102200277B1 (ko)
TW (3) TWI801725B (ko)
WO (1) WO2014149883A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10435786B2 (en) 2014-09-10 2019-10-08 Applied Materials, Inc. Alignment systems employing actuators providing relative displacement between lid assemblies of process chambers and substrates, and related methods
US10741428B2 (en) 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber
WO2021178266A1 (en) * 2020-03-02 2021-09-10 Lam Research Corporation Linear arrangement for substrate processing tools
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US20230054444A1 (en) * 2021-08-18 2023-02-23 Applied Materials, Inc. Bipolar esc with balanced rf impedance

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08302474A (ja) * 1995-04-28 1996-11-19 Anelva Corp Cvd装置の加熱装置
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US6050446A (en) * 1997-07-11 2000-04-18 Applied Materials, Inc. Pivoting lid assembly for a chamber
US6244121B1 (en) 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
KR200243530Y1 (ko) 1999-06-30 2001-09-29 이영원 반도체 웨이퍼의 베이크장치
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US20030017268A1 (en) * 2001-07-18 2003-01-23 Applied Materials, Inc. .method of cvd titanium nitride film deposition for increased titanium nitride film uniformity
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7351291B2 (en) * 2002-02-20 2008-04-01 Tokyo Electron Limited Semiconductor processing system
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR100591735B1 (ko) 2004-05-13 2006-06-22 삼성전자주식회사 반도체 기판을 가공하기 위한 베이킹 장치
KR100715984B1 (ko) 2006-06-12 2007-05-08 세메스 주식회사 기판 처리 장치 및 방법
KR101000094B1 (ko) 2007-08-08 2010-12-09 엘아이지에이디피 주식회사 기판 증착장치
US20090071403A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Pecvd process chamber with cooled backing plate
TWI521088B (zh) * 2009-10-28 2016-02-11 應用材料股份有限公司 用於處理多個基材的製程腔室與用於在基材上沉積膜的製程
KR101109080B1 (ko) 2009-12-01 2012-02-06 세메스 주식회사 베이크 장치 및 그의 가열 플레이트 냉각 방법
TWI502617B (zh) * 2010-07-21 2015-10-01 應用材料股份有限公司 用於調整電偏斜的方法、電漿處理裝置與襯管組件
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8647446B2 (en) * 2011-12-07 2014-02-11 Intermolecular, Inc. Method and system for improving performance and preventing corrosion in multi-module cleaning chamber

Also Published As

Publication number Publication date
KR102200277B1 (ko) 2021-01-07
TWI608123B (zh) 2017-12-11
US20210217592A1 (en) 2021-07-15
US11004663B2 (en) 2021-05-11
WO2014149883A1 (en) 2014-09-25
US20150380217A1 (en) 2015-12-31
TW201447033A (zh) 2014-12-16
TWI801725B (zh) 2023-05-11
KR20150131064A (ko) 2015-11-24
TW202033822A (zh) 2020-09-16
TWI690617B (zh) 2020-04-11
TW201805479A (zh) 2018-02-16

Similar Documents

Publication Publication Date Title
US20210217592A1 (en) Chamber design for semiconductor processing
KR101647958B1 (ko) 처리 챔버용 밀봉 장치
US20140076494A1 (en) Processing system
CN101091006B (zh) 真空装置的密封结构
KR102474786B1 (ko) 플로팅 쉐도우 링을 가진 프로세스 키트
US9947559B2 (en) Thermal management of edge ring in semiconductor processing
US20150221532A1 (en) Substrate processing apparatus, heating apparatus, ceiling heat insulator, and method of manufacturing semiconductor device
CN109735814B (zh) 磁控溅射反应腔室的冷却组件及其磁控溅射设备
JP2015122503A (ja) 基板処理装置
US20190226088A1 (en) High temperature faceplate with thermal choke and cooling
JP2020532145A (ja) 分割スリットライナードア
KR101747490B1 (ko) 진공 장치 및 밸브 제어 방법
KR20180124114A (ko) 균일한 진공펌핑의 이중 스테이션 진공처리기
CN100593228C (zh) 真空处理装置以及真空处理方法
US10115611B2 (en) Substrate cooling method, substrate transfer method, and load-lock mechanism
US9206512B2 (en) Gas distribution system
US20160326648A1 (en) Apparatus for selectively sealing a gas feedthrough
US20200203194A1 (en) Inner Wall and substrate Processing Apparatus
US11948828B2 (en) Pin-less substrate transfer apparatus and method for a processing chamber
KR102193652B1 (ko) 고온 공정 챔버 리드
CN105719929A (zh) 反应腔室和半导体加工设备
JP2006097080A (ja) 基板処理装置
CN114127333B (zh) 前驱体源布置和原子层沉积设备
US20220064799A1 (en) Vacuum processing apparatus
KR20100100480A (ko) 기판처리장치

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application