TW201447033A - 用於半導體製程的腔室設計 - Google Patents

用於半導體製程的腔室設計 Download PDF

Info

Publication number
TW201447033A
TW201447033A TW103108696A TW103108696A TW201447033A TW 201447033 A TW201447033 A TW 201447033A TW 103108696 A TW103108696 A TW 103108696A TW 103108696 A TW103108696 A TW 103108696A TW 201447033 A TW201447033 A TW 201447033A
Authority
TW
Taiwan
Prior art keywords
chamber
cover
chamber body
openings
heating plate
Prior art date
Application number
TW103108696A
Other languages
English (en)
Other versions
TWI608123B (zh
Inventor
Juan Carlos Rocha-Alvarez
Bois Dale R Du
Amit Kumar Bansal
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201447033A publication Critical patent/TW201447033A/zh
Application granted granted Critical
Publication of TWI608123B publication Critical patent/TWI608123B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)

Abstract

本文所述之實施例提供藉由使用三邊裁切腔室襯墊改良電漿分佈來改良沉積均勻性之設備。該設備亦包括蓋組件,蓋組件具有對開製程堆疊以用於減少停機時間及具有底部加熱器支承件以用於更有效地加熱腔室壁。

Description

用於半導體製程的腔室設計
本發明之實施例一般係關於用於處理基板之設備及方法。更特定而言,本發明之實施例係關於用於進行介電沉積之電漿處理腔室。
諸如電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)之電漿處理用以在諸如半導體晶圓之基板上沉積諸如毯覆介電薄膜之材料。當前電漿處理腔室及製程的挑戰包括控制沉積均勻性。特定挑戰包括與泵浦及狹縫閥幾何形狀相關的製程容積不對稱性及超過8小時之較長的計劃維護(planned maintenance;PM)停機時間。
由此,需要用於改良均勻性及減少PM停機時間之設備及製程。
本文所述之實施例提供藉由使用三邊裁切腔室襯墊改良電漿分佈來改良沉積均勻性之設備。該設備亦包括蓋組件,該蓋組件具有對開製程堆疊以用於減少停機時間;及具 有底部加熱器支承件以便更有效地加熱腔室壁。
在一個實施例中,揭示了一種PECVD製程腔室。PECVD製程腔室包括支撐一或更多個腔室襯墊及一或更多個對開製程堆疊之第一部分之腔室主體。PECVD製程腔室進一步包括安置在腔室主體上方之蓋組件。蓋組件包括支撐一或更多個對開製程堆疊之第二部分之罩蓋。PECVD製程腔室進一步包括升舉機構,該升舉機構包括用於連接腔室主體及蓋組件之缸體。
在另一實施例中,揭示了一種PECVD製程腔室。PECVD製程腔室包括具有狹縫閥開口之腔室主體及安置在腔室主體中之製程襯墊。製程襯墊具有三個對稱開口及開口中之一者與狹縫閥開口對準。
在另一實施例中,揭示了一種PECVD製程腔室。PECVD製程腔室包括底壁及側壁、安置在底壁上之金屬加熱板,及安置在金屬加熱板與底壁之間的底板。間隙形成於金屬加熱板與底板之間。
100‧‧‧製程腔室
102‧‧‧腔室主體
104‧‧‧蓋組件
105‧‧‧罩蓋
106‧‧‧罩蓋/雙自動匹配件
108‧‧‧遠端電漿源
210‧‧‧托架
212‧‧‧鉸鏈銷
214‧‧‧第一缸體
216‧‧‧第二缸體
218‧‧‧部件
302‧‧‧製程堆疊
304‧‧‧底部部分
306‧‧‧頂部部分
402‧‧‧絕緣體
404‧‧‧氣箱
406‧‧‧雙通道阻斷板
408‧‧‧面板
410‧‧‧面板加熱器
412‧‧‧三邊裁切腔室襯墊
502‧‧‧開口
601‧‧‧狹縫閥開口
602‧‧‧側壁
604‧‧‧底部
606‧‧‧加熱板
608‧‧‧底板
610‧‧‧緊固裝置
612‧‧‧O形環
614‧‧‧間隙
因此,參照實施例來提供於上文簡要概述的本發明的更詳細敘述,以更詳細瞭解本發明的上述特徵結構,該等實施例中之一些實施例在附圖中進行圖示。然而,應注意,附圖僅圖示本發明之典型實施例,及因此將不被視作限制本發明之範疇,因為本發明可認可其他同等有效之實施例。
第1圖係根據本發明之多個實施例之製程腔室之等角視圖。
第2圖係根據本發明之一個實施例之第1圖中製程腔室頂部部分之等角視圖。
第3圖係根據本發明之一個實施例之第2圖中製程腔室頂部部分處於打開位置時之等角視圖。
第4圖係根據本發明之一個實施例之對開製程堆疊之橫剖面視圖。
第5圖係根據本發明之一個實施例之三邊裁切腔室襯墊之等角視圖。
第6圖係根據本發明之一個實施例之第1圖中製程腔室之腔室主體之橫剖面視圖,該圖圖示底部加熱器。
為促進理解,已使用相同元件符號以指示該等圖式中共用之相同元件。設想一個實施例之元件及特徵結構可有益地併入其他實施例,而無需贅述。
本文所述之實施例提供藉由使用三邊裁切腔室襯墊改良電漿分佈來改良沉積均勻性之設備。該設備亦包括蓋組件,該蓋組件具有對開製程堆疊以用於減少停機時間及具有底部加熱器支承件以用於更有效地加熱腔室側壁。
第1圖係根據本發明之多個實施例之製程腔室100之等角視圖。製程腔室100可為電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)腔室。將得益於本文中所述之改良之該種腔室之實例是GERONIMO®系統上之雙腔室或成對腔室,該腔室可自美國加利福尼亞州聖克拉拉市的應用材料公司購得。成對腔室具有兩個隔離的 處理區域(用於處理兩個基板,每一處理區域處理一個基板)以便每一區域中經歷之流速約為進入整個腔室之流速之一半。製程腔室100具有圍封製程區域之腔室主體102及安置在製程區域上方之蓋組件104。蓋組件104包括覆蓋安置在腔室主體102上方之兩個對開製程堆疊(參看第3圖及第4圖)之罩蓋106。雙自動匹配件106及兩個遠端電漿源108安置在蓋組件104上方。
第2圖係根據本發明之一個實施例之第1圖中製程腔室100頂部部分之等角視圖。托架210耦接至腔室主體102及樞軸耦接至罩蓋105。托架210中安置有鉸鏈銷212。托架210及鉸鏈銷212經配置使得罩蓋105具有兩個垂直位置。在高於第二位置之第一位置處,罩蓋105並非放置在腔室主體102上,及罩蓋105與腔室主體102之間存在較小間隙。當蓋組件104中抽真空時,罩蓋105降至第二位置,從而合攏罩蓋104與腔室主體102之間的間隙,使蓋組件104達到氣密。諸如O形環之密封件安置在蓋組件104內側以防止洩漏。當蓋組件104內側抽真空時,O形環在罩蓋105降至第二位置時壓縮。當蓋組件104通氣至大氣條件時,O形環膨脹,從而將罩蓋105升舉至第一位置。
在蓋組件104各側具有第一缸體214及第二缸體216之升舉機構向罩蓋105提供支撐,及有利於罩蓋105之打開及關閉。缸體214、216可為液壓或氣動驅動。第一缸體214樞軸耦接至托架210及罩蓋105。第二缸體216樞軸耦接至腔室主體102及部件218,該部件樞軸耦接至罩蓋105。
第3圖係根據本發明之一個實施例之第2圖中製程腔室100頂部部分處於打開位置時之等角視圖。如第3圖中所示,罩蓋105之打開角度為約55度。缸體214、216皆延伸,從而協助支撐罩蓋105重量及防止罩蓋105關閉。在罩蓋105內側,當罩蓋105關閉時,兩個對開製程堆疊302安置在腔室主體102上方。製程堆疊302之頂部部分306耦接至罩蓋105,因此當罩蓋105打開時,對開製程堆疊302之底部部分304被曝露。對開製程堆疊302提供對製程堆疊302中板件之輕鬆接取,從而得到更短的PM停機時間。
第4圖係根據本發明之一個實施例之製程堆疊302之橫剖面視圖。製程堆疊302包括絕緣體402、氣箱404、雙通道阻斷板406、面板408,及面板加熱器410。當罩蓋105關閉時,製程堆疊302之板件經對準以容許製程氣體或遠端電漿進入下方之腔室主體102。製程堆疊302提供通道以用於多區域之氣體/電漿進入。當罩蓋105打開時,由於絕緣體402及氣箱404耦接至罩蓋105,因此絕緣體402及氣箱404與製程堆疊302之其餘部分分開。當罩蓋105打開時,雙通道阻斷板406及面板加熱器410被曝露。
如第4圖中所示,三邊裁切腔室襯墊412安置在腔室主體102內側。在PECVD製程期間,沉積均勻性可受電漿密度分佈影響。習知腔室襯墊安置在腔室主體102內側以用於提供射頻返迴路徑。習用腔室襯墊具有與狹縫閥開口對準之一個開口以用於將基板移送入及移送出製程腔室。習用腔室襯墊中之開口使射頻返迴路徑不對稱,從而導致不均勻的 電漿密度分佈。
三邊裁切腔室襯墊412具有三個相同開口502,如第5圖中所示。該等開口中之一者與狹縫閥開口601對準以用於將基板移送入及移送出製程腔室。其餘兩個開口為「虛設開口」。由於有三個開口502,三邊裁切腔室襯墊412具有對稱幾何形狀,從而得到對稱的射頻返迴路徑。從而電漿密度分佈得以改良,及沉積更為均勻。
第6圖係根據本發明之一個實施例之腔室主體102橫剖面視圖。同樣,三邊裁切腔室襯墊412具有開口502,該等開口中之一者與狹縫閥開口601對準。腔室主體102具有側壁602及底部604。加熱板606安置在底部604上方。在操作期間,加熱板606加熱底部604及側壁602至充足溫度以防止側壁602及底部604上發生凝結。加熱板606在內側可具有流體通道以用於使加熱流體流動,或可在加熱板中埋置有電阻加熱元件。
底部604及側壁602通常由鋁製成,鋁具有較高熱傳導性。為提供更有效之加熱,底板608安置在加熱板606與底部604之間。底板608可由熱傳導性較低之金屬製成,例如不鏽鋼。為進一步降低熱損失,加熱板606與地板608及側壁602具有足以進行結構支承的最低程度之接觸。O形環612安置在加熱板606與底板608之間以形成間隙614。底板608邊緣延伸至側壁602內以防止因真空製程條件而將底板608升舉至腔室之製程區域內。此外,底板608亦藉由緊固裝置610耦接至底部604。底板608在內側可具有通道以用 於使冷卻流體流動以防止底部604在PM期間燙傷操作員。
總而言之,提供改良的PECVD製程腔室以用於更均勻之沉積、更有效之腔室壁加熱,及更短之PM停機時間。
儘管前述內容係針對本發明之實施例,但可在不脫離本發明基本範疇之情況下設計本發明之其他及更多實施例,及本發明之範疇由以下專利申請範圍決定。
100‧‧‧製程腔室
102‧‧‧腔室主體
104‧‧‧蓋組件
105‧‧‧罩蓋
106‧‧‧罩蓋/雙自動匹配件
108‧‧‧遠端電漿源
210‧‧‧托架
212‧‧‧鉸鏈銷
214‧‧‧第一缸體
216‧‧‧第二缸體
218‧‧‧部件
302‧‧‧製程堆疊
304‧‧‧底部部分
306‧‧‧頂部部分

Claims (3)

  1. 一種PECVD製程腔室,包括:一腔室主體,支撐一或更多個腔室襯墊,及一或更多個對開製程堆疊之第一部分;一蓋組件,安置在該腔室主體上方,該蓋組件包括:一罩蓋,支撐一或更多個對開製程堆疊之第二部分;及一升舉機構,包括用於連接該腔室主體及該蓋組件之缸體。
  2. 一種PECVD製程腔室,包括:一腔室主體,具有一狹縫閥開口;及一製程襯墊,安置在該腔室主體中,其中該製程襯墊具有三個對稱開口,及該等開口中之一個開口與該狹縫閥開口對準。
  3. 一種PECVD製程腔室,包括:一底壁及一側壁;一金屬加熱板,安置在該底壁上方;及一底板,安置在該金屬加熱板與該底壁之間,其中該金屬加熱板與該底板之間形成間隙。
TW103108696A 2013-03-15 2014-03-12 用於半導體製程的腔室設計 TWI608123B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201361798024P 2013-03-15 2013-03-15

Publications (2)

Publication Number Publication Date
TW201447033A true TW201447033A (zh) 2014-12-16
TWI608123B TWI608123B (zh) 2017-12-11

Family

ID=51580667

Family Applications (3)

Application Number Title Priority Date Filing Date
TW109107383A TWI801725B (zh) 2013-03-15 2014-03-12 用於半導體製程的腔室設計
TW106137718A TWI690617B (zh) 2013-03-15 2014-03-12 用於半導體製程的腔室設計
TW103108696A TWI608123B (zh) 2013-03-15 2014-03-12 用於半導體製程的腔室設計

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW109107383A TWI801725B (zh) 2013-03-15 2014-03-12 用於半導體製程的腔室設計
TW106137718A TWI690617B (zh) 2013-03-15 2014-03-12 用於半導體製程的腔室設計

Country Status (4)

Country Link
US (2) US11004663B2 (zh)
KR (2) KR20210003959A (zh)
TW (3) TWI801725B (zh)
WO (1) WO2014149883A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10435786B2 (en) 2014-09-10 2019-10-08 Applied Materials, Inc. Alignment systems employing actuators providing relative displacement between lid assemblies of process chambers and substrates, and related methods
US10741428B2 (en) 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber
US20230085987A1 (en) * 2020-03-02 2023-03-23 Lam Research Corporation Linear arrangement for substrate processing tools
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US20230054444A1 (en) * 2021-08-18 2023-02-23 Applied Materials, Inc. Bipolar esc with balanced rf impedance

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08302474A (ja) * 1995-04-28 1996-11-19 Anelva Corp Cvd装置の加熱装置
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US6050446A (en) * 1997-07-11 2000-04-18 Applied Materials, Inc. Pivoting lid assembly for a chamber
US6244121B1 (en) 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
KR200243530Y1 (ko) 1999-06-30 2001-09-29 이영원 반도체 웨이퍼의 베이크장치
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US20030017268A1 (en) * 2001-07-18 2003-01-23 Applied Materials, Inc. .method of cvd titanium nitride film deposition for increased titanium nitride film uniformity
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7351291B2 (en) * 2002-02-20 2008-04-01 Tokyo Electron Limited Semiconductor processing system
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR100591735B1 (ko) * 2004-05-13 2006-06-22 삼성전자주식회사 반도체 기판을 가공하기 위한 베이킹 장치
KR100715984B1 (ko) * 2006-06-12 2007-05-08 세메스 주식회사 기판 처리 장치 및 방법
KR101000094B1 (ko) * 2007-08-08 2010-12-09 엘아이지에이디피 주식회사 기판 증착장치
US20090071403A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Pecvd process chamber with cooled backing plate
US20110097878A1 (en) * 2009-10-28 2011-04-28 Applied Materials, Inc. Chamber for pecvd
KR101109080B1 (ko) * 2009-12-01 2012-02-06 세메스 주식회사 베이크 장치 및 그의 가열 플레이트 냉각 방법
TWI502617B (zh) * 2010-07-21 2015-10-01 應用材料股份有限公司 用於調整電偏斜的方法、電漿處理裝置與襯管組件
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8647446B2 (en) * 2011-12-07 2014-02-11 Intermolecular, Inc. Method and system for improving performance and preventing corrosion in multi-module cleaning chamber

Also Published As

Publication number Publication date
US20150380217A1 (en) 2015-12-31
KR102200277B1 (ko) 2021-01-07
US11004663B2 (en) 2021-05-11
TWI608123B (zh) 2017-12-11
TWI690617B (zh) 2020-04-11
US20210217592A1 (en) 2021-07-15
WO2014149883A1 (en) 2014-09-25
TW201805479A (zh) 2018-02-16
TWI801725B (zh) 2023-05-11
KR20210003959A (ko) 2021-01-12
TW202033822A (zh) 2020-09-16
KR20150131064A (ko) 2015-11-24

Similar Documents

Publication Publication Date Title
TWI608123B (zh) 用於半導體製程的腔室設計
US20140076494A1 (en) Processing system
US10793951B2 (en) Apparatus to improve substrate temperature uniformity
TWI703901B (zh) 電漿處理裝置
US9947559B2 (en) Thermal management of edge ring in semiconductor processing
TW201841228A (zh) 處理腔室、處理套件與使用其之處理基板的方法
TW201812083A (zh) 用於控制氣體流至製程腔室的方法及裝置
TW201212119A (en) Apparatus for controlling the flow of a gas in a process chamber
TWI576458B (zh) 用於控制基板上之沉積的設備
WO2016067785A1 (ja) 基板載置台
US10676817B2 (en) Flip edge shadow frame
JP2015122503A (ja) 基板処理装置
US11236424B2 (en) Process kit for improving edge film thickness uniformity on a substrate
TW201630108A (zh) 用於電漿處理的雙區式加熱器
KR20170092135A (ko) 플라즈마 처리 용기 및 플라즈마 처리 장치
US20150221532A1 (en) Substrate processing apparatus, heating apparatus, ceiling heat insulator, and method of manufacturing semiconductor device
JP6478828B2 (ja) 成膜装置、成膜方法および基板載置台
KR20150001688U (ko) 사분면들을 구비한 기판 지지체
US20160326648A1 (en) Apparatus for selectively sealing a gas feedthrough
TW201539618A (zh) 基板處理裝置
JP2019203198A (ja) 高温処理チャンバリッド
TW201921479A (zh) 內壁及基板處理裝置
US20140251216A1 (en) Flip edge shadow frame
KR102476772B1 (ko) 기판 처리 장치
TW202341277A (zh) 電漿處理裝置及電漿處理裝置之製造方法