JPS591671A - プラズマcvd装置 - Google Patents

プラズマcvd装置

Info

Publication number
JPS591671A
JPS591671A JP57090678A JP9067882A JPS591671A JP S591671 A JPS591671 A JP S591671A JP 57090678 A JP57090678 A JP 57090678A JP 9067882 A JP9067882 A JP 9067882A JP S591671 A JPS591671 A JP S591671A
Authority
JP
Japan
Prior art keywords
chamber
gas
film
pipe
plasma cvd
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP57090678A
Other languages
English (en)
Inventor
Yoshimi Shiotani
喜美 塩谷
Mamoru Maeda
守 前田
Yasushi Ooyama
泰 大山
Mikio Takagi
幹夫 高木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP57090678A priority Critical patent/JPS591671A/ja
Priority to DE8383302990T priority patent/DE3375028D1/de
Priority to EP83302990A priority patent/EP0095887B2/en
Publication of JPS591671A publication Critical patent/JPS591671A/ja
Priority to US06/740,306 priority patent/US4625678A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 (a)発明の技術分野 本発明は集積回路基板にパターン形成用のシリサイド膜
又は金属膜を生成させるプラズマCVD装置〆tに係り
、特に高融点の塩化系無機化合物である例えばモリブデ
ンシリサイド(MoSit)、塩化モリブデン(Moc
t、)又は塩化タングステン(W c Lの)等をプラ
ズマ化して分解反応を容易にし、イオン。
ラジカル、分解物を該起させて成膜するのに有効となる
プラズマCVD装置の改良に関する。
(b)  技術の背景 集積回路の回路構成に用いられる一般的な配線材料はア
ルミニウム(A L’)またはシリコン(St)とアル
ミニウムの合金であり、アルミニウム膜は抵抗値が小さ
く、シリコン酸化膜等に対して接着性及び加工性に優れ
ている反面耐湿性に劣り、マイグレーション(elec
tromlgration)を起し易く、シリコンと共
融点を持つため接触面に共晶合金を作り、シリコン層に
深いピットを生ずる。
とれに対し低温プラズマにより、高融点のシリサイド膜
又は高融点金属のモリブデン(MO)又はタングステン
(W)等を成膜させるイオンプレーテングが近年用いら
れている0 イオンプレーテングは嘆厚の成長速度が大きく、良質の
結晶膜を低温で形成する利点があるが気相での化学反応
を利用するため形成した薄膜の性質は、どのような反応
を用いたかということと共に基板温度、ガス成分や流量
、反応装置冷の形状、反応系の清浄度などに大きく依存
する。
(c)  従来技術と問題点 集積回路基板上に、ゲート電極、配N材に使用するシリ
サイド又は金属膜形成に有利な低温プラズマCVD装置
が多用されている。
第1図は従来のプラズマCVD装置を示す構成図である
図中1はチャンバ、2は高周波電極、3はサセプタ、4
は集積回路基板(ウェハ)f5はガス誘導管、6はガス
導出口、7は排気口、8はシールド。
9はジャバラ、10は加熱用被覆、11はヒータをそれ
ぞれ示す。
チャンバ1内に高周波電源が印加される高周波電極2に
サセプタ3を介してウェハ4をdllして回転する高周
波電極系と化合物ガスを供給するガス誘導管5及びガス
導出口6からなる電極系を設は高周波電圧を二極間に印
加してプラズマを時起させ、導入する化合物ガスのイオ
ン化を促進させ、ガス反応によりウェハ4上に所定のシ
リサイド又は金属膜を生成させる。
チャンバ1内は減圧するだめの真空ポンプ(図示せず)
により排気ロア、7′を介して一定圧に維持される。ま
た成膜速度を加速させ、良質な膜質を得るガス誘導管5
を支持するステンレス材のジャバラ9を設は電極間隔を
調整可能とし、チャンバ1と気密性を保持するためのシ
ールド8で半固定される。ガス誘導管5の経路には図の
ように加熱用被覆10を備える。これは温度降下によっ
て化合物ガスが固体化するのを防止するためのもので室
温で固体化合物となる化合物ガス例えば塩化モリブデン
、塩化タングステンを媒体とする場合は特に必要でステ
ンレスパイプを150℃に加熱するヒータ系を内蔵させ
て被覆する。
これに対しガス組成が異なり、室温で液体化合物となる
化合物ガス即ち弗化系無機化合物である例えば弗化モリ
ブデン(MoFa)又は弗化タングステン(WF6)は
室温で気化するから化合物ガス誘導系は加熱の必要はな
く誘導系は容易に得られる反面、分離する弗素によりト
ラブルを生ずる。即ち下地基板が例えばシリコン又は二
酸化シリコンで形成される場合、弗素によシエッチング
されるためシリサイドや金属膜が成長しK〈い又ははが
れ易いというトラブルを生ずる。
これに対し接着強度を保ち良質の膜質が得られ、室温で
固体化合物をなす塩化系化合物ガスでは前述のガス導入
系を加熱する配慮が必要で液化温度は150℃に維持さ
れることが望ましい。
室温で固体化合物となる化合物ガスは一定温度150℃
の恒温槽よシガス導入管5を紅白してチャンバ1内に導
入されるが、高温に保つために加熱用被覆で保温し約1
50℃に維持されるが、チャンバ1内に導入される部分
は急冷され固体化するためガス誘導管5の内壁に固体化
したガス蒸発物が付着し管内がつまる現象を生ずる。
このため化合物ガス流量が変動し、ウェハ4への膜質を
低下させ、接着強度を劣化させる。また装置の修復に時
間がか\り稼動率を低下させる。
(d)  発明の目的 本発明は上記の欠点に鑑み、チャンバ導入口周辺及びチ
ャンバ内部の誘導管及び導出口に加熱機構を設け、導入
管に付着する化合物ガスの固体化を防止することを目的
とする。
(e)  発明の構成 上記目的は本発明によれば、チャンバ内にガス誘導管を
介して化合物ガスを導入し、該化合物ガスをプラズマ化
して試料上に被膜を形成する装置であって、該ガス誘導
管近傍に加熱機構を設けることによって達せられる。
(r)  発明の実施例 以下本発明の実施例を図面によシ詳述する。
第2図は本発明の一実施例であるプラズマCVD装置を
示す構成図である。
チャンバ21内に導入されるガス誘導管22及aより送
風して内部を循環させ排出口24bより排出させること
により室温で固体化合物をなす高融点の化合物ガスを1
50℃前後に加熱すると、従来のように急激な温度降下
はなくなり化合物ガスの固体化は防止でき蒸発物の管内
付着は防止できる。媒体をなすモリブデンシリサイド(
Moult)、タングステンシリサイド(WSil)等
の高融点メタル、及び塩化系無機化合物の化合物ガスの
流量は一定量に規定できるため安定した膜質が得られる
また同時に導入される還元ガス(キャリアガス)である
水素も一定量に規定できる。
シリサイド膜又は金禰膜を成膜するプロセスは従来通り
であり、高周波電極系の電極25にサセプタ26を介し
てウェハ27を載置し回転させるとともに高周波電圧を
印加する。他の電極系にはガス導入管22及びガス導出
口23をジャバラ30の伸縮により所定位置に上下移動
させ二電極間を固定する。
高周波電極系には高周波′電圧を印加し、一方の電極に
はシリサイド膜又は金属膜形成用の化合物ガスを導入き
せる。
例えばモリブデン金属膜を成膜させるためには塩化モリ
ブデン(Moct、1)を高温で気化蒸発させ還元用の
水素を同時に導入する。印加した高周波電源により二電
極間にプラズマを発生させ、分解反応を容易にしイオン
、ラジカル等を誘起させ、ウェハ27上にモリブデン金
H411Kを成膜させる。
第3図は本発明の一実施例である耐熱性加熱容器の外形
を示す斜視図である。
耐熱性加熱容器24の構造は周辺縁28を空洞とする円
筒と円形底板29からなり中央にはガス誘導管と密着す
る貫通孔を設けたもので、円筒部はガス導入管に、底板
29はガス非出口に接する構造としたものである。この
ような構造の加熱機構を設けることにより化合物ガスの
固体化を防止する。本発明の実施例として温風循環させ
る加熱機構としたがガス誘導管近傍に加熱ヒータを設け
ても同様の効果が期待できる。
(g)  廃明の効果 以上詳細に説明したように本発明の加熱機構を設けるこ
とによシチャンバ内におけるガス誘導管に蒸発物質が固
体化するのを防止でき集積回路基板に安定した良質のシ
リサイド膜、金属膜生成が可能となる優れた効果がある
【図面の簡単な説明】
第1図は従来のプラズマCVD装置を示す構成図、第2
図は本発明の一実施例を示すプラズマCVD装置を示す
構成図、第3図は本発明の一実施例である耐熱性加熱容
器の外形を示す斜視図である。 図において、21はチャンバ、22はガス誘導管、23
はガス導出口、24は耐熱性加熱容器。 25は高周波電極、26はサセプタ、27はウェハ、2
8は周辺縁、29は円形底版、30はジャバラを示す。 第j図

Claims (1)

    【特許請求の範囲】
  1. チャンバ内にガス誘導管を介して化合物ガスを導入し、
    該化合物ガスをプラズマ化して試料上に被膜を形成する
    装置であって、該ガス誘導管近傍に加熱機構を設けてな
    ることを特徴とするプラズマCVD装置。
JP57090678A 1982-05-28 1982-05-28 プラズマcvd装置 Pending JPS591671A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP57090678A JPS591671A (ja) 1982-05-28 1982-05-28 プラズマcvd装置
DE8383302990T DE3375028D1 (en) 1982-05-28 1983-05-24 Apparatus for plasma chemical vapour deposition
EP83302990A EP0095887B2 (en) 1982-05-28 1983-05-24 Apparatus for plasma chemical vapour deposition
US06/740,306 US4625678A (en) 1982-05-28 1985-06-03 Apparatus for plasma chemical vapor deposition

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP57090678A JPS591671A (ja) 1982-05-28 1982-05-28 プラズマcvd装置

Publications (1)

Publication Number Publication Date
JPS591671A true JPS591671A (ja) 1984-01-07

Family

ID=14005190

Family Applications (1)

Application Number Title Priority Date Filing Date
JP57090678A Pending JPS591671A (ja) 1982-05-28 1982-05-28 プラズマcvd装置

Country Status (4)

Country Link
US (1) US4625678A (ja)
EP (1) EP0095887B2 (ja)
JP (1) JPS591671A (ja)
DE (1) DE3375028D1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60182131A (ja) * 1984-02-28 1985-09-17 Sumitomo Electric Ind Ltd 薄膜製造装置
JPH03122281A (ja) * 1989-10-06 1991-05-24 Anelva Corp Cvd装置
JP5238688B2 (ja) * 2007-03-28 2013-07-17 東京エレクトロン株式会社 Cvd成膜装置
JP2020528491A (ja) * 2017-06-21 2020-09-24 ピコサン オーワイPicosun Oy 基板処理装置および方法

Families Citing this family (199)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6784033B1 (en) 1984-02-15 2004-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for the manufacture of an insulated gate field effect semiconductor device
JPS60191269A (ja) * 1984-03-13 1985-09-28 Sharp Corp 電子写真感光体製造装置
EP0154814A3 (en) * 1984-03-16 1987-08-26 American Cyanamid Company Substrates coated by plasma enhanced chemical vapor deposition, apparatus and process for their production
JPH0752718B2 (ja) 1984-11-26 1995-06-05 株式会社半導体エネルギー研究所 薄膜形成方法
US6786997B1 (en) 1984-11-26 2004-09-07 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus
US6113701A (en) 1985-02-14 2000-09-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method, and system
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US6077718A (en) * 1985-07-23 2000-06-20 Canon Kabushiki Kaisha Method for forming deposited film
US5261961A (en) * 1985-07-23 1993-11-16 Canon Kabushiki Kaisha Device for forming deposited film
US6673722B1 (en) 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6230650B1 (en) 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
DE3706482A1 (de) * 1986-02-28 1987-09-03 Politechnika Warszawska Verfahren und vorrichtungen zur herstellung von diffusionsoberflaechenschichten auf metallenen werkstuecken durch glimmentladung
US4974543A (en) * 1986-02-28 1990-12-04 Xerox Corporation Apparatus for amorphous silicon film
GB2195663B (en) * 1986-08-15 1990-08-22 Nippon Telegraph & Telephone Chemical vapour deposition method and apparatus therefor
US4820370A (en) * 1986-12-12 1989-04-11 Pacific Western Systems, Inc. Particle shielded R. F. connector for a plasma enhanced chemical vapor processor boat
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5871811A (en) * 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
US4997677A (en) * 1987-08-31 1991-03-05 Massachusetts Institute Of Technology Vapor phase reactor for making multilayer structures
US4963423A (en) * 1987-10-08 1990-10-16 Anelva Corporation Method for forming a thin film and apparatus of forming a metal thin film utilizing temperature controlling means
WO1989003587A1 (en) * 1987-10-14 1989-04-20 The Furukawa Electric Co., Ltd. Method and apparatus for thin film formation by plasma cvd
EP0322466A1 (en) * 1987-12-24 1989-07-05 Ibm Deutschland Gmbh PECVD (plasma enhanced chemical vapor deposition) method for deposition of tungsten or layers containing tungsten by in situ formation of tungsten fluorides
JPH0225577A (ja) * 1988-07-15 1990-01-29 Mitsubishi Electric Corp 薄膜形成装置
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
WO1990010092A1 (en) * 1989-02-24 1990-09-07 Massachusetts Institute Of Technology A modified stagnation flow apparatus for chemical vapor deposition providing excellent control of the deposition
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
US5252366A (en) * 1990-01-24 1993-10-12 The United States Of America As Represented By The Secretary Of The Air Force Chemical vapor deposition method using an actively cooled effuser to coat a substrate having a heated surface layer
US5129360A (en) * 1990-01-24 1992-07-14 The United States Of America As Represented By The Secretary Of The Air Force Actively cooled effusion cell for chemical vapor deposition
US5186756A (en) * 1990-01-29 1993-02-16 At&T Bell Laboratories MOCVD method and apparatus
US5044943A (en) * 1990-08-16 1991-09-03 Applied Materials, Inc. Spoked susceptor support for enhanced thermal uniformity of susceptor in semiconductor wafer processing apparatus
JPH04348031A (ja) * 1990-12-28 1992-12-03 Mitsubishi Electric Corp 化学気相成長装置
US5288325A (en) * 1991-03-29 1994-02-22 Nec Corporation Chemical vapor deposition apparatus
US5447568A (en) * 1991-12-26 1995-09-05 Canon Kabushiki Kaisha Chemical vapor deposition method and apparatus making use of liquid starting material
US6004885A (en) * 1991-12-26 1999-12-21 Canon Kabushiki Kaisha Thin film formation on semiconductor wafer
US5290358A (en) * 1992-09-30 1994-03-01 International Business Machines Corporation Apparatus for directional low pressure chemical vapor deposition (DLPCVD)
JPH0828335B2 (ja) * 1992-11-30 1996-03-21 株式会社半導体プロセス研究所 半導体装置の製造装置
JPH0828333B2 (ja) * 1992-11-30 1996-03-21 株式会社半導体プロセス研究所 半導体装置の製造装置
EP0636704B1 (en) * 1993-07-30 1999-11-03 Applied Materials, Inc. Silicon nitride deposition
JPH07111244A (ja) * 1993-10-13 1995-04-25 Mitsubishi Electric Corp 気相結晶成長装置
JP3146112B2 (ja) * 1993-12-24 2001-03-12 シャープ株式会社 プラズマcvd装置
US5451258A (en) * 1994-05-11 1995-09-19 Materials Research Corporation Apparatus and method for improved delivery of vaporized reactant gases to a reaction chamber
KR960015375B1 (ko) * 1994-06-08 1996-11-11 현대전자산업 주식회사 강유전체 박막 제조장치 및 그를 사용한 강유전체 박막 제조방법
EP0738788B1 (en) * 1995-04-20 2003-08-13 Ebara Corporation Thin-Film vapor deposition apparatus
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
TW565626B (en) 1996-11-20 2003-12-11 Ebara Corp Liquid feed vaporization system and gas injection device
US6105435A (en) 1997-10-24 2000-08-22 Cypress Semiconductor Corp. Circuit and apparatus for verifying a chamber seal, and method of depositing a material onto a substrate using the same
US6203619B1 (en) 1998-10-26 2001-03-20 Symetrix Corporation Multiple station apparatus for liquid source fabrication of thin films
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
JP3793014B2 (ja) * 2000-10-03 2006-07-05 キヤノン株式会社 電子源の製造装置、電子源の製造方法及び画像形成装置の製造方法
US6448537B1 (en) * 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US7390535B2 (en) 2003-07-03 2008-06-24 Aeromet Technologies, Inc. Simple chemical vapor deposition system and methods for depositing multiple-metal aluminide coatings
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
WO2009009499A1 (en) * 2007-07-07 2009-01-15 Xunlight Corporation Hybrid chemical vapor deposition process combining hot-wire cvd and plasma-enhanced cvd
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN107026066B (zh) * 2015-06-23 2018-10-23 上海凯世通半导体股份有限公司 供料装置、离子源装置及供料方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5320951A (en) * 1976-08-11 1978-02-25 Seiko Instr & Electronics Ltd Display device

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3294059A (en) * 1963-04-15 1966-12-27 Charles R Barnes Deposition of nickel films on the interior surface of polytetrafluoroethylene tubing
JPS4834798A (ja) * 1971-09-06 1973-05-22
US3757733A (en) * 1971-10-27 1973-09-11 Texas Instruments Inc Radial flow reactor
GB1342544A (en) * 1971-10-28 1974-01-03 Standard Telephones Cables Ltd Method of forming glass layers
US3850679A (en) * 1972-12-15 1974-11-26 Ppg Industries Inc Chemical vapor deposition of coatings
GB1500701A (en) * 1974-01-24 1978-02-08 Atomic Energy Authority Uk Vapour deposition apparatus
US4331737A (en) * 1978-04-01 1982-05-25 Zaidan Hojin Handotai Kenkyu Shinkokai Oxynitride film and its manufacturing method
JPS56112461A (en) * 1980-02-08 1981-09-04 Hitachi Metals Ltd Surface-coated superhard alloy material and its manufacture
US4316430A (en) * 1980-09-30 1982-02-23 Rca Corporation Vapor phase deposition apparatus
JPS5933532B2 (ja) * 1981-04-03 1984-08-16 スタンレー電気株式会社 非晶質シリコンの形成方法
US4438154A (en) * 1982-04-28 1984-03-20 Stanley Electric Co., Ltd. Method of fabricating an amorphous silicon film

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5320951A (en) * 1976-08-11 1978-02-25 Seiko Instr & Electronics Ltd Display device

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60182131A (ja) * 1984-02-28 1985-09-17 Sumitomo Electric Ind Ltd 薄膜製造装置
JPH03122281A (ja) * 1989-10-06 1991-05-24 Anelva Corp Cvd装置
JP5238688B2 (ja) * 2007-03-28 2013-07-17 東京エレクトロン株式会社 Cvd成膜装置
JP2020528491A (ja) * 2017-06-21 2020-09-24 ピコサン オーワイPicosun Oy 基板処理装置および方法
US11505864B2 (en) 2017-06-21 2022-11-22 Picosun Oy Adjustable fluid inlet assembly for a substrate processing apparatus and method

Also Published As

Publication number Publication date
EP0095887B2 (en) 1991-08-28
EP0095887B1 (en) 1987-12-23
EP0095887A1 (en) 1983-12-07
DE3375028D1 (en) 1988-02-04
US4625678A (en) 1986-12-02

Similar Documents

Publication Publication Date Title
JPS591671A (ja) プラズマcvd装置
US5173327A (en) LPCVD process for depositing titanium films for semiconductor devices
US6300245B1 (en) Inductively coupled plasma powder vaporization for fabricating integrated circuits
JPH10504604A (ja) 回転サセプタを用いた低温プラズマエンハンス化学気相成長法による薄膜形成方法及び装置
US4842891A (en) Method of forming a copper film by chemical vapor deposition
JPH04505478A (ja) 合金製造用複合試料源蒸発装置及び蒸発方法
JP3066673B2 (ja) ドライエッチング方法
JPH0586476A (ja) 化学気相成長装置
JPS58174568A (ja) 金属化合物被膜の形成方法
JPS58161764A (ja) ホウ素の真空蒸着法
JPH0582450A (ja) 半導体装置製造用気相反応装置
JP3244809B2 (ja) 薄膜形成方法及び薄膜形成装置
JPH0225986B2 (ja)
JPS62235466A (ja) 蒸着物質発生装置
JPS60211823A (ja) 薄膜半導体形成装置
JPH0558775A (ja) 分子線エピタキシヤル装置
JPS59169129A (ja) 高融点金属あるいは高融点金属シリサイドの成膜方法
JPH0193130A (ja) 縦型炉
JPS61264175A (ja) Cvd装置
JPH05195189A (ja) インダクションプラズマ溶射方法
JPH10223620A (ja) 半導体製造装置
JPS6163030A (ja) プラズマエッチング装置の電極温度制御方法
JPS59100514A (ja) プラズマcvd装置
JPS59184519A (ja) イオン化クラスタビーム発生方法
JPH04116155A (ja) 薄膜の形成方法