JP2011205135A - ライン製造のフロントエンドのためのインサイチュドライクリーンチャンバ - Google Patents

ライン製造のフロントエンドのためのインサイチュドライクリーンチャンバ Download PDF

Info

Publication number
JP2011205135A
JP2011205135A JP2011149872A JP2011149872A JP2011205135A JP 2011205135 A JP2011205135 A JP 2011205135A JP 2011149872 A JP2011149872 A JP 2011149872A JP 2011149872 A JP2011149872 A JP 2011149872A JP 2011205135 A JP2011205135 A JP 2011205135A
Authority
JP
Japan
Prior art keywords
electrode
substrate
chamber
gas
support member
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011149872A
Other languages
English (en)
Other versions
JP5250668B2 (ja
Inventor
Chien-Teh Kao
カオ チェン−テ
Jing-Pei Connie Chou
(コニー) チョウ ジン−ペイ
Chiukin Steven Lai
(スティーヴ)エヌ ライ チウキン
Sal Umotoy
ウモトイ サル
Joel M Huston
エム. ヒューストン ジョエル
Son Trinh
トリン サン
Mei Chang
チャン メイ
Xiaoxiong John Yuan
(ジョン) ユアン シャオション
Chan Yuu
チャン ユー
Xinliang Lu
ルー シンリン
Wie W Wang
ダブリュ. ワン ウィー
See-Eng Phan
ファン シー−エン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2011205135A publication Critical patent/JP2011205135A/ja
Application granted granted Critical
Publication of JP5250668B2 publication Critical patent/JP5250668B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • C23C14/022Cleaning or etching treatments by means of bombardment with energetic particles or radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】基板表面から未変性酸化物を除去するための方法及び装置を提供する。
【解決手段】一態様においては、チャンバは、チャンバ本体と、チャンバ本体内に少なくとも一部が配置され且つその上に基板を支持するように適合された支持アセンブリとを備えている。支持アセンブリは、少なくとも一部がその中に形成され且つ基板を冷却することができる1つ以上の流体チャネルを含んでいる。チャンバは、更に、チャンバ本体の上面上に配置されたリッドアセンブリを備えている。リッドアセンブリは、それらの間でプラズマキャビティを画成している第一電極と第二電極を含み、第二電極は基板を連結的に加熱するように適合されている。
【選択図】図1A

Description

本発明の分野
[0001]本発明の実施形態は、一般的には、半導体処理装置に関する。更に詳細には、本発明の実施形態は、半導体製造のための化学気相堆積(CVD)システム及びそれを用いたインサイチュドライクリーニング法に関する。
関連技術の説明
[0002]基板表面が酸素に晒される時に、典型的には未変性酸化物が形成する。基板が大気状態で処理チャンバ間を移動する時に、又は真空チャンバ内の少量の残留酸素が基板表面と接触した時に、酸素に晒されることが生じる。基板表面がエッチングの間汚染される場合には、未変性酸化物も結果として生じてしまう。未変性酸化物は、典型的には、基板表面上に望ましくない膜を形成する。未変性酸化物膜は、5〜20オングストロームのように通常はとても薄いが、後の製造プロセスにおいて困難を引き起こすのに十分厚いものである。
[0003]そのような困難は、通常、基板上に形成される半導体デバイスの電気的性質に影響する。例えば、未変性酸化シリコン膜が露出したシリコン含有層上に形成される場合、特に金属酸化物シリコン電界効果トランジスタ(“MOSFET”)構造の処理中にパーティクル問題が生じる。酸化シリコン膜は、電気的に絶縁し、高い電気接触抵抗を引き起こすことから、接触電極又は相互接続電気経路との界面には望ましくない。MOSFET構造において、電極や相互接続経路は、ベアシリコン上に高融点金属を堆積させるとともに層をアニールして金属シリサイド層を与えることにより形成されたシリサイド層を含んでいる。基板と金属間の界面における未変性酸化シリコン膜は、金属シリサイドを形成する拡散化学反応を妨害することによりシリサイド層の組成均一性を減少させる。そのことにより基板歩留まりが低くなり、また電気的接触部での過熱のために欠陥割合が増加する。未変性酸化シリコン膜は、また、基板上に連続して堆積される他のCVD又はスパッタ付着層の接着を妨げ得る。
[0004]スパッタエッチングプロセスは、大特徴部又はアスペクト比が約4:1より小さい小特徴部において汚染物質を減少させるために試みられてきた。しかしながら、スパッタエッチングプロセスは、物理的衝撃によって繊細なシリコン層を損傷させ得る。応答して、例えば、フッ化水素(HF)酸と脱イオン水を用いたウェットエッチングプロセスも試みられてきた。しかしながら、このようなウェットエッチングプロセスは、アスペクト比が4:1を超える、特にアスペクト比10:1を超える今日のより小型デバイスには不利である。特に、ウェット溶液は、バイア、コンタクト、又は基板表面内に形成される他の特徴部へ浸透させることができない。結果として、未変性酸化物膜の除去は不完全である。同様に、そのサイズの特徴部に浸透させることが成功しても、ウェットエッチング溶液は一旦エッチングが完了した特徴部から取り除くことは更に困難である。
[0005]未変性酸化物膜を除去する他の方法は、フッ素含有ガスを用いるもののようなドライエッチングプロセスである。しかしながら、フッ素含有ガスを用いる一つの欠点は、フッ素が典型的には基板表面上に残留することである。基板表面上に残留したフッ素原子又はフッ素基は有害となることがある。例えば、残留したフッ素原子が基板をエッチングし続けてボイドを生じることがある。
[0006]未変性酸化物膜を除去する最近の方法は、基板表面上にフッ素/シリコン含有塩を形成し、続いて熱アニールによって除去する方法である。この方法においては、フッ素含有ガスと酸化シリコン表面とを反応させることにより塩の薄層が形成される。その後、塩は、揮発性副生成物へ塩を解離させるのに十分な高温に加熱されてから、処理チャンバから除去される。反応性フッ素含有ガスの形成は、通常は加熱又はプラズマエネルギーによって援助される。塩は、通常は基板表面の冷却に必要とされる低温で形成される。加熱後のこの冷却シーケンスは、通常は基板が別個のアニールチャンバ又は基板が加熱される炉に冷却される冷却チャンバから基板を搬送することにより達成される。
[0007]様々な理由のために、この反応性フッ素処理シーケンスは望ましくない。即ち、ウエハを搬送するために必要とされる時間があることから、ウエハ処理量が著しく減少する。また、搬送中、ウエハは他の酸化又は他の汚染を非常に受けやすい。更に、2つの個別チャンバが酸化物除去プロセスを完了するために必要となることから、所有者のコストは2倍となる。
[0008]それ故、リモートプラズマ生成、加熱、冷却が可能な、よって単一チャンバ(即ち、インサイチュ)において単一ドライエッチングプロセスを行うことができる処理チャンバが求められている。
[0009]基板表面から未変性酸化物を除去するための処理チャンバが提供される。一態様においては、チャンバは、チャンバ本体と少なくとも一部はチャンバ本体内に配置され且つその上に基板を支持するよう適合された支持アセンブリを備えている。支持アセンブリは、基板を冷却する流体を供給することができる少なくとも一部がその中に形成された1つ以上の流体チャネルを含んでいる。チャンバは、更にチャンバ本体の上面上に配置されたリッドアセンブリを備えている。リッドアッセンブリは第一電極とその間でのプラズマキャビティを画成する第二電極とを含み、ここで、第二電極は加熱され、基板を連結的に加熱するように適合されている。
[0010]未変性酸化物を基板表面からエッチングするための方法もまた提供される。一態様においては、本方法は、チャンバ本体と少なくとも一部がチャンバ本体内に配置され且つその上で基板を支持するように適合された支持アセンブリを備えた処理チャンバ内で処理されるべき基板を装填するステップを含んでいる。支持アセンブリは、基板を冷却する流体を供給することができる少なくとも一部がその中に形成された1つ以上の流体チャネルを含んでいる。チャンバは、更に、チャンバ本体の上表面上に配置されたリッドアセンブリを備えている。リッドアセンブリは、第一電極とその間でプラズマキャビティを画成する第二電極とを含み、ここで第二電極は連動して基板を加熱するように適合されている。
[0011]本方法は、更に、プラズマキャビティ内の反応性ガスのプラズマを生成するステップと、支持アセンブリ内の1つ以上の流体チャネルを通って熱伝達媒体を流すことによって基板を冷却するステップと、第二電極を通って基板表面に反応ガスを流すステップと、反応ガスで基板表面をエッチングするステップと、コンタクトの加熱素子に電力を加えることにより第二電極を加熱するステップと、加熱された電極に密接に接近して支持アセンブリを設置することにより加熱された第二電極を用いて基板を加熱するステップとを含んでいる。
[0012]本発明の上記特徴を詳細に理解することができるように、上で簡単に纏めた本発明は実施形態によって更に具体的に説明されるものであり、その一部は添付された図面において図示されている。しかしながら、添付された図面は本発明の典型的な実施形態だけを示しているので、本発明の範囲を制限するものとみなされるべきでなく、本発明が他の等しく効果的な実施形態を許容するものであることは、留意すべきである。
図1Aは、加熱、冷却、エッチングのための処理チャンバを示す部分断面図である。 図1Bは、図1Aの処理チャンバ内に配置されたライナを示す拡大概略図である。 図2Aは、図1Aに示されたチャンバ本体の上端に配置され得るリッドアセンブリを示す拡大断面図である。 図2Bは、図2Aのガス分配プレートを示す拡大概略図である。 図2Cは、図2Aのガス分配プレートを示す拡大概略図である。 図3Aは、図1Aのチャンバ本体112内に少なくとも一部が配置されている支持アセンブリを示す部分断面図である。 図3Bは、図3Aの支持部材300を示す拡大された部分断面図である。 図4Aは、他のリッドアセンブリ400を示す概略断面図である。 図4Bは、図4Aの上部電極の拡大部分概略断面図である。 図4Cは、図4Aのリッドアセンブリ400を用いた処理チャンバ100を示す部分断面図である。 図5Aは、MOSFET構造のような活性電子デバイスを形成するための製造シーケンスを示す概略断面図を示す。 図5Bは、MOSFET構造のような活性電子デバイスを形成するための製造シーケンスを示す概略断面図を示す。 図5Cは、MOSFET構造のような活性電子デバイスを形成するための製造シーケンスを示す概略断面図を示す。 図5Dは、MOSFET構造のような活性電子デバイスを形成するための製造シーケンスを示す概略断面図を示す。 図5Eは、MOSFET構造のような活性電子デバイスを形成するための製造シーケンスを示す概略断面図を示す。 図5Fは、MOSFET構造のような活性電子デバイスを形成するための製造シーケンスを示す概略断面図を示す。 図5Gは、MOSFET構造のような活性電子デバイスを形成するための製造シーケンスを示す概略断面図を示す。 図5Hは、MOSFET構造のような活性電子デバイスを形成するための製造シーケンスを示す概略断面図を示す。 複数の処理動作を行うように適合された例示的なマルチチャンバ処理システムの概略図である。
[0024]いくつかの基板処理技術のための処理チャンバが提供される。そのチャンバは、真空をこわさずに基板表面を加熱、冷却の両方が必要とするプラズマ援助ドライエッチングプロセスを行うのに特に有効である。例えば、本明細書に記載される処理チャンバは、酸化物及び他の汚染物質を基板表面から取り除くためにラインのフロントエンド(FEOL)洗浄チャンバに最も適していることが予想される。
[0025]本明細書に用いられる“基板表面”は、処理が行われるあらゆる基板表面を意味する。例えば、基板表面は、シリコン、酸化シリコン、ドープされたシリコン、ゲルマニウム、ガリウムヒ素、ガラス、サファイア、他のあらゆる材料、例えば、金属、窒化金属、金属合金、又は他の導電材料を含むことができ、適用に左右される。基板表面は、また、誘電材料、例えば、二酸化シリコン、有機ケイ酸塩、又は炭素ドープされた酸化シリコンを含むことができる。基板自体は、いかなる具体的なサイズ又は形にも制限されない。一態様においては、“基板”という用語は、直径が200mm又は直径が300mmの円形ウエハを意味する。他の態様においては、“基板”という用語は、任意の多角形、四角形、矩形、曲がった、さもなければ非円形加工物、例えば、平坦なパネルディスプレイの製造において用いられるガラス基板を意味する。
[0026]図1Aは、処理チャンバ100を示す部分断面図である。一実施形態においては、処理チャンバ100は、チャンバ本体112と、リッドアセンブリ200と、支持アセンブリ300とを含んでいる。リッドアセンブリ200はチャンバ本体112の上端に配置され、支持アセンブリ300はチャンバ本体112内に少なくとも一部が配置されている。処理チャンバ100と関連づけられたハードウェアは、1種以上のプロセスに互換性のある物質、例えば、アルミニウム、陽極処理されたアルミニウム、ニッケルめっきしたアルミニウム、ニッケルめっきしたアルミニウム6061‐T6、ステンレス鋼、並びにその組合わせ及び合金から形成されることが好ましい。
[0027]チャンバ本体112は、処理チャンバ100の内部への出入りを設けるためにその側壁に形成されたスリットバルブ開口部160を含んでいる。スリットバルブ開口部160は、ウエハ処理ロボット(図示せず)によってチャンバ本体112の内部への出入りを可能にするために選択的に開閉される。ウエハ処理ロボットは、当業者に周知であり、適したいかなるロボットも用いることができる。例えば、例示的なロボット搬送アセンブリは、1990年8月28日に発行された“マルチチャンバ集積処理システム”と称する共同譲渡された米国特許第4,951,601号に記載されており、この全ての開示内容は本明細書に援用されている。一実施形態においては、ウエハを処理チャンバ100内で、また、処理チャンバ100からスリットバルブ開口部160を通って隣接した搬送チャンバ及び/又はロードロックチャンバ、又は他のクラスタツール内のチャンバへ搬送することができる。処理チャンバ100に結合することができるタイプのクラスタツールは、1993年2月16日に発行された“段階的真空ウエハ処理システム及び方法”と称する共同譲渡された米国特許第5,186,718号に記載されており、本明細書に援用されている。
[0028]一つ以上の実施形態においては、チャンバ本体112は、それを通って熱伝達流体を流すためにその中に形成されるチャネル113を含んでいる。熱伝達流体は、加熱流体又は冷却剤であり、処理と基板搬送の間、チャンバ本体112の温度を制御するために用いられる。チャンバ本体112の温度は、ガスの望ましくない凝結又はチャンバ壁上の副生成物を防止するために重要である。例示的な熱伝達流体は、水、エチレングリコール、又はその混合物を含んでいる。例示的な熱伝達流体は窒素ガスを含むこともできる。
[0029]チャンバ本体112は、更に、支持アセンブリ300を囲むライナ133を含むことができる。ライナ133は、点検や洗浄のために取り外し可能であることが好ましい。ライナ133は、アルミニウム、又はセラミック材料のような金属から製造し得る。しかしながら、ライナ133は、あらゆるプロセス互換性のある材料であり得る。ライナ133は、その上に堆積されたあらゆる物質の接着を高めるためにビードブラスト処理され、よって処理チャンバ100の汚染を生じる物質のフレーキングが防止される。一つ以上の実施形態においては、ライナ133は、1つ以上のアパーチャ135とその中に形成される、真空システムと流体で連通しているポンプチャネル129を含んでいる。アパーチャ135によって、ポンプチャネル129へのガスの流路が設けられ、処理チャンバ100内にガスの出口が設けられる。
[0030]真空システムは、真空ポンプ125と、処理チャンバ100を通るガスの流量を調節するスロットルバルブ127を含むことができる。真空ポンプ125は、チャンバ本体112上に配置された真空ポート131と結合され、それ故、ライナ133内に形成されたポンプチャネル129と流体で連通している。“1種ガス”や“複数のガス”という用語は、特にことわらない限り同じ意味で用いられ、1種以上の前駆物質、反応種、触媒、キャリア、パージ、洗浄、その組合わせ、チャンバ本体112へ導入される他のあらゆる流体を意味する。
[0031]より詳細にライナ133を検討すると、図1Bはライナ133の一実施形態を示す拡大概略図である。この実施形態においては、ライナ133は、上の部分133Aと下の部133Bを含んでいる。チャンバ本体112の側壁上に配置されたスリットバルブ開口部160に整列しているアパーチャ133Cは、基板をチャンバ本体112に入れたり、チャンバ本体から出すためにライナ133内に形成される。典型的には、ポンプチャネル129は、上の部分133A内に形成される。上の部分133Aは、また、ポンプチャネル129へのガスの通路又は流路を設けるためにそこを通って形成された1つ以上のアパーチャ135を含んでいる。
[0032]図1Aと図1Bを参照すると、アパーチャ135によって、ポンプチャネル129がチャンバ本体112内の処理ゾーン140と流体で連通していることが可能である。処理ゾーン140は、リッドアセンブリ200の下面と支持アセンブリ300の上面によって画成され、ライナ133に囲まれている。アパーチャ135は均一なサイズをし、ライナ133の周りに一様に隔置されている。しかしながら、アパーチャのいかなる数、位置、サイズ又は形も用いることができ、設計パラメータの各々は下で更に詳細に述べられるように基板を受け取る表面を横切ってガスの所望されるフローパターンに依存して変動させることができる。更に、アパーチャ135のサイズ、数、位置は、処理チャンバ100から出るガスの一様なフローを得るように形成される。更に、アパーチャサイズや位置は、チャンバ100からガスの急速な排気を促進させるために急速な又は高容量ポンピングを与えるように形成することができる。例えば、真空ポート131に密接に接近したアパーチャ135の数とサイズは、真空ポート131から離れて位置するアパーチャ135のサイズより小さくてもよい。
[0033]なお図1Aと図1Bを参照すると、ライナ133の下の部分133Bは、その中に配置された流路又は真空チャネル129Aを含んでいる。真空チャネル129Aは、上記真空システムと流体で連通している。真空チャネル129Aは、また、ライナ133の外径内に形成された溝又はポート129Bを経てポンプチャネル129と流体で連通している。一般的には、二つのガスポート129B(この図には1つだけ示されている)は、上の部分133Aと下の部分133B間のライナ133の外径内に形成されている。ガスポート129Bは、ポンプチャネル129と真空チャネル129A間の流路を与える。各ポート129Bのサイズと位置は、設計の問題であり、所望される膜の化学量論、形成されるデバイスの形、処理チャンバ100の容積容量、それと結合した真空システムの能力によって求められる。典型的には、ポート129Bは相互に対向して又はライナ133の外径の周りに180度離して配置される。
[0034]動作中、処理チャンバ100から出る1種以上のガスは、ポンプチャネル129へライナ133の上の部分133Aを通って形成されたアパーチャ135に流れ込む。その後、ガスはポンプチャネル129内でポート129Bを通って真空チャネル129Aへ流れる。ガスは、真空チャネル129Aから真空ポート131を通って真空ポンプ125へ出る。
[0035]さらに詳細にリッドアセンブリ200を検討すると、図2Aは図1Aに示されるチャンバ本体112の上端に配置され得るリッドアセンブリ200を示す拡大された断面図である。図1Aと図2Aを参照すると、リッドアセンブリ200は、図1Aに示されるように、相互に上面に積み重ねられた多くのコンポーネントを含んでいる。一つ以上の実施形態においては、リッドアセンブリ200は、リッドリム210と、ガス分配アセンブリ220と、最上部プレート250とを含んでいる。ガス分配アセンブリ220は、リッドリム210の上面に結合され、最小限熱接触にするように配置されている。リッドアセンブリ200のコンポーネントは、熱導電性が高く且つと熱抵抗が低い物質、例えば、高度に仕上げられた表面を持ったアルミニウム合金から構成されることが好ましい。好ましくは、コンポーネントの熱抵抗は5×10-42K/W未満である。リッドリム210は、リッドアセンブリ200を作るコンポーネントの重量を保つように設計され、ヒンジアセンブリ(この図に示されていない)を経てチャンバ本体112の上面と結合して、例えば、支持アセンブリ300のような内部チャンバコンポーネントへの出入りが設けられる。
[0036]図2Bと図2Cを参照すると、ガス分配アセンブリ220は、分配プレート又はシャワヘッド225を含むことができる。図2Bは、ガス分配プレート225を示す一実施形態である拡大概略図であり、図2Cは、部分断面図である。一つ以上の実施形態においては、分配プレート225はほぼ円板形であり、ガスの流れを全体に分布させるための複数のアパーチャ225A又は通路を含んでいる。分配プレート225のアパーチャ225Aは、流れているガスの速度プロファイルを遅くし再び送ることによりリッドアセンブリ200を通るガスの流れが下の基板表面上に直接当たることを防止する。分配プレート225のアパーチャ225Aは、また、リッドアセンブリ200を出るガスの流量を一様に分布させ、よって基板の表面全体にガスを一様に分布させる。
[0037]図2A、図2B、図2Cを参照すると、分配プレート225は、周辺に形成される環状取付フランジ222を更に含み、それはリッドリム210上に置かれるような大きさである。従って、分配プレート225は、リッドアセンブリ200との接触を最小限にする。好ましくは、弾性OリングのようなOリング型のシール224は、リッドリム210との流体密封の接触を確実にするために環状取付フランジ222内に少なくとも一部が配置される。
[0038]ガス分配アセンブリ220は、更に、分配プレート225に隣接して配置されたブロッカーアセンブリ230を含むことができる。ブロッカーアセンブリ230は、分配プレート225の裏側にガスを一様に分布させる。好ましくは、ブロッカーアセンブリ230はアルミニウム合金で作られ、取外し可能に分配プレート225に結合して良好な熱接触を確実にする。例えば、ブロッカーアセンブリ230は、ボルト221又は類似した留め具を用いて分配プレート225に結合し得る。好ましくは、ブロッカーアセンブリ230は、図2Aに示されるようにリッドリム210と熱接触しない。
[0039]一つ以上の実施形態においては、ブロッカーアセンブリ230は、第二ブロッカープレート235に取り付けられた第一ブロッカーアセンブリ233を含んでいる。第二ブロッカープレート235は、貫通して形成された通路259を含む。通路259は第二ブロッカープレート235の中央に位置し、通路259は最上部プレート250の下面と第二ブロッカープレート235の上面によって画成された第一キャビティ又は容積261と流体で連通している。通路259は、また、第二ブロッカー235の下面と第一ブロッカープレート233の上面によって画成された第二キャビティ又は容積262と流体で連通している。通路259は、また、第一ブロッカープレート233の下面と分配プレート225の上面によって画成された第三キャビティ又は容積263と流体で連通している。通路259はガス流入口223に結合される。ガス流入口223は、その第一端で最上部プレート250に結合されている。図示されていないが、ガス流入口223は第二端で1つ以上の上流ガス供給源及び/又は他のガス分配コンポーネント、例えば、ガスミキサに結合されている。
[0040]第一ブロッカープレート233は、通路259からガス分配プレート225に流れるガスを分散させるように適合されたその中に形成された複数の通路233Aを含んでいる。通路233Aは円形又は丸いように示されているが、通路233Aは正方形、矩形、又は他のいかなる形であり得る。通路233Aは、基板の表面全体に制御された一様な流量分布を与えるためにブロッカープレート233の周りに位置する。上記のように、第一ブロッカープレート233は、洗浄又はそれらコンポーネントの取替えを容易にするために第二ブロッカープレート235と分配プレート225から簡単に取り出すことができる。
[0041]使用中、1種以上のプロセスガスはガス流入口223を経てガス分配アセンブリ220へ導入される。プロセスガスは第一容積261へ、また、第二ブロッカープレート235の通路259を通って第二容積262へ流れる。その後、プロセスガスは、第一ブロッカープレート233のホール233Aを通って第三容積263へ分布され、ガスがチャンバ本体112内に配置された露出した基板表面に触れるまで、分配プレート225のホール225Aを通って更に分布される。
[0042]ガス供給パネル(図示せず)は、典型的には、1種以上のガスを処理チャンバ100に供給するために用いられる。用いられる具体的な1種のガス又は複数のガスは、チャンバ100内で行われるべき1種のプロセス又は複数のプロセスに左右される。説明的ガスは、1種以上の前駆物質、還元剤、触媒、キャリア、パージ、洗浄、又はそのあらゆる混合物又は組合わせを含むことができるがこれらに限定されない。典型的には、処理チャンバ100に導入された1種以上のガスは、流入口223を通ってリッドアセンブリ200へ、次にガス分配アセンブリ220を通ってチャンバ本体112へ流れる。電気的に作動するバルブ及び/又はフローコントロールメカニズム(図示せず)は、ガス供給部から処理チャンバ100へガス流量を制御するために用いることができる。プロセスによっては、いかなるガスも処理チャンバ100に分配することができ、処理チャンバ100中か又はガスが処理チャンバ、例えば、ガス混合物(図示せず)内のように処理チャンバ100に分配される前に混合することができる。
[0043]なお図1Aと図2Aを参照すると、リッドアセンブリ200は、リッドアセンブリ200内で反応性化学種のプラズマを生成する電極240を更に含むことができる。一実施形態においては、電極240は、最上部プレート250上に支持され、電気的にそこから絶縁される。例えば、イソレータフィルターリング241は、図2Aに示されるように最上部プレート250から電極240を分離した電極240の下の部分近くに配置することができる。環状イソレータ242は、イソレータフィルターリング241の外面近くに配置することもできる。その後、環状絶縁体243は、電極240の上の部分近くに配置することができ、電極240は最上部プレート250とリッドアセンブリ200の他の全てのコンポーネントから電気的に絶縁される。これらリング241、242、243の各々は、酸化アルミニウム又は他のあらゆるプロセス互換性のある絶縁物質から作ることができる。
[0044]一つ以上の実施形態においては、電極240は電源(図示せず)と結合され、ガス分配アセンブリ220は接地するために接続される(即ち、ガス分配アセンブリ220は電極として働く)。従って、1種以上のプロセスガスのプラズマは、電極240(“第一電極”)とガス分配アセンブリ220(“第二電極”)間に容積261、262及び/又は263で生成され得る。例えば、プラズマは、電極240とブロッカーアセンブリ230間で衝突し含有することができる。或いは、プラズマは、ブロッカーアセンブリ230が存在しないときに電極240と分配プレート225との間で衝突し含有することができる。いずれの実施形態においても、プラズマはリッドアセンブリ200内にうまく閉じ込められ又は含有する。従って、活性プラズマがチャンバ本体112内に配置された基板と直接接触しないので、プラズマは“リモートプラズマ”である。結果として、プラズマは十分に基板表面から離れていることから、基板に対するプラズマ損傷が避けられる。
[0045]ガスを反応性化学種へ活性化させるとともに反応性化学種のプラズマを維持することができるいかなる電源も用いることができる。例えば、放電技術に基づいた高周波(RF)、直流(DC)、又はマイクロ波(MW)を用いることができる。活性化は、また、熱に基づく技術、ガス分解技術、高密度光源(例えばUVエネルギー)、又はX線源に対する暴露によって起こすことができる。或いは、リモート活性化源、例えば、リモートプラズマ発生器を反応化学種のプラズマを生成させ、次にチャンバ100へ分配するために用いることができる。例示的なリモートプラズマ発生器は、MKSインスツルメント社やアドバンスドエナジーインダストリー社のようなベンダーから入手できる。好ましくは、RF電源は電極240に結合される。
[0046]図2Aを参照すると、ガス分配アセンブリ220は、プロセスガスと処理チャンバ100内で行われる動作によっては加熱することができる。一実施形態においては、加熱素子270、例えば、抵抗ヒータは、分配プレート225に結合することができる。一実施形態においては、加熱素子270は管状部材であり、図2Bと図2Cに詳細に示されるように分配プレート225の上面へ押圧される。
[0047]図2Bと図2Cを参照すると、発熱体270は締まりばめを用いて溝の中に保持されるので、分配プレート225の上面は、幅が加熱素子270の外径よりわずかに小さいグルーブ又は溝のチャネルを含んでいる。分配プレート225とブロッカーアセンブリ230を含む分配アセンブリ220のコンポーネントが相互に各々導電的に結合されるので、加熱素子270はガス分配アセンブリ220の温度を調節する。温度の調整は、分配プレート225に結合された熱電対272によって容易に行うことができる。熱電対272は、電源から加熱素子270に印加された電流を制御するためにフィードバックループで用いることができ、ガス分配アセンブリ220の温度は所望される温度で又は所望される温度範囲内で維持又は制御し得る。上記のように、ガス分配アセンブリ220がリッドアセンブリ200の他のコンポーネントと最小限に熱接触させ、それだけで熱導電性が制限されることから、ガス分配アセンブリ220温度の制御は容易に行われる。
[0048]一つ以上の実施形態において、リッドアセンブリ200は、ガス分配アセンブリ220の温度制御を与える熱伝達媒体を流すためにその中に形成された一つ以上の流体チャネル202を含むことができる。一実施形態においては、流体チャネル202は、図2Aに示されるようにリッドリム210内に形成することができる。或いは、ガス分配アセンブリ220に一様な熱伝達を与えるためにリッドアセンブリ200のあらゆるコンポーネント内に流体チャネル202を形成することができる。流体チャネル202は、チャンバ100内のプロセス要求によっては、ガス分配アセンブリ220の温度を制御するために加熱媒体か又は冷却媒体を含むことができる。あらゆる熱伝達媒体は、例えば、窒素、水、エチレングリコール、又はその混合物を用いることができる。
[0049]一つ以上の実施形態においては、ガス分配アセンブリ220は、1つ以上の加熱ランプ(図示せず)を用いて加熱することができる。典型的には、加熱ランプは、放射によって分配プレート225を加熱するために分配プレート225の上面の近くに配置される。
[0050]図3Aは、支持アセンブリ300を示す部分断面図である。支持アセンブリ300は、チャンバ本体112内に少なくとも一部配置されることができる。支持アセンブリ300は、チャンバ本体112内で処理するために、基板(この図には示されていない)を支持するために支持部材310を含むことができる。支持部材310は、チャンバ本体112の底面に形成された中央に位置した開口部114を通って伸びるシャフト314によってリフトメカニズム330に結合し得る。リフトメカニズム330は、シャフト314の周りからの真空漏出を防止するベローズ333によってチャンバ本体112を可撓性に密封することができる。リフトメカニズム330は、支持部材310をチャンバ本体112内のプロセス位置と下の伝達位置との間を垂直に移動させることができる。伝達位置は、チャンバ本体112の側壁に形成されたスリットバルブ160の開口部のわずかに下ある。
[0051]図3Bは、図3Aに示された支持アセンブリ300を示す拡大部分断面図である。一つ以上の実施形態においては、支持部材310は、その上で処理されるべき基板を支持するための平坦で円形の表面又はほぼ平坦で円形の表面を持っている。支持部材310は、アルミニウムで構成されることが好ましい。支持部材310は、基板の裏面汚染を減少させるために、例えば、シリコン又はセラミック材料のようないくつかの他の物質で作られた取り外し可能な上プレート311を含むことができる。
[0052]一つ以上の実施形態においては、支持部材310又は上プレート311は、上面上に配置された複数の拡張部又はディンプル311Aを含むことができる。図3Bにおいては、ディンプル311Aは上プレート311の上面上に示されている。ディンプル311Aは、上プレート311が所望されない場合には支持部材310の上面上に配置し得ることが予想され得る。ディンプル311Aは、基板の下面と支持アセンブリ300の支持表面(即ち、支持部材310か又は上プレート311)間の接触を最少にする。
[0053]一つ以上の実施形態においては、真空チャックを用いて支持アセンブリ300に基板(図示せず)を固定することができる。上プレート311は、支持部材310に形成された1つ以上のグルーブ316と流体で連通している複数のホール312を含むことができる。グルーブ316は、シャフト314と支持部材310内に配置された真空コンジット313を経て真空ポンプ(図示せず)と流体で連通している。ある条件下、真空基板が支持部材310上に配置されないときの堆積を防ぐために、支持部材310の表面にパージガスを供給するためにコンジット313を用いることができる。真空コンジット313は、反応性ガス又は副生成物が基板の裏面に接触することを防止するために処理の間、パージガスを送ることもできる。
[0054]一つ以上の実施形態においては、基板(図示せず)は、静電チャックを用いて支持部材310に固定することができる。一つ以上の実施形態においては、基板を従来のクランプリングのようなメカニカルクランプ(図示せず)によって支持部材310上の定位置に保持することができる。
[0055]好ましくは、基板は静電チャックを用いて固定される。静電チャックは、典型的には、電極(図示せず)を囲む誘電材料を少なくとも含み、支持部材310の上面に位置するか又は支持部材310の不可欠な部分として形成することができる。チャックの誘電部分は、基板から、また、支持アセンブリ300の残りの部分からチャック電極を電気的に絶縁させる。
[0056]一つ以上の実施形態においては、チャック誘電体は基板の周囲よりわずかに小さくすることができる。言い換えれば、基板がチャック上に置かれるときに中心からずれたとしてもチャック誘電体が基板で完全に覆われたままであるように、基板はチャック誘電体の周囲をわずかに突き出る。基板が完全に覆われていると想定すると、チャック誘電体は、基板がチャンバ本体112内の基板を潜在的に腐食に晒されること又は損傷を与えることからチャックを保護することを確実にする。
[0057]静電チャックを作動させるための電圧は、別個の“チャック”電源(図示せず)によって供給することができる。チャック電源の一出力端子は、チャック電極に接続されている。他の出力端子は、典型的には、電気的接地に接続されるが、代わりに支持アセンブリ300の金属本体部分に接続することもできる。動作中、基板は絶縁部分と接触して配置され、支持部材310の上面上に基板を付着させる静電引力又はバイアスを作り出すために電極上に直流電圧がかけられる。
[0058]なお図3Aと図3Bを参照すると、支持部材310は、リフトピン325を収容するために形成された1つ以上のボア323を含むことができる。各リフトピン325は、典型的には,セラミック又はセラミック含有物質から構成され、基板処理と搬送のために用いられる。各リフトピン325は、ボア323内にスライドできるように取り付けられている。一態様においては、ボア323は、リフトピン325を自由にスライドさせるためにセラミックスリーブで裏打ちされる。リフトピン325は、チャンバ本体112内に配置された環状リフトリング320と契合することによりそれぞれのボア323内で移動できる。リフトリング320が上の位置にある時、リフトピン325の上面は支持部材310の基板支持表面上に位置することができるようにリフトリング320は移動することができる。反対に、リフトリング320が下の位置にある時、リフトピン325の上面は支持部材310の基板支持表面の下にある。従って、各リフトピン325の部分は、リフトリング320が下の位置か上の位置から移動する時、支持部材310のそれぞれのボア323を通過する。
[0059]活性化される場合、リフトピン325は基板の下面に対して押され、基板を支持部材310から持ち上げる。反対に、リフトピン325は基板を下げるように脱活性化することができ、よって支持部材310上に基板が置かれる。リフトピン325は、ピン325が支持部材310から落ちることを防止するために引き伸ばされた上端又は円錐形ヘッドを含むことができる。他のピン設計も用いることができ、当業者に周知である。
[0060]一実施形態においては、1つ以上のリフトピン325は、その上で支持された時に基板がスライドすることを防止するために滑り止め又は高摩擦材料でできたその上に配置されるコーティング又はアタッチメントを含んでいる。好ましい材料は、処理チャンバ100内で汚染物質を生成する基板の裏面を引っ掻かない、さもなければ損傷させない高温高分子材料である。好ましくは、コーティング又はアタッチメントは、デュポン社から入手できるKALREZ(登録商標)コーティングである。
[0061]リフトリング320を駆動させるために、従来の空気圧シリンダー又はステッパモータ(図示せず)のようなアクチュエータが通常用いられる。ステッパモータ又はシリンダーは、上下位置にリフトリング320を駆動させ、基板を上下にさせるリフトピン325を駆動させる。個々の実施形態においては、基板(図示せず)は、約120度間隔で配置するとともにリフトリング320から突き出した三つのリフトピン325(この図では示されていない)によって支持部材310上で支持される。
[0062]図3Aを再び参照すると、支持アセンブリ300は支持部材310の周りに配置されるエッジリング305を含むことができる。エッジリング305は、特にセラミック、水晶、アルミニウム、鋼のような様々な物質で作ることができる。一つ以上の実施形態においては、エッジリング305は、支持部材310の外周を覆うとともに堆積から支持部材310を保護するように適合されている環状部材である。エッジリング305は、支持部材310の外径とエッジリング305の内径の間に環状パージガスチャネル334を形成するために支持部材上又は支持部材310に隣接して位置し得る。環状パージガスチャネル334は、支持部材310とシャフト314を通って形成されるパージガスコンジット335と流体で連通し得る。好ましくは、パージガスコンジット335は、パージガスをパージガスチャンネル334に供給するパージガス供給部(図示せず)と流体で連通している。窒素、アルゴン、又はヘリウムのようなあらゆる適したパージガスを単独又は組合わせて用いることができる。動作中、パージガスはコンジット335を通ってパージガスチャネル334へ、また、支持部材310上に配置された基板のエッジの周りに流れる。従って、エッジリング305と共に作用するパージガスは、基板のエッジ及び/又は裏面の堆積を防止する。
[0063]再び図3Aと図3Bを参照すると、支持アセンブリ300の温度は、支持部材310の本体に埋め込まれた流体チャネル360を通って循環した流体によって制御される。一つ以上の実施形態においては、流体チャネル360は、支持アセンブリ300のシャフト314を通って配置された熱伝達コンジット361と流体で連通している。好ましくは、流体チャネル360は支持部材310の周りに配置されて支持部材310の基板受容面に一様な熱伝達を与える。流体チャネル360と熱伝達コンジット361は、支持部材310を加熱又は冷却するために熱伝達流体を流すことができる。あらゆる適した熱伝達流体、例えば、水、窒素、エチレングリコール又はその混合物を用いることができる。支持アセンブリ300は、支持部材310の支持表面の温度を監視するための埋め込み熱電対(図示せず)を更に含むことができる。例えば、熱電対からの信号は、流体チャネル360を通って循環した流体の温度又は流量を制御するためにフィードバックループにおいて用いることができる。
[0064]図3Aに戻ると、支持部材310は、支持部材310とリッドアセンブリ200間の距離を制御し得るようにチャンバ本体112内を垂直に移動し得る。センサー(図示せず)は、チャンバ100内の支持部材310の位置に関する情報を与えることができる。支持部材310のリフトメカニズムの例は、Selyutinらの“自動整列リフトメカニズム”と称する1999年9月14日発行の米国特許第5,951,776号に詳述されており、この開示内容は本明細書に全体で援用されている。
[0065]動作中、処理される基板の温度を制御するためにリッドアセンブリ200に密接に接近して支持部材310を上げることができる。そういうものとして、加熱素子270によって制御される分配プレート225から放出される放射によって基板を加熱することができる。或いは、基板はリフトリング320によって活性化されるリフトピン325を用いて、加熱されたリッドアセンブリ200に密接に接近して支持部材310を持ち上げることができる。
[0066]使用期間の延長後又は予定されたメンテナンスの指定時間に、上記のものを含む処理チャンバ100のある種のコンポーネントは、定期的に検査され、取り替えられ又は洗浄することができる。これらのコンポーネントは、典型的には、集団的に“プロセスキット”として知られる部品である。プロセスキットの説明的コンポーネントは、例えばシャワヘッド225、上プレート311、エッジリング305、ライナ133、リフトピン325を含むがこれらに限定されない。これらのコンポーネントのいずれか1つ以上は、典型的には、チャンバ100から取り出され、一定間隔又は必要に応じて洗浄又は取り替えられる。
[0067]図4Aは、他のリッドアセンブリ400を示す部分断面図である。リッドアセンブリ400は、その間でプラズマ容積又はキャビティを形成するように構成される少なくとも二つの積み重ねコンポーネントを含んでいる。一つ以上の実施形態においては、リッドアセンブリ400は、その間のプラズマ容積又はキャビティ425を閉じ込めている第二電極450(“下部電極”)上に垂直に配置された第一電極410(“上部電極”)を含んでいる。第一電極410は、RF電源のような電源415に接続され、第二電極450は接地に接続され、二つの電極410、450間にキャパシタンスを形成する。
[0068]一つ以上の実施形態においては、リッドアセンブリ400は、第一電極410の上の部分内に少なくとも一部形成される、1つ以上のガス流入口412(1つだけ図示されている)を含んでいる。1種以上のプロセスガスは、1つ以上のガス流入口412を経てリッドアセンブリ400に入る。1つ以上のガス注入口412は、第一端でプラズマキャビティ425と流体で連通し、第二端で1つ以上の上流ガス供給源及び/又は他のガス分配コンポーネント、例えば、ガスミキサに結合される。1つ以上のガス流入口412の第一端は、図4Aに示されるように拡張部分420の内径430の最上限でプラズマキャビティ425へ開放し得る。同様に、1つ以上のガス流入口412の第一端は、拡張部分420の内径430に沿って任意の高さの間隔でプラズマキャビティ425へ開放し得る。図示されていないが、プラズマキャビティ425内でガスの混合を援助する拡張部分420へ渦流パターン又は“渦巻”流を作るために二つのガス注入口412が拡張部分420の反対側に配置され得る。そのようなフローパターンとガス注入口配置の詳細な説明は、2001年12月21日出願の米国特許出願第20030079686号に示され、この開示内容は本明細書に援用されている。
[0069]一つ以上の実施形態においては、第一電極410はプラズマキャビティ425を入れる拡張部分420を持っている。図4Aに示されるように、拡張部分420は上記のようにガス注入口412と流体で連通している。一つ以上の実施形態においては、拡張部分420は、上の部分420Aから下の部分420Bまで徐々に増大する内部表面又は直径430を持つ環状部材である。そのようなものとして、第一電極410と第二電極450の間の距離は可変である。その種々の距離は、プラズマキャビティ425内に生成されたプラズマの形成と安定性の制御を援助する。
[0070]一つ以上の実施形態においては、拡張部分420は、図4Aと図4Bに示されるように円錐又は“漏斗”に似ている。図4Bは、図4Aの上部電極を示す拡大された概略部分断面図である。一つ以上の実施形態においては、拡張部分420の内面430は、拡張部分420の上の部分420Aから下の部分420Bに徐々に傾斜している。内径430の傾斜又は角度は、プロセスの要求及び/又はプロセスの制限によっては変化させることができる。拡張部分420の長さ又は高さは、また、個々の処理要求及び/又は制限によっては変化させることができる。一つ以上の実施形態においては、内径430の傾斜、拡張部分420の高さ、又はその両方は、処理に必要とされるプラズマ容積によっては変化させることができる。例えば、内径430の傾斜は少なくとも1:1、又は少なくとも1.5:1、又は少なくとも2:1又は少なくとも3:1又は少なくとも4;1又は少なくとも5:1又は少なくとも10:1であり得る。一つ以上の実施形態においては、内径430の傾斜は、低い2:1から高い20:1の範囲にあり得る。
[0071]一つ以上の実施形態においては、拡張部分420は、図面に示されていないが湾曲状又は弧状であり得る。例えば、拡張部分420の内面430は、凸状か又は凹状であるように湾曲状又は弧状であり得る。一つ以上の実施形態においては、拡張部分420の内面430は、各々傾斜、先細、凹状、又は凸状である複数の部分を持つことができる。
[0072]上記のように、第一電極410の拡張部分420は、第一電極410の内面430が徐々に徐々に大きくなることから第一電極410と第二電極450間の垂直の距離が変化する。その可変距離は、プラズマキャビティ425内の電力レベルに直接関係している。理論で縛られることを望まないが、二つの電極410、450間の距離の変化により、プラズマキャビティ425全体ではない場合にはプラズマキャビティ425のある部分内でプラズマ自体を維持するのに必要な電力レベルが分かる。それ故、プラズマキャビティ425内のプラズマは圧力にほとんど左右されず、プラズマはより広い作動窓内で生成され維持されることができる。そのようなものとして、より再現性や信頼性のあるプラズマをリッドアセンブリ400内で形成することができる。
[0073]第一電極410は、プロセス互換性のあるあらゆる物質、例えば、アルミニウム、陽極処理されたアルミニウム、ニッケルめっきアルミニウム、ニッケルめっきアルミニウム6061−T6、ステンレス鋼、又はその組合わせ又は合金から構成することができる。一つ以上の実施形態においては、第一電極410又はその一部は、望ましくないパーティクル形成を減少させるためにニッケルコーティングされる。好ましくは、少なくとも拡張部分420の内面430は、ニッケルコーティングされる。
[0074]第二電極450は、1つ以上の積み重ねられたプレートを含むことができる。二つ以上のプレートが所望される場合、プレートは相互に電気的に連通しているべきである。プレートの各々は、プラズマキャビティ425からの1種以上のガスを流すことができる複数のアパーチャ又はガス通路を含むべきである。
[0075]図4Bを参照すると、リッドアセンブリ400は、第二電極450から第一電極410を電気的に絶縁させるイソレータリング440を更に含むことができる。イソレータリング440は、酸化アルミニウム又はあらゆる処理互換性のある絶縁物質から作ることができる。好ましくは、イソレータリング440は図4Bに示されるように少なくとも拡張部分420を包囲又はほぼ包囲している。
[0076]再び図4Aに示される個々の実施形態を参照すると、第二電極450は、上プレート450と、分配プレート470と、ブロッカープレート480とを含んでいる。最上部プレート460、分配プレート470、ブロッカープレート480は、図4Bに示されるようにチャンバ本体112に接続されるリッドリム490上に積み重ねられ配置される。当該技術分野において既知であるように、ヒンジアセンブリ(図示せず)は、リッドリム490をチャンバ本体112に結合するように用いることができる。リッドリム490は、熱伝達媒体を収容するために埋め込まれたチャネル又は通路492を含むことができる。熱伝達媒体は、プロセスの要求によっては、加熱、冷却、又はその双方で用いることができる。説明的熱伝達媒体は上で挙げられている。
[0077]一つ以上の実施形態においては、最上部プレート460は、ガスをプラズマキャビティ425から全体に流すために、プラズマキャビティ425の下に形成される複数のガス通路又はアパーチャ465を含んでいる。一つ以上の実施形態においては、最上部プレート460は、第一電極410の少なくとも一部を収容するように適合されている溝部分462を含むことができる。一つ以上の実施形態においては、アパーチャ465は溝部分462の下の最上部プレート460の交差部分を通っている。最上部プレート460の溝部分462は、図4Aに示されるように、その間に良好に密封適合されるように階段状にすることができる。更に、最上部プレート460の外径は、図4Aに示されるように分配プレート470の外径上に取り付け又は置かれるように設計することができる。Oリング型シール、例えば、弾性Oリング463は、第一電極410との流体密封の接触を確実にするために最上部プレート460の溝部分462内に少なくとも一部配置することができる。同様に、Oリング型シール466を、最上部プレート460の外径と分配プレート470間の流体密封の接触を与えるように用いることができる。
[0078]一つ以上の実施形態においては、分配プレート470は、図2A−図2Cによって示され説明された分配プレート225と同一のものである。特に、分配プレート470は、ほぼ円形であり、ガスフローを分布させる複数のアパーチャ475又は通路を含んでいる。アパーチャ475は、処理すべき基板が位置しているチャンバ本体112に制御された一様な流量分布を与える大きさで且つ分配プレート470の周りに配置することができる。更に、アパーチャ475は、流れているガスの速度プロファイルを遅くし再び送り、且つガスフローを一様に分布させて基板の表面全体に一様なガス分布を与えることによりガスが基板表面上に直接衝突することから防止する。
[0079]分配プレート470は、また、その外径に形成された環状取り付けフランジ472を含むことができる。取り付けフランジ472は、リッドリム490の上面上に置くことができる大きさである。Oリング型シール、例えば、弾性Oリングは、リッドリム490との流体密封接触を確実にするために環状取り付けフランジ472内に少なくとも一部配置することができる。
[0080]一つ以上の実施形態においては、分配プレート470は、リッドアセンブリ400の温度制御を与えるためにヒータ又は加熱流体を収容するための1つ以上の埋め込まれたチャネル又は通路474を含んでいる。上記のリッドアセンブリ300と同様に、分配プレート470を加熱するために抵抗加熱素子を通路474内に挿入することができる。熱電対は、その温度を調節するために分配プレート470に接続することができる。熱電対は、上記のように、加熱素子に印加された電流を制御するためにフィードバックループにおいて用いることができる。
[0081]或いは、熱伝達媒体は通路474を通過することができる。1つ以上の通路474は、必要であれば、チャンバ本体112内のプロセス要求によっては分配プレート470の温度をより良好に制御する冷却媒体を含むことができる。上記のように、あらゆる熱伝達媒体、例えば、窒素、水、エチレングリコール、又はその混合物を用いることができる。
[0082]一つ以上の実施形態においては、リッドアセンブリ400は、1つ以上の加熱ランプ(図示せず)を用いて加熱することができる。典型的には、加熱ランプは、分配プレート470の上面の近くに配置されて放射による分配プレート470を含むリッドアセンブリ400のコンポーネントを加熱する。
[0083]ブロッカープレート480は任意であり、最上部プレート460と分配プレート470間に配置される。好ましくは、ブロッカープレート480は、最上部プレート460の下面に取り外し可能に取り付けられる。ブロッカープレート480は、最上部プレート460と良好に熱接触と電気接触しなければならない。一つ以上の実施形態においては、ブロッカープレート480は、ボルト又は類似した留め具を用いて最上部プレート460に結合することができる。ブロッカープレート480は、また、最上部プレート460の外径にねじで締め付けることができる。
[0084]ブロッカープレート480は、最上部プレート460から分配プレート470に複数のガス通路を設けるために複数のアパーチャ485を含んでいる。アパーチャ485は、制御された一様な流量分布を分配プレート470に与える大きさで且つブロッカープレート480の近くに配置することができる。
[0085]図4Cは、リッドアセンブリ400がその上に配置されたチャンバ本体112を示す部分断面図である。好ましくは、拡張部分420は、図4Cに示されるように支持アセンブリ300上に中心がある。プラズマキャビティ425内のプラズマの閉じ込めと閉じ込められたプラズマの中心位置は、チャンバ本体112へ解離ガスの一様で反復性の分布を可能にする。特に、プラズマ容積425を出るガスは、最上部プレート460のアパーチャ465からブロッカープレート480の上面を通って流れる。ブロッカープレート480のアパーチャ485は分配プレート470の裏面にガスを分布させ、チャンバ本体112内の基板(図示せず)と接触する前にガスを分配プレート470のアパーチャ475を通って更に分布させる。
[0086]中央に位置したプラズマキャビティ425内のプラズマの閉じ込めと第一電極410と第二電極450間の可変距離によって、リッドアセンブリ400内に安定で信頼できるプラズマを生成すると考えられる。
[0087]説明を簡単に且つ容易にするために、処理チャンバ100内で行われるアンモニア(NH3)と三フッ化窒素(NF3)ガス混合物を用いて酸化シリコンを除去するための例示的ドライエッチングプロセスをここに記載する。処理チャンバ100は、アニールプロセスを含むすべて単一処理環境内で基板の加熱と冷却双方に加えてプラズマ処理から有益であるあらゆるドライエッチングプロセスに有利であると考えられる。
[0088]図1を参照すると、ドライエッチングプロセスは、基板(図示せず)、例えば、半導体基板を処理チャンバ100へ入れることにより開始する。基板は、典型的には、スリットバルブ開口部160を通ってチャンバ本体112へ入り、支持部材310の上面上に配置される。基板は支持部材310の上面にチャックされ、エッジパージがチャネル334を通過する。好ましくは、コンジット313を経て真空ポンプと流体が連通しているホール312とグルーブ316を通って真空を引くことにより基板が支持部材310の上面にチャックされる。その後、処理位置に既にない場合には、支持部材310はチャンバ本体112内の処理位置に持ち上げられる。チャンバ本体112は、好ましくは50℃〜80℃、更に好ましくは約65℃の温度で維持される。チャンバ本体112のこの温度は、熱伝達媒体を流体チャネル113に通過させることにより維持される。
[0089]基板は、熱伝達媒体又は冷却剤を支持アセンブリ300内に形成された流体チャネル360に通過させることにより65℃より低い15℃〜50℃に冷却される。一実施形態においては、基板は室温より低い温度に維持される。他の実施形態においては、基板は22℃〜40℃の温度に維持される。典型的には、支持部材は、上記で指定された所望される基板温度に達するように約22℃より低い温度に維持される。支持部材310を冷却するために、冷却剤を流体チャネル360に通過させる。支持部材310の温度をより良く制御するために冷却剤の連続した流れが好ましい。冷却剤は、好ましくは50容量%のエチレングリコールと50容量%の水である。もちろん、水とエチレングリコールのあらゆる比率が所望される基板の温度が維持される限り使用し得ることは当然のことである。
[0090]次に、アンモニア及び三フッ化窒素ガスをチャンバ100へ導入して洗浄ガス混合物を形成する。チャンバへ導入された各ガス量は、例えば、除去すべき酸化物層の厚さ、洗浄される基板の形、プラズマの容積容量、チャンバ本体112の容積容量、チャンバ本体112に結合された真空システムの能力を適合させるために調整することができる。一態様においては、アンモニアと三フッ化窒素のモル比が少なくとも1:1であるガス混合物を供給するようにガスが添加される。他の態様においては、ガス混合物のモル比は、少なくとも約3:1(アンモニア:三フッ化窒素)である。好ましくは、ガスは、モル比5:1(アンモニア:三フッ化窒素)〜30:1でチャンバ100に導入される。更に好ましくは、ガス混合物のモル比は約5:1(アンモニア:三フッ化窒素)〜約10:1のモル比である。ガス混合物のモル比は、また、約10:1(アンモニア:三フッ化窒素)〜約20:1の間に包含することができる。
[0091]パージガス又はキャリアガスも、ガス混合物に添加することができる。あらゆる適切なパージ/キャリアガス、例えば、アルゴン、ヘリウム、水素、窒素、又はその混合物を用いることができる。典型的には、全体のガス混合物は、約0.05体積%〜約20体積%のアンモニアと三フッ化窒素である。残りはキャリアガスである。一実施形態においては、チャンバ本体112内の圧力を安定させるために反応性ガスの前にパージ又はキャリアガスが最初にチャンバ本体112へ導入される。
[0092]チャンバ本体112内の作動圧力は可変であり得る。典型的には、圧力は約500mTorr〜約30Torrで維持される。好ましくは、圧力は約1Torr〜約10Torrに維持される。更に好ましくは、チャンバ本体112内の作動圧力は約3Torr〜約6Torrに維持される。
[0093]約5〜約600ワットのRF電力を電極240に印加してガス分配アセンブリ330に含有した容積261、262,263内のガス混合物のプラズマを発火させる。好ましくは、RF電力は100ワット未満である。電力が印加される周波数が非常に低い、例えば、100kHz未満であることが更に好ましい。好ましくは、周波数は約50kHz〜約90kHzの範囲である。
[0094]プラズマエネルギーは、アンモニアと三フッ化窒素ガスを混合している反応化学種へ解離させてガス相において高反応性フッ化アンモニア(NH4F)化合物及び/又はフッ化水素アンモニウム(NH4F・HF)を形成する。その後、これらの分子が分配プレート225のホール225Aを経てガス分配アセンブリ220に流れ込み洗浄すべき基板表面と反応する。一実施形態においては、キャリアガスが最初にチャンバ100へ導入され、キャリアガスのプラズマが生成され、その後反応ガス、アンモニア、三フッ化窒素がプラズマに添加される。
[0095]理論で縛られることを望まないが、NH4F及び/又はNH4F・HFのエッチングガスが酸化シリコン表面と反応してヘキサフルオロケイ酸アンモニウム(NH4)2SiF6、NH3、H2O生成物を形成すると考えられる。NH3とH2Oは、処理条件で蒸発し、真空ポンプ125でチャンバ100から除去される。特に、揮発性ガスは、ガスが真空ポンプ125へ真空ポート131を通ってチャンバ100を出る前にポンプチャネル129へライナ133に形成されたアパーチャ135に流れ込む。(NH4)2SiF6の薄膜は基板表面上に残留する。この反応機構は次のように纏めることができる。
[0096]NF3+NH3 → NH4F+NH4F・HF+N2
[0097]6NH4F+SiO2 → (NH4)2SiF6+H2
[0098](NH4)2SiF6+熱 → NH3+HF+SiF4
[0099]基板表面上に薄膜が形成された後、その上に基板が支持された支持部材310を加熱された分配プレート225の密接に近接してアニール位置に上げられる。分配プレート225から放射された熱は、(NH4)2SiF6の薄膜を揮発性SiF4、NH3、HF生成物へ十分に解離又は昇華させるのに十分でなければならない。その後、これらの揮発性生成物は、上記のように真空ポンプ125によってチャンバ100から除去される。典型的には、75℃以上の温度を用いて効果的に昇華させるとともに基板から薄膜を除去する。好ましくは、100℃以上の温度、例えば、約115℃〜約200℃が用いられる。
[00100](NH4)2SiF6の薄膜をその揮発性コンポーネントへ解離させる熱エネルギーは、分配プレート225によって対流又は放射される。上記のように、加熱素子270は直接分配プレート225に結合され、分配プレート225とコンポーネントを約75℃〜250℃の温度に熱接触させて加熱するように活性化する。一態様においては、分配プレート225は、100℃〜150℃、例えば、120℃の温度に加熱される。
[00101]この上昇変化は、様々な方法で行うことができる。例えば、リフトメカニズム330は、分配プレート225の下面に向かって支持部材310を上昇させることができる。このリフトステップの間、基板は上記真空チャック又は静電チャックのような支持部材310に固定される。或いは、基板は、支持部材310から持ち上げられ、リフトリング320を経てリフトピン325を上昇させることによって加熱された分配プレート225に密接に接近して配置され得る。
[00102]薄膜を持つ基板の上面と分配プレート225の間の距離は重要ではなく、通常実験の問題である。当業者は、下にある基板に損傷を与えることなく薄膜を能率よく効果的に揮発させるのに必要とした間隔を容易に求めることができる。しかしながら、約0.254mm(10ミル)〜5.08(200ミル)の間隔が効果的であると考えられる。
[00103]基板から膜が除去されるとすぐに、チャンバがパージされ、排気される。その後、洗浄された基板は、搬送位置に基板を下げ、基板を脱チャックさせ、スリットバルブ開口部160を通って基板を搬送することによってチャンバ本体112から取り出される。
[00104]システムコントローラ(図示せず)は、処理チャンバ100の動作を調節するために用いることができる。システムコントローラは、コンピュータのハードディスクドライブに記憶されたコンピュータプログラムの制御下で動作させ得る。模範的には、コンピュータプログラムは、プロセスシーケンスとタイミング、ガスの混合、チャンバ圧、RF電力レベル、サセプタの位置、スリットバルブの開閉、ウエハ冷却、具体的なプロセスの他のパラメータを決定し得る。ユーザとシステムコントローラ間のインタフェイスは、CRTモニタとライトペン(図示せず)によって行うことができる。好適実施形態においては、二つのモニタが用いられ、一方のモニタはオペレータのためにクリーンルームの壁に取り付けられ、もう一方のモニタは保守技術者のために壁の後ろ側に取り付けられる。また、双方のモニタは同じ情報を同時に表示することが好ましいが、1つのライトペンだけが可能である。ライトペンは、ペンの先端の光センサによりCRTディスプレイで放出された光を検出する。具体的なスクリーン又は機能を選択するために、オペレータはディスプレイスクリーンの示された領域をタッチするとともにペンでボタンを押すことができる。ディスプレイスクリーンは、通常は、ライトペンとタッチ領域間の通信を外観、即ち、ハイライト又は色を変えることにより、又は新しいメニュー又はスクリーンを表示することにより確認する。
[00105]多様なプロセスが、例えば、システムコントローラについて行うコンピュータプログラム製品を用いて実行することができる。コンピュータプログラムのコードは、例えば、68000アセンブリ言語、C、C++、又はパスカルのような、あらゆる慣用のコンピュータ読み取り可能なプログラミング言語で書き込むことができる。適したプログラムコードは、慣用のテキストエディタを用いて単一のファイル、又は複数のファイルへ入力され、且つコンピュータユーザブルメディウム、例えば、コンピュータのメモリシステムに記憶又はコンピュータ使用可能媒体に記憶又は表現することができる。入力されたコードテキストが高い水準の言語である場合には、コードはコンパイルされ、次に、得られたコンパイラコードがプレコンパイルされたライブラリルーチンのオブジェクトコードとリンクする。リンクされたコンパイルオブジェクトコードを実行するために、システムユーザは、オブジェクトコードを呼び出し、コンピュータシステムがメモリのコードをロードさせ、CPUがプログラムで識別されたタスクを行うためにコードを読み込み実行させる。
[00106]図5A-図5Hは、本明細書に記載されるドライエッチングプロセスと処理チャンバ100を用いて、MOSFET構造500のような例示的な能動電子デバイスを形成するための例示的な製造シーケンスの概略断面図である。図5A-図5Hを参照すると、例示的なMOSFET構造は、半導体材料、例えば、シリコン又はガリウムヒ素基板525上に形成することができる。好ましくは、基板525は、結晶配向が<100>、直径が150mm(6インチ)、200mm(8インチ)又は300mm(12インチ)であるシリコンウエハである。典型的には、MOSFET構造は、次の組合わせ、(i)誘電層、例えば、酸化シリコン、有機ケイ酸塩、炭素ドープされた酸化シリコン、リンケイ酸塩ガラス(PSG)、ホウリンケイ酸塩ガラス(BPSG)、窒化シリコン、又はその組合わせ;(ii)半導体層、例えば、ドープされたポリシリコン、n型又はp型ドープされた単結晶シリコン;(iii)金属又は金属シリサイド層から形成された電気的接触部や相互接続部、例えば、タングステン、タングステンシリサイド、チタン、チタンシリサイド、コバルトシリサイド、ニッケルシリサイド、又はその組合わせを含んでいる。
[00107]図5Aを参照すると、能動電子デバイスの製造は、能動電子デバイスを他のデバイスから電気的に絶縁させる電気的絶縁構造を形成することにより開始する。S.M.Sze,McGraw-Hill出版社(1988)からVLSI技術、第二版、11章に一般的に記載されているようにいくつかのタイプの電気的絶縁構造があり、この開示内容は本明細書に援用されている。一変形例においては、厚さが約2,000オングストロームであるフィールド酸化物層(図示せず)が、最初に基板525全体に成長し、酸化物層の一部が除去されてフィールド酸化物バリヤ545A、Bを形成し、それがデバイスの電気的に活性な素子が形成される露出した領域を囲んでいる。露出した領域を熱的に酸化して厚さが約50〜300オングストロームであるゲート酸化物薄層550を形成する。その後、ポリシリコン層が堆積され、パターン形成され、エッチングしてゲート電極555を作成する。ポリシリコンゲート電極555の表面は、絶縁誘電体層560を形成するために再酸化することができ、図5Aに示されるような構造が得られる。
[00108]図5Bを参照すると、次に適切なドーパント原子で適切な領域をドープすることによりソースとドレイン570A、Bが形成される。例えば、p型基板525ついては、ヒ素又はリンを含むn型ドーパント化学種が用いられる。典型的には、ドーピングはイオンインプランタによって行われ、例えば、リン(31P)を濃度が約1013atoms/cm2、エネルギーレベルが約30〜80KeVで、又はヒ素(75As)を用量が約1015〜1017atoms/cm2、エネルギーが10〜100KeVで含むことができる。注入プロセスの後、ドーパントは、例えば、急速熱処理(RTP)装置で基板を加熱することにより基板525へ動かされる。その後、ソースとドレイン領域570A、Bを覆う酸化物層550は、酸化物層に捕捉される注入プロセスに起因するあらゆる不純物を除去する従来の除去プロセスで取り除かれ、図8Bに示される構造が得られる。
[00109]図5Cと図5Dを参照すると、窒化シリコン層575は、SiH2、Cl2、NH3のガス混合物を用いて低圧化学気相堆積(LPCVD)によってゲート電極555と基板525上の表面上に堆積される。その後、窒化シリコン層575は、図5Dに示されるように、ゲート電極555の側壁上に窒化物スペーサ580を形成するために反応性イオンエッチング(RIE)技術を用いてエッチングされる。スペーサ580は、ソース570Aとドレイン570B上に堆積した他のシリサイド層からゲート555の上面上に形成されたシリサイド層を電気的に絶縁する。電気的絶縁側壁スペーサ580と上の層は、酸化シリコンのような他の物質から製造することができることは留意すべきである。側壁スペーサ580を形成するために用いられる酸化シリコン層は、典型的には、テトラエトキシシラン(TEOS)のフィードガスからCVD又はPECVDによって約600℃〜約1,000℃の範囲の温度で堆積される。
[00110]図5Eを参照すると、未変性酸化シリコン層585は、プロセス前後に大気に晒されることにより露出したシリコン表面上に形成される。未変性酸化シリコン層585は、形成された金属シリサイドの合金反応や導電性を改善するためにゲート555、ソース570A、ドレイン570B上に導電性金属シリサイドコンタクトを形成する前に除去されなければならない。未変性酸化シリコン層585は、半導体材料の電気抵抗を増大させ、且つ続いて堆積されるシリコンと金属層のシリサイド化反応に悪影響を及ぼすことがある。それ故、能動電子デバイスに相互接続するための金属シリサイドコンタクト又はコンダクタを形成する前に、記載されたドライエッチングプロセスを用いてこの未変性二酸化シリコン層585を除去することが必要である。ドライエッチングプロセスは、図5Fに示されるようにソース570A、ドレイン570B、ゲート電極555の上面を露出させるために未変性酸化シリコン層585を除去する。
[00111]その後、図5Gに示されるように、金属590の層を堆積させるためにPVDスパッタプロセスが用いられる。その後、従来の炉アニーリングを用いて金属とシリコン層をアニールして金属層590がシリコンと接触している領域に金属シリサイドを形成する。アニールは、典型的には、別個の処理システムで行われる。従って、金属590上に保護キャップ層(図示せず)を堆積することができる。キャップ層は、典型的には、窒化物質であり、窒化チタン、窒化タングステン、窒化タンタル、窒化ハフニウム、及び窒化シリコンからなる群より選ばれた1種以上の物質を含むことができる。キャップ層は、あらゆる堆積プロセス、好ましくはPVDで堆積させることができる。
[00112]アニーリングは、典型的には、基板500を窒素雰囲気中で600℃〜800℃の温度に約30分間加熱することを含む。或いは、金属シリサイド595は、基板500を約1000℃に約30秒間高速熱アニールプロセスを用いて形成することができる。適切な導電性金属には、コバルト、チタン、ニッケル、タングステン、プラチナ、接触抵抗が低く且つポリシリコンと単結晶シリコン上に信頼できる金属シリサイドコンタクトを形成することができるあらゆる他の物質が含まれる。
[00113]金属層590の未反応部分は、金属シリサイド595、スペーサ580、又はフィールド酸化物545A、Bを侵食せずに金属を除去する王水(HClやHNO3)を用いてウェットエッチングによって除去することができるので、図5Hに示されるように、ゲート555、ソース570A、ドレイン570B上に自己整列金属シリサイドコンタクト595が残る。その後、例えば、酸化シリコン、BPSG、又はPSGを含む絶縁カバー層が、電極構造上に堆積され得る。絶縁カバー層は、物質が低圧又は大気圧でフィードガスにより凝縮するCVDチャンバ内で化学気相堆積によって堆積させる。これは、例えば、1996年4月19日発行の共同譲渡された米国特許第5,500,249号に記載されており、この開示内容は本明細書に援用されている。その後、構造500をガラス転移温度でアニールして平滑な平坦化表面を形成する。
[00114]一つ以上の実施形態においては、処理チャンバ100は、マルチ処理プラットフォーム、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手できるEndura(登録商標)プラットフォームへ集積することができる。そのような処理プラットフォームは、真空を破壊せずにいくつかの処理動作を行うことができる。Endura(登録商標)プラットフォームの詳細は、1999年11月30日出願の“集積モジュラ処理プラットフォーム”と称する共同譲渡された米国特許出願第09/451,628号に記載されており、この開示内容は本明細書に援用されている。
[00115]図6は、マルチチャンバ処理システム600を示す概略平面図である。システム600は、システム600へ、また、システム600から基板の搬送する1つ以上のロードロックチャンバ602、604を含むことができる。典型的には、システム600は減圧下であるので、ロードロックチャンバ602、604はシステム600へ導入される基板を“ポンプダウン”させることができる。第一ロボット610は、ロードロックチャンバ602、604と1つ以上の基板処理チャンバ612、614、616、618(四つは示されている)の第一セット間の基板を搬送させることができる。各処理チャンバ612、614、616、618は、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理気相堆積(PVD)、エッチング、予備洗浄、脱ガス、配向、多野基板処理に加えて本明細書に記載されるドライエッチングプロセスを含む多くの基板処理動作を行うために供給することができる。
[00116]第一ロボット610は、また、1つ以上の搬送チャンバ622、624へ/から基板を搬送させることができる。搬送チャンバ622、624は、基板をシステム600内に搬送させつつ超高真空条件を維持するために使用し得る。第二ロボット630は、搬送チャンバ622、624と1つ以上の処理チャンバ632、634、636、638の第二セット間に基板を搬送させることができる。処理チャンバ612、614、616、618と同様に、処理チャンバ632、634、636、638は、例えば、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理気相堆積(PVD)、エッチング、予備洗浄、脱ガス、配向、多野基板処理に加えて本明細書に記載されるドライエッチングプロセスを含む多くの基板処理動作を行うために供給することができる。基板処理チャンバ612、614、616、618、632、634、636、638のいずれも、システム600によって行われるべき具体的なプロセスに必要がない場合には、システム600から除去することができる。
[00117]図5A-図5HのMOSFET構造を形成するための説明的マルチ処理システム600は、上記の2つの処理チャンバと、金属500を堆積する2つの物理気相堆積チャンバと、任意のキャップ層(図示せず)を堆積させる2つの物理気相堆積チャンバとを含むことができる。図6に示される基板処理チャンバ612、614、616、618、632、634、636、638のいずれか1つは、PVDチャンバ及び/又は処理チャンバ100である。
[00118]上記のプロセスシーケンスはMOSFETデバイス製造に関して説明してきたが、本明細書に記載されるドライエッチングプロセスも、他の金属シリサイド層、例えば、タングステン、タンタル、モリブデンのシリサイドを持つ他の半導体構造及びデバイスを形成するため用いることができる。洗浄プロセスは、例えば、アルミニウム、銅、コバルト、ニッケル、シリコン、チタン、パラジウム、ハフニウム、ボロン、タングステン、タンタル、又はその混合物を含む異なる金属の層を堆積する前に用いることもできる。
[00119]前述の考察をより良く理解するために、次に限定しない実施例を示す。実施例は個々の実施形態に関するものであるが、実施例はある個々の点で本発明を制限するものとして解釈されるべきでない。
実施例:
[00120]エッチング中、2sccmのNF3、10sccmのNH3、2,500sccmのアルゴンのガス混合物をチャンバへ導入した。ガス混合物のプラズマを100ワットの電力を用いて点火した。底面のパージは1,500sccmのアルゴン、エッジのパージは50sccmのアルゴンであった。チャンバ圧を約6Torrで維持し、基板温度は約22℃であった。基板を120秒間エッチングした。
[00121]次のアニール処理中、間隔は750ミルであり、リッド温度は120℃であった。基板を約60秒間アニールした。約50オングストロームの物質を基板表面から除去した。アニール効果は観察されなかった。エッチング速度は、約0.46オングストローム/秒(28オングストローム/分)であった。観察されたエッチングの均一性は、50オングストロームエッチングに対して約5%であった。
[00122]特にことわらない限り、明細書と特許請求の範囲に用いられる成分、性質、反応条件などの量を表す数字は全て近似値として理解されるべきである。これらの近似値は、本発明によって得られるよう探求された所望の性質と、測定誤差に基づき、少なくとも報告された有効数字の数や通常の丸める手法を適用することにより解釈されなければならない。更に、温度、圧力、間隔、モル比、流量などを含む本明細書に表された量のいずれもが、所望されるエッチング選択性とパーティクル性能を達成させるために更に最適化され得る。
[00123]前述は本発明の実施形態に関するが、本発明の更に多くの実施形態を基本的な範囲から逸脱することなく講じることができ、本発明の範囲は、次の特許請求の範囲によって決定される。
100…処理チャンバ、112…チャンバ本体、113…チャネル、125…真空ポンプ、127…スロットルバルブ、129…ポンプチャネル、131…真空ポート、133…ライナ、135…アパーチャ、140…処理ゾーン、160…スリットバルブ開口部、200…リッドアセンブリ、202…流体チャネル、210…リッドリム、220…ガス分配アセンブリ、221…ボルト、222…環状取り付けフランジ、223…ガス注入口、224…Oリング型シール、225…分配プレート、230…ブロッカーアセンブリ、233…第一ブロッカープレート、233A…経路、235…第二ブロッカープレート、240…電極、241…イソレータフィラーリング、243…環状絶縁体250…上プレート、270…加熱素子、272…熱電対、300…支持アセンブリ、305…エッジリング、310…支持部材、311…取外し可能な上プレート、312…ホール、313…真空コンジット、314…シャフト、316…グルーブ、323…ボア、325…リフトピン、330…リフトメカニズム、334…パージガスチャネル、335…コンジット、360…流体チャネル、361…熱伝達コンジット、400…リッドアセンブリ、410…第一電極、412…ガス注入口、415…電源、420…拡張部分、425…プラズマキャビティ、430…内径、440…イソレータリング、450…第二電極、460…上プレート、462…溝部分、463…Oリング、465…アパーチャ、470…分配プレート、472…取り付けフランジ、474…経路、480…ブロッカープレート、485…アパーチャ、490…リッドリム、525…基板、545…フィールドオキシドバリヤ、550…ゲートオキシド薄層、555…ポリシリコンゲート電極、570A…ソース、570B…ドレイン、575…窒化シリコン層、580…スペーサ、590…金属層、595…コンタクト、600…マルチチャンバ処理システム、602…ロードロックチャンバ、604…ロードロックチャンバ、610…ロボット、612、614、616、618…処理チャンバ、622、624…トランスファチャンバ、630…ロボット、632、634、636、638…処理チャンバ。

Claims (80)

  1. 基板表面から未変性酸化物を除去するための処理チャンバであって、
    チャンバ本体と、
    該チャンバ本体内に少なくとも一部が配置され且つその上に基板を支持するように適合された支持アセンブリであって、該支持アセンブリが、その中に少なくとも一部が形成され且つ該基板を冷却することができる1つ以上の流体チャネルを含んでいる、前記支持アセンブリと、
    該チャンバ本体の上面上に配置されたリッドアセンブリであって、該リッドアセンブリが、その間にプラズマキャビティを画成している第一電極と第二電極を備え、該第二電極が加熱され且つ該基板を対流的に加熱するように適合されている、前記リッドアセンブリと、
    を備えている、前記チャンバ。
  2. 該支持アセンブリが、該基板本体内で垂直に移動して該基板を該第二電極に接近した加熱位置に置き且つ該基板を該第二電極から取り出されたエッチング位置に置くように適合されている、請求項1記載のチャンバ。
  3. 該支持アセンブリが、その上に該基板を支持するように適合された受容面を備え、該受容面がリフトメカニズムに結合したシャフトの上に配置されている、請求項1記載のチャンバ。
  4. 該リフトメカニズムが、該チャンバ本体内に垂直に該受容面を移動させて該基板を該第二電極に近接した加熱位置に置き且つ該基板を第二電極から取り出されたエッチング位置に置くように適用されている、請求項3記載のチャンバ。
  5. 該支持アセンブリが、その第一端に該受容面と流体で連通している1つ以上のガス通路と、その第二端にパージガス供給源又は真空供給源とを備えている、請求項3記載のチャンバ。
  6. 該受容面が、その上面上に形成された1つ以上の溝のチャネルを備えている、請求項5記載のチャンバ。
  7. 1つ以上の該溝のチャネルがほぼ同軸である、請求項6記載のチャンバ。
  8. 該シャフトが、1種以上の流体を該ガス通路に分配するように適合された1つ以上の埋め込みガスコンジットを備えている、請求項5記載のチャンバ。
  9. 1つ以上の該流体チャネルが、該受容面の下の該支持アセンブリ内に配置されている、請求項1記載のチャンバ。
  10. 1つ以上の該流体チャネルが該受容面の下の該支持アセンブリ内に配置され、該シャフトが該流体チャネルと流体で連通している1つ以上の埋め込みコンジットを備えている、請求項3記載のチャンバ。
  11. 1つ以上の該埋め込みコンジットが加熱媒体を1つ以上の該流体チャネルに分配するように適合されている、請求項10記載のチャンバ。
  12. 1つ以上の該埋め込みコンジットが、冷却剤を1つ以上の該流体チャネルに分配するように適合されている、請求項10記載のチャンバ。
  13. 該第二電極がシャワヘッドに結合したブロッカーアセンブリを備えている、請求項1記載のチャンバ。
  14. 該第二電極が、該第二電極の温度を制御するための電源に結合した加熱素子を含んでいる、請求項1記載のチャンバ。
  15. 該ブロッカーアセンブリと該シャワヘッド各々が、ガスを該チャンバ本体へ一様に分布させるように共同する、それを通って形成された複数のアパーチャを備えている、請求項13記載のチャンバ。
  16. 該プラズマキャビティが、該リッドアセンブリ内に反応性ガスのプラズマを含有するように適合されている、請求項1記載のチャンバ。
  17. 該第一電極が、高周波源、マイクロ波源、又は直流源に結合され、第二電極が接地されている、請求項1記載のチャンバ。
  18. 基板表面から未変性酸化物をエッチングする方法であって、
    処理チャンバ内に処理すべき基板を装填するステップであって、該チャンバが
    チャンバ本体と、
    該チャンバ本体内に少なくとも一部が配置され且つその上に基板を支持するように適合された支持アセンブリであって、該支持アセンブリが、該基板を冷却することができるその中に少なくとも一部が形成された1つ以上の流体チャネルを含んでいる、前記支持アセンブリと、
    該チャンバ本体の上面上に配置されたリッドアセンブリであって、該リッドアセンブリが、その間にプラズマキャビティを画成している第一電極と第二電極を備え、該第二電極が該基板を連結的に加熱するように適合されている、前記リッドアセンブリと、
    を備えている、前記ステップと、
    該プラズマキャビティ内で反応性ガスプラズマを生成させるステップと、
    該支持アセンブリの1つ以上の該流体チャネルを通って熱伝達媒体を流すことにより該基板を冷却させるステップと、
    該第二電極を通って該基板表面に該反応性ガスを流すステップと、
    該反応性ガスで該基板表面をエッチングさせるステップと、
    加熱素子にそれと接触させて電力を加えることにより該第二電極を加熱するステップと、
    加熱した該第二電極に密接に近接して該支持アセンブリを配置することにより加熱した該第二電極を用いて該基板を加熱するステップと、
    を含む、前記方法。
  19. 該基板を冷却するステップが、基板温度を室温より低い温度に維持する工程を含んでいる、請求項18記載の方法。
  20. 該基板を加熱するステップが、該基板温度を75℃より高い温度に維持する工程を含んでいる、請求項18記載の方法。
  21. 基板表面から未変性酸化物を除去する方法であって、
    該基板表面を真空チャンバ内に支持するステップと、
    該チャンバ内でガス混合物から反応性化学種を生成させるステップと、
    該チャンバ内で該基板表面を冷却するステップと、
    該反応性化学種を冷却した該基板に送ってその上で該未変性酸化物と反応させるとともに該基板表面上に膜形成するステップと、
    該基板表面を該チャンバ内で加熱して該膜を揮発させるステップと、
    を含む、前記方法。
  22. 揮発した該膜を該チャンバから除去するステップを更に含む、請求項21記載の方法。
  23. 該膜が窒素原子とフッ素原子を含む塩である、請求項21記載の方法。
  24. 該ガス混合物がアンモニアとフッ化窒素を含んでいる、請求項21記載の方法。
  25. 該基板表面を冷却するステップが、該基板表面がその上に配置された支持アセンブリを通って冷却剤を流し且つ基板温度を室温より低い温度に維持する工程を含んでいる、請求項21記載の方法。
  26. 該基板温度が約22℃より低い温度に維持される、請求項25記載の方法。
  27. 該基板表面を加熱するステップが、該真空チャンバ内に配置された加熱したガス分配プレートに隣接して該基板表面を配置させ且つ該基板温度を約100℃より高い温度に維持する工程を含んでいる、請求項21記載の方法。
  28. 該基板温度が約120℃に維持される、請求項27記載の方法。
  29. 該基板表面が、加熱した該ガス分配プレートから約10ミル〜200ミルに位置している、請求項27記載の方法。
  30. 該反応性化学種を冷却した該基板表面に送るステップが、該反応性化学種をガス分配プレートを通って流す工程を含んでいる、請求項21記載の方法。
  31. 該基板表面を加熱するステップが、該ガス分配プレートを加熱し且つ該基板表面を該ガス分配プレートに密接に近接して配置させる工程を含んでいる、請求項30記載の方法。
  32. 該基板表面が約100℃より高い温度に加熱される、請求項31記載の方法。
  33. 該ガス分配プレートを加熱するステップが、加熱素子を該ガス分配プレートの少なくとも一部に結合させる工程を含んでいる、請求項32記載の方法。
  34. 該膜を昇華させるために該基板表面を該チャンバ内で加熱しつつ、該ガス分配プレートが約100℃〜約150℃の温度に維持される、請求項33記載の方法。
  35. 該ガス混合物がキャリヤガスを更に含んでいる、請求項24記載の方法。
  36. 該ガス混合物が、少なくとも3:1モル比のアンモニアと三フッ化窒素を含んでいる、請求項24記載の方法。
  37. アンモニアと三フッ化窒素の該モル比が約10:1〜約20:1である、請求項36記載の方法。
  38. 該基板表面が、加熱した該ガス分配プレートから約10ミル〜200ミルに位置している、請求項31記載の方法。
  39. 単一真空チャンバ内で基板表面から未変性酸化物を除去する方法であって、
    該チャンバの第一部分において反応性化学種のプラズマを生成させるステップと、
    該チャンバの第二部分においてその上に該未変性酸化物を有する該基板表面を冷却させるステップと、
    該反応性化学種を該第一部分から該第二部分に流して冷却した該基板表面と反応させるステップと、
    冷却した該基板表面上に膜を堆積させるステップと、
    該基板表面を該チャンバの第三部分に移動させるステップと、
    該チャンバの該第三部分において該基板表面を加熱して該膜を昇華させるステップと、を含む、前記方法。
  40. 単一処理チャンバ内で基板表面から未変性酸化物を除去する方法であって、
    窒素原子とフッ素原子を含む反応性化学種のプラズマを生成させるステップと、
    該基板表面を該反応性化学種にさらすステップと、
    該基板表面を約22℃より低い温度に冷却するステップと、
    冷却した該基板表面上に該窒素原子とフッ素原子の膜を堆積させるステップと、
    該基板表面をアニールして該膜を昇華させるステップと、
    を含む、前記方法。
  41. 基板支持アセンブリであって、
    1つ以上の流体コンジットがそれを通って配置された本体と、
    該本体の第一端上に配置された支持部材であって、該支持部材が、その上面内に1つ以上の流体チャネルが形成されており、各流体チャネルが1つ以上の該流体コンジットと連通している、前記支持部材と、
    1つ以上の該流体コンジットと流体で連通している冷却媒体源と、
    複数のホールがそれを通って形成された第一電極であって、該第一電極が該支持部材の該上面上に配置されて複数の該ホールの各々が該支持部材の該上面内に形成された1つ以上の流体チャネルの少なくとも1つと流体で連通している、前記第一電極と、
    を備えている、前記装置。
  42. 1つ以上の該流体コンジットの少なくとも1つが、基板を該第一電極にチャックするための真空ポンプと流体で連通している、請求項41記載の装置。
  43. 1つ以上の該流体コンジットの少なくとも1つが、該支持部材の側壁上に堆積することを防止するための該支持部材の該上面内に形成された1つ以上の該流体チャネルにパージガスを供給する、請求項41記載の装置。
  44. 1つ以上の該流体コンジットの少なくとも1つが、該支持部材を冷却するための該支持部材の該上面内に形成された1つ以上の該流体チャネルに冷却剤を供給する、請求項41記載の装置。
  45. 該第一電極が、該支持部材の該上面上に置かれる取外し可能部材である、請求項41記載の装置。
  46. 該第一電極が、その上に支持された基板との接触を最少にするためにその上面上に配置された複数の隆起ディンプルを含んでいる、請求項41記載の装置。
  47. 該第一電極がシリコンから構成されている、請求項41記載の装置。
  48. 該第一電極が、それを通って移動可能な支持ピンを収容するための該支持部材内のボアと整列した1つ以上の垂直のボアを有する、請求項41記載の装置。
  49. 1つ以上の垂直のボアがセラミックスリーブで裏打ちされて該移動可能な支持ピンによる摩擦を減少させる、請求項48記載の装置。
  50. 該支持部材の外周の周りに配置された環状リングを更に含んでいる、請求項41記載の装置。
  51. 該環状リングが、該支持部材の該外周の周りにパージガスを導いてその上に堆積することを防止するように機能する、請求項50記載の装置。
  52. 基板支持アセンブリであって、
    それを通って配置された少なくとも1つのガスコンジットと少なくとも2つの液体コンジットを持つ本体と、
    該本体の第一端上に配置された支持部材であって、該支持部材が少なくとも1つの該ガスコンジットと流体で連通しているその上面内に形成されたチャネルを持ち、該支持部材が少なくとも2つの該液体コンジットと流体で連通しているその中に形成された熱交換通路も持っている、前記支持部材と、
    複数のホールがそれを通って形成された第一電極であって、該第一電極が該支持部材の該上面上に配置されて複数の該ホールの各々が該支持部材の該上面内に形成された該チャネルと流体で連通している、前記第一電極と、
    を含む、前記装置。
  53. 該支持部材の外周の周りに配置された環状リングを更に含む、請求項52記載の装置。
  54. 該環状リングが、該支持部材の該外周の周りにパージガスを導いてその上に堆積することを防止するように適合されている、請求項53記載の装置。
  55. 該第一電極が、該支持部材の該上面上に置かれる取外し可能な部材である、請求項52記載の装置。
  56. 該第一電極が、複数の隆起ディンプルを持った溝の上面を持ち、その上に支持された基板との接触を最少にする、請求項52記載の装置。
  57. 該第一電極が該第一電極を通って形成された1つ以上のボアを持ち、該支持部材がそれを通って移動可能な支持ピンを収容するための対応するピンを持っている、請求項41記載の装置。
  58. 1つ以上の該ボアがセラミックスリーブで裏打ちされて該移動可能な支持ピンによる摩擦を減少させる、請求項57記載の装置。
  59. 基板を支持し冷却するための方法であって、
    基板支持アセンブリを準備するステップであって、
    1つ以上の流体通路がそれを通って配置された本体と、
    該本体の第一端上に配置された支持部材であって、該支持部材がその上面内に形成された1つ以上の流体チャネルを持ち、各々が該流体通路の1つ以上と流体で連通している、前記支持部材と、
    複数のホールがそれを通って形成された第一電極であって、該第一電極が該支持部材の該上面上に配置されて複数の該ホールの各々が1つ以上の該流体チャネルの少なくとも1つと流体で連通している、前記第一電極と、
    を含む、前記ステップと、
    基板と契合するための該第一電極に真空を加えるステップであって、該真空が該本体内に形成された1つ以上の該流体通路を通って加えられる、前記ステップと、
    該支持部材内に形成された1つ以上の該流体チャネルを通って該基板の裏面にパージガスを流すステップと、
    該本体内に形成された該流体通路を通って冷却媒体を流すことにより該基板を冷却させるステップと、
    を含む、前記方法。
  60. 該支持部材の外周の周りに該支持部材の該外周の周りに配置されたリング部材を用いてパージガスの流れを送るステップを更に含む、請求項59記載の方法。
  61. 半導体処理のためのリッドアセンブリであって、
    内径が徐々に増大する拡張部分を含む第一電極と、
    該第一電極と対向して配置された第二電極であって、プラズマキャビティが該第一電極の該拡張部分の内径と該第二電極の第一表面との間に画成されている、前記第二電極と、を含む、前記リッドアセンブリ。
  62. 該第二電極が、該第二電極を加熱する熱エネルギー源に結合された加熱素子を含んでいる、請求項61記載のリッドアセンブリ。
  63. 該拡張部分の外径の周りに配置された絶縁リングを更に含む、請求項61記載のリッドアセンブリ。
  64. 該絶縁リングと該第一電極の該拡張部分が、該第二電極の第一表面内に形成された溝の中で適合している、請求項63記載のリッドアセンブリ。
  65. 該第二電極が、該プラズマキャビティと流体で連通している複数のガス通路を含んでいる、請求項61記載のリッドアセンブリ。
  66. 該第二電極が、チャンバ本体上に取り付けるためのノッチ付き外径を含んでいる、請求項65記載のリッドアセンブリ。
  67. 該第二電極の第二表面に対向して配置された第一貫通プレートを更に備え、該第一貫通プレートの貫通が該第二電極の複数の該ガス通路と流体で連通している、請求項65記載のリッドアセンブリ。
  68. 該第一貫通プレートと該第二電極間に配置された第二貫通プレートを更に含み、該第二貫通プレートの貫通が該第一貫通プレートの貫通と第二電極の複数の該ガス通路と流体で連通している、請求項67記載のリッドアセンブリ。
  69. 該第二電極と該第一貫通プレート各々がノッチ付き外径を備え、該第二電極の該ノッチ付き外径が該第一貫通プレートの該ノッチ付き外径上に取り付けるように適合されている、請求項68記載のリッドアセンブリ。
  70. 該第一貫通プレートの該ノッチ付き外径が、チャンバ本体上に取り付けるように適合されている、請求項69記載のリッドアセンブリ。
  71. 半導体処理のためのリッドアセンブリであって、
    内径が徐々に増大する拡張部分を含む第一電極と、
    該第一電極と対向して配置された第二電極であって、該第二電極がそれを通って形成された複数のガス通路を備え、プラズマキャビティが該第一電極の該拡張部分の該内径と該第二電極の第一表面間で画成されている、前記第二電極と、
    該第二電極の第二表面に対向して配置された貫通プレートと、
    を含み、
    該貫通プレートの貫通が該第二電極の複数の該ガス通路と流体で連通し、
    第二電極と該第二貫通プレート各々がノッチ付き外径を備え、
    該第二電極の該ノッチ付き外径が該貫通プレートの該ノッチ付き外径上に取り付けるように適合されている、前記リッドアセンブリ。
  72. 該貫通プレートが、該貫通プレートを加熱する加熱媒体を運搬するためのその外径に埋め込まれた流体チャネルを含んでいる、請求項71記載のリッドアセンブリ。
  73. 該貫通プレートが該第二電極を対流的に加熱する、請求項71記載のリッドアセンブリ。
  74. 該拡張部分の外径の周りに配置された絶縁リングを更に含む、請求項71記載のリッドアセンブリ。
  75. 該絶縁リングと該第一電極の該拡張部分が、該第二電極の該第一表面内に形成された溝の中で適合している、請求項74記載のリッドアセンブリ。
  76. 半導体処理のためのリッドアセンブリであって、
    内径が徐々に増大する拡張部分を含む第一電極と、
    該第一電極に対向して配置された第二電極であって、該第二電極がそれを通って形成された複数のガス通路を含み、プラズマキャビティが該第一電極の該拡張部分の該内径と該第二電極の第一表面間に画成されている、前記第二電極と、
    該第二電極の第二電極に対向して配置された第一貫通プレートと、
    該第二電極と該第一貫通プレート間に配置された第二貫通プレートであって、
    該第二電極と該第一貫通プレート各々がノッチ付き外径を含み、
    該第二電極の該ノッチ付き外径が該第一貫通プレートの該ノッチ付き外径上に取り付けるように適合され、
    該第二貫通プレートの少なくとも一部が該第二電極の該第二表面に取り付けるように適合されている、前記第二貫通プレートと、
    を含む、前記リッドアセンブリ。
  77. 該第一貫通プレートが該第一貫通プレートを加熱する加熱媒体を運搬するためのその外径内に埋め込まれた流体チャネルを含んでいる、請求項76記載のリッドアセンブリ。
  78. 該拡張部分の外径の周りに配置された絶縁リングを更に含み、該絶縁リングと該第一電極の該拡張部分が該第二電極の該第一表面内に形成された溝の中で適合している、請求項76記載のリッドアセンブリ。
  79. 該第一電極の該拡張部分が、RF電源に接続され、該プラズマキャビティ内の反応性ガスのプラズマを閉じ込めるように適合されている、請求項76記載のリッドアセンブリ。
  80. 該第一貫通プレートと第二貫通プレートの貫通が該第二電極の該ガス通路と流体で連通している、請求項76記載のリッドアセンブリ。
JP2011149872A 2004-02-26 2011-07-06 基板から未変性酸化物を除去する方法 Active JP5250668B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US54783904P 2004-02-26 2004-02-26
US60/547,839 2004-02-26

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2005054443A Division JP4960598B2 (ja) 2004-02-26 2005-02-28 基板表面から未変性酸化物を除去する方法及び装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011159609A Division JP5028536B2 (ja) 2004-02-26 2011-07-21 半導体処理のためのリッドアセンブリ

Publications (2)

Publication Number Publication Date
JP2011205135A true JP2011205135A (ja) 2011-10-13
JP5250668B2 JP5250668B2 (ja) 2013-07-31

Family

ID=34749068

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2005054443A Active JP4960598B2 (ja) 2004-02-26 2005-02-28 基板表面から未変性酸化物を除去する方法及び装置
JP2011149872A Active JP5250668B2 (ja) 2004-02-26 2011-07-06 基板から未変性酸化物を除去する方法
JP2011159609A Active JP5028536B2 (ja) 2004-02-26 2011-07-21 半導体処理のためのリッドアセンブリ

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2005054443A Active JP4960598B2 (ja) 2004-02-26 2005-02-28 基板表面から未変性酸化物を除去する方法及び装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2011159609A Active JP5028536B2 (ja) 2004-02-26 2011-07-21 半導体処理のためのリッドアセンブリ

Country Status (6)

Country Link
US (14) US20050230350A1 (ja)
EP (2) EP1568797B1 (ja)
JP (3) JP4960598B2 (ja)
KR (6) KR101148431B1 (ja)
CN (4) CN100487857C (ja)
TW (5) TWI393800B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2015011829A1 (ja) * 2013-07-26 2017-03-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP2019096869A (ja) * 2017-10-24 2019-06-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマフィルタリングのためのシステム及び処理

Families Citing this family (460)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
CN101818334B (zh) * 2002-01-17 2012-12-12 松德沃技术公司 Ald装置和方法
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US20050150452A1 (en) * 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US20070051388A1 (en) 2005-09-06 2007-03-08 Applied Materials, Inc. Apparatus and methods for using high frequency chokes in a substrate deposition apparatus
JP4806241B2 (ja) * 2005-09-14 2011-11-02 東京エレクトロン株式会社 基板処理装置及び基板リフト装置
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
KR100672731B1 (ko) * 2005-10-04 2007-01-24 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
JP5046506B2 (ja) * 2005-10-19 2012-10-10 東京エレクトロン株式会社 基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7662723B2 (en) * 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
JP4601070B2 (ja) * 2006-01-17 2010-12-22 東京エレクトロン株式会社 熱処理装置
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US20170046458A1 (en) 2006-02-14 2017-02-16 Power Analytics Corporation Systems and methods for real-time dc microgrid power analytics for mission-critical power systems
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
US7743731B2 (en) * 2006-03-30 2010-06-29 Tokyo Electron Limited Reduced contaminant gas injection system and method of using
JP5042517B2 (ja) * 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20070248767A1 (en) * 2006-04-19 2007-10-25 Asm Japan K.K. Method of self-cleaning of carbon-based film
JP2007311540A (ja) * 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7718032B2 (en) 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7651948B2 (en) * 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
JP2008027796A (ja) * 2006-07-24 2008-02-07 Canon Inc プラズマ処理装置
US9524896B2 (en) * 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US8293066B2 (en) * 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
JP5260861B2 (ja) * 2006-11-29 2013-08-14 東京エレクトロン株式会社 キャパシタ電極の製造方法と製造システムおよび記録媒体
US7967996B2 (en) * 2007-01-30 2011-06-28 Applied Materials, Inc. Process for wafer backside polymer removal and wafer front side photoresist removal
US20080179290A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Temperature-switched process for wafer backside polymer removal and front side photoresist strip
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
JP4949091B2 (ja) * 2007-03-16 2012-06-06 東京エレクトロン株式会社 基板処理装置、基板処理方法および記録媒体
US7670952B2 (en) * 2007-03-23 2010-03-02 Texas Instruments Incorporated Method of manufacturing metal silicide contacts
US20080236614A1 (en) * 2007-03-30 2008-10-02 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
WO2008125921A1 (en) * 2007-04-12 2008-10-23 Freescale Semiconductor, Inc. Etch method in the manufacture of a semiconductor device
US7732353B2 (en) * 2007-04-18 2010-06-08 Ultratech, Inc. Methods of forming a denuded zone in a semiconductor wafer using rapid laser annealing
KR100898440B1 (ko) * 2007-06-27 2009-05-21 주식회사 동부하이텍 플래시 메모리 소자의 제조 방법
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
CN101399197B (zh) * 2007-09-30 2011-12-07 北京北方微电子基地设备工艺研究中心有限责任公司 一种腔室的衬
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US7659616B2 (en) 2007-10-10 2010-02-09 International Business Machines Corporation On-chip cooling systems for integrated circuits
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US7967994B2 (en) * 2007-10-25 2011-06-28 Ovonyx, Inc. Method and apparatus for chalcogenide device formation
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
WO2009082763A2 (en) * 2007-12-25 2009-07-02 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
KR100952671B1 (ko) * 2007-12-27 2010-04-13 세메스 주식회사 척킹부재, 이를 갖는 기판 처리 장치 및 이를 이용한 기판 처리 방법
KR101431197B1 (ko) * 2008-01-24 2014-09-17 삼성전자주식회사 원자층 증착설비 및 그의 원자층 증착방법
US8883650B2 (en) * 2008-01-24 2014-11-11 United Microelectronics Corp. Method of removing oxides
US20090191703A1 (en) * 2008-01-29 2009-07-30 Applied Materials, Inc. Process with saturation at low etch amount for high contact bottom cleaning efficiency for chemical dry clean process
US20090236682A1 (en) * 2008-03-20 2009-09-24 Hocine Boubekeur Layer stack including a tungsten layer
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8333842B2 (en) * 2008-05-15 2012-12-18 Applied Materials, Inc. Apparatus for etching semiconductor wafers
JP4914902B2 (ja) * 2008-05-30 2012-04-11 キヤノンアネルバ株式会社 シリサイド形成方法とその装置
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8209833B2 (en) * 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
CN101740338B (zh) * 2008-11-24 2012-07-18 中芯国际集成电路制造(北京)有限公司 薄膜去除方法
US7994002B2 (en) 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
KR101566922B1 (ko) * 2009-02-16 2015-11-09 삼성전자주식회사 저스트 드라이 에칭과 케미컬 드라이 에칭을 조합한 반도체소자의 금속 실리사이드막 형성 방법
WO2010102089A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Methods for depositing layers having reduced interfacial contamination
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110082597A1 (en) 2009-10-01 2011-04-07 Edsa Micro Corporation Microgrid model based automated real time simulation for market based electric power system optimization
US8525139B2 (en) * 2009-10-27 2013-09-03 Lam Research Corporation Method and apparatus of halogen removal
US8232538B2 (en) * 2009-10-27 2012-07-31 Lam Research Corporation Method and apparatus of halogen removal using optimal ozone and UV exposure
CN102054687B (zh) * 2009-11-10 2012-05-23 中芯国际集成电路制造(上海)有限公司 表面氧化物的去除方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
TWI558841B (zh) * 2009-12-22 2016-11-21 應用材料股份有限公司 狹縫閥通道支撐件
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
KR101126389B1 (ko) * 2009-12-29 2012-03-28 주식회사 케이씨텍 원자층 증착장치의 서셉터 유닛
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
CN102754193A (zh) 2010-01-06 2012-10-24 应用材料公司 使用氧化物衬垫的可流动电介质
KR101837648B1 (ko) 2010-01-07 2018-04-19 어플라이드 머티어리얼스, 인코포레이티드 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
KR101155291B1 (ko) * 2010-02-22 2012-06-12 주식회사 테스 건식식각장치 및 이를 구비한 기판처리시스템
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
CN102762767B (zh) * 2010-03-12 2015-11-25 应用材料公司 具有多重注射道的原子层沉积腔室
US8435902B2 (en) * 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
KR20110114030A (ko) 2010-04-12 2011-10-19 삼성전자주식회사 플래시 메모리 장치의 제조 방법
US9004006B2 (en) 2010-04-28 2015-04-14 Applied Materials, Inc. Process chamber lid design with built-in plasma source for short lifetime species
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20110303148A1 (en) * 2010-06-09 2011-12-15 Jun Xie Full-enclosure, controlled-flow mini-environment for thin film chambers
GB2495256B (en) 2010-06-25 2014-07-23 Anastasios J Tousimis Integrated processing and critical point drying systems for semiconductor and mems devices
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
JP5647845B2 (ja) * 2010-09-29 2015-01-07 株式会社Screenホールディングス 基板乾燥装置及び基板乾燥方法
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8911553B2 (en) * 2010-10-19 2014-12-16 Applied Materials, Inc. Quartz showerhead for nanocure UV chamber
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20120220116A1 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry Chemical Cleaning For Semiconductor Processing
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US20130334199A1 (en) * 2011-03-01 2013-12-19 Applied Materials, Inc. Thin heated substrate support
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR20120108324A (ko) * 2011-03-23 2012-10-05 한국기초과학지원연구원 중성 입자빔을 이용한 발광 소자 제조 방법 및 그 장치
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8912096B2 (en) * 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
KR101295794B1 (ko) * 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 장치
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
KR101870667B1 (ko) * 2011-08-17 2018-06-26 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US20130052809A1 (en) * 2011-08-25 2013-02-28 United Microelectronics Corporation Pre-clean method for epitaxial deposition and applications thereof
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
TWI661746B (zh) * 2011-10-05 2019-06-01 應用材料股份有限公司 電漿處理設備及其蓋組件(一)
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN103180942B (zh) * 2011-10-24 2014-07-23 丰田自动车株式会社 半导体模块
JP5977986B2 (ja) * 2011-11-08 2016-08-24 株式会社日立ハイテクノロジーズ 熱処理装置
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8808564B2 (en) * 2011-11-15 2014-08-19 Applied Materials, Inc. Method and apparatus for selective nitridation process
KR101356664B1 (ko) * 2012-02-03 2014-02-05 주식회사 유진테크 측방배기 방식 기판처리장치
EP3267470A3 (en) 2012-02-14 2018-04-18 Entegris, Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
CN103377868A (zh) * 2012-04-14 2013-10-30 靖江先锋半导体科技有限公司 一种刻蚀电极机中的下电极装置
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US8647439B2 (en) * 2012-04-26 2014-02-11 Applied Materials, Inc. Method of epitaxial germanium tin alloy surface preparation
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US8916477B2 (en) 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US9034773B2 (en) * 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN102814305B (zh) * 2012-08-03 2015-04-08 京东方科技集团股份有限公司 用于刻蚀工艺前清洁腔室的装置及方法
US20140053984A1 (en) * 2012-08-27 2014-02-27 Hyun Ho Doh Symmetric return liner for modulating azimuthal non-uniformity in a plasma processing system
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140083360A1 (en) * 2012-09-26 2014-03-27 Applied Materials, Inc. Process chamber having more uniform gas flow
US9177780B2 (en) * 2012-10-02 2015-11-03 Applied Materials, Inc. Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
TWI591712B (zh) * 2012-10-03 2017-07-11 應用材料股份有限公司 使用低溫蝕刻劑沉積與電漿後處理的方向性二氧化矽蝕刻
US8980761B2 (en) * 2012-10-03 2015-03-17 Applied Materials, Inc. Directional SIO2 etch using low-temperature etchant deposition and plasma post-treatment
CN103785646A (zh) * 2012-10-30 2014-05-14 中微半导体设备(上海)有限公司 反应腔室清洗方法
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
TW201430996A (zh) * 2012-11-12 2014-08-01 Greene Tweed & Co Inc 用於在供基板處理之一真空腔室內之一環形組件的機械式夾具總成
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
JP5507654B2 (ja) * 2012-11-30 2014-05-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9982343B2 (en) * 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
CN103915306B (zh) * 2012-12-31 2016-04-20 北京北方微电子基地设备工艺研究中心有限责任公司 微电子工艺处理设备和用于其的反应腔室
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
WO2014123667A1 (en) * 2013-02-06 2014-08-14 Applied Materials, Inc. Gas injection apparatus and substrate process chamber incorporating same
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9685316B2 (en) * 2013-02-25 2017-06-20 United Microelectronics Corp. Semiconductor process
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140262031A1 (en) * 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
WO2014149883A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Chamber design for semiconductor processing
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
JP6368773B2 (ja) * 2013-04-30 2018-08-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的に分散されたガス流路を有する流量制御ライナー
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
WO2015020792A1 (en) 2013-08-09 2015-02-12 Applied Materials, Inc. Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150083042A1 (en) * 2013-09-26 2015-03-26 Applied Materials, Inc. Rotatable substrate support having radio frequency applicator
US9472416B2 (en) * 2013-10-21 2016-10-18 Applied Materials, Inc. Methods of surface interface engineering
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
TW201522696A (zh) 2013-11-01 2015-06-16 Applied Materials Inc 使用遠端電漿cvd技術的低溫氮化矽膜
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
DE102013020106A1 (de) * 2013-12-06 2015-06-11 Oliver Feddersen-Clausen Reaktionskammer insbesondere für Atomic Laver Deposition
CN103695839B (zh) * 2013-12-07 2016-05-18 深圳市金凯新瑞光电有限公司 一种应用在镀膜设备中的离子源清洗装置
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
WO2015112470A1 (en) * 2014-01-21 2015-07-30 Applied Materials, Inc. Thin film encapsulation processing system and process kit permitting low-pressure tool replacement
JP2015138931A (ja) * 2014-01-24 2015-07-30 株式会社日立ハイテクノロジーズ 真空処理装置および真空処理方法
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9824865B2 (en) * 2014-03-05 2017-11-21 Lam Research Corporation Waferless clean in dielectric etch process
US9673092B2 (en) * 2014-03-06 2017-06-06 Asm Ip Holding B.V. Film forming apparatus, and method of manufacturing semiconductor device
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9368370B2 (en) * 2014-03-14 2016-06-14 Applied Materials, Inc. Temperature ramping using gas distribution plate heat
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
JP5941491B2 (ja) * 2014-03-26 2016-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びにプログラム
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9380694B2 (en) * 2014-04-17 2016-06-28 Millenium Synthfuels Corporation Plasma torch having an externally adjustable anode and cathode
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
WO2015196149A1 (en) * 2014-06-20 2015-12-23 Velo3D, Inc. Apparatuses, systems and methods for three-dimensional printing
US11302520B2 (en) 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US20160032451A1 (en) * 2014-07-29 2016-02-04 Applied Materials, Inc. Remote plasma clean source feed between backing plate and diffuser
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US20160033070A1 (en) * 2014-08-01 2016-02-04 Applied Materials, Inc. Recursive pumping member
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9558928B2 (en) 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9653320B2 (en) 2014-09-12 2017-05-16 Applied Materials, Inc. Methods for etching a hardmask layer for an interconnection structure for semiconductor applications
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9359679B2 (en) 2014-10-03 2016-06-07 Applied Materials, Inc. Methods for cyclically etching a metal layer for an interconnection structure for semiconductor applications
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
US9368369B2 (en) 2014-11-06 2016-06-14 Applied Materials, Inc. Methods for forming a self-aligned contact via selective lateral etch
US9520302B2 (en) 2014-11-07 2016-12-13 Applied Materials, Inc. Methods for controlling Fin recess loading
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102438139B1 (ko) * 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10199230B2 (en) * 2015-05-01 2019-02-05 Applied Materials, Inc. Methods for selective deposition of metal silicides via atomic layer deposition cycles
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9595452B2 (en) 2015-05-27 2017-03-14 Lam Research Corporation Residue free oxide etch
US10053774B2 (en) * 2015-06-12 2018-08-21 Asm Ip Holding B.V. Reactor system for sublimation of pre-clean byproducts and method thereof
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10008366B2 (en) 2015-09-08 2018-06-26 Applied Materials, Inc. Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
US10322384B2 (en) * 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
JP6333232B2 (ja) * 2015-12-02 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
CN108352317A (zh) * 2016-02-05 2018-07-31 应用材料公司 具有多重类型腔室的积层蚀刻系统
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN109314034B (zh) * 2016-06-15 2021-11-16 瑞士艾发科技 真空处理室及制造真空处理的板形基底的方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
KR102202946B1 (ko) * 2016-08-18 2021-01-15 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 플라즈마 챔버용 분리 그리드
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
JP6827287B2 (ja) 2016-09-28 2021-02-10 株式会社日立ハイテク プラズマ処理装置の運転方法
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP6820717B2 (ja) 2016-10-28 2021-01-27 株式会社日立ハイテク プラズマ処理装置
US20180122670A1 (en) * 2016-11-01 2018-05-03 Varian Semiconductor Equipment Associates, Inc. Removable substrate plane structure ring
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10504720B2 (en) * 2016-11-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Etching using chamber with top plate formed of non-oxygen containing material
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR102587615B1 (ko) * 2016-12-21 2023-10-11 삼성전자주식회사 플라즈마 처리 장치의 온도 조절기 및 이를 포함하는 플라즈마 처리 장치
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR101850895B1 (ko) * 2017-01-03 2018-04-20 한국표준과학연구원 플라즈마 발생 장치
US10629416B2 (en) * 2017-01-23 2020-04-21 Infineon Technologies Ag Wafer chuck and processing arrangement
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10249532B2 (en) 2017-02-27 2019-04-02 International Business Machines Corporation Modulating the microstructure of metallic interconnect structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR102431354B1 (ko) 2017-07-11 2022-08-11 삼성디스플레이 주식회사 화학기상 증착장치 및 이를 이용한 표시 장치의 제조 방법
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10190216B1 (en) * 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) * 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6772117B2 (ja) 2017-08-23 2020-10-21 株式会社日立ハイテク エッチング方法およびエッチング装置
CN109427647B (zh) * 2017-09-04 2021-04-20 联华电子股份有限公司 隔离结构的制作方法
US10907252B2 (en) * 2017-10-23 2021-02-02 Applied Materials, Inc. Horizontal heat choke faceplate design
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
CN107937886A (zh) * 2017-11-14 2018-04-20 武汉华星光电半导体显示技术有限公司 化学气相沉积设备及成膜方法
JP6890085B2 (ja) * 2017-11-30 2021-06-18 東京エレクトロン株式会社 基板処理装置
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10766057B2 (en) * 2017-12-28 2020-09-08 Micron Technology, Inc. Components and systems for cleaning a tool for forming a semiconductor device, and related methods
US10410854B2 (en) * 2017-12-28 2019-09-10 Globalfoundries Singapore Pte. Ltd. Method and device for reducing contamination for reliable bond pads
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
JP7066438B2 (ja) * 2018-02-13 2022-05-13 東京エレクトロン株式会社 冷却システム
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11515130B2 (en) * 2018-03-05 2022-11-29 Applied Materials, Inc. Fast response pedestal assembly for selective preclean
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
WO2019203975A1 (en) * 2018-04-17 2019-10-24 Applied Materials, Inc Heated ceramic faceplate
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
US20190385828A1 (en) * 2018-06-19 2019-12-19 Lam Research Corporation Temperature control systems and methods for removing metal oxide films
KR102436079B1 (ko) * 2018-06-20 2022-08-25 가부시키가이샤 아루박 진공 처리장치, 지지 샤프트
EP3588533A1 (en) * 2018-06-21 2020-01-01 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and method of operating the same
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11637022B2 (en) 2018-07-09 2023-04-25 Lam Research Corporation Electron excitation atomic layer etch
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
JP7110020B2 (ja) * 2018-07-24 2022-08-01 キオクシア株式会社 基板支持装置およびプラズマ処理装置
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
JP6966402B2 (ja) * 2018-09-11 2021-11-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および基板処理装置の電極
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
SG11202101349SA (en) * 2018-09-26 2021-04-29 Applied Materials Inc Gas distribution assemblies and operation thereof
CN112639164B (zh) 2018-09-28 2023-10-10 应用材料公司 具有动态调平的同轴升降装置
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102386210B1 (ko) * 2018-10-15 2022-04-12 세메스 주식회사 가열 플레이트 냉각 방법과 기판 처리 장치 및 방법
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109600898B (zh) * 2018-12-13 2020-04-17 大连理工大学 一种喷淋式电极及放电系统
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2020123672A (ja) 2019-01-30 2020-08-13 東京エレクトロン株式会社 基板処理装置の制御方法、基板処理装置及びクラスタシステム
KR102445181B1 (ko) 2019-02-01 2022-09-20 주식회사 히타치하이테크 에칭 방법 및 플라스마 처리 장치
CN112119485B (zh) 2019-04-22 2024-01-02 株式会社日立高新技术 等离子处理方法
TWI833954B (zh) * 2019-05-28 2024-03-01 美商應用材料股份有限公司 用於改善處理腔室中的流動控制的設備
KR102628919B1 (ko) * 2019-05-29 2024-01-24 주식회사 원익아이피에스 기판처리장치 및 이를 이용한 기판처리방법
KR20210005515A (ko) * 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
WO2021021518A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Semiconductor processing chamber and methods for cleaning the same
US10692730B1 (en) 2019-08-30 2020-06-23 Mattson Technology, Inc. Silicon oxide selective dry etch process
US10957512B1 (en) * 2019-09-25 2021-03-23 Applied Materials, Inc. Method and device for a carrier proximity mask
US10991547B2 (en) 2019-09-25 2021-04-27 Applied Materials, Inc. Method and device for a carrier proximity mask
TWI721578B (zh) * 2019-09-27 2021-03-11 聚昌科技股份有限公司 快速更換產線之模組化電漿反應腔室結構
US11236424B2 (en) 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
TW202125573A (zh) * 2019-11-16 2021-07-01 美商應用材料股份有限公司 具有嵌入式螺帽的噴淋頭
US20210175103A1 (en) * 2019-12-06 2021-06-10 Applied Materials, Inc. In situ failure detection in semiconductor processing chambers
KR102274459B1 (ko) * 2019-12-27 2021-07-07 한국기계연구원 플라즈마 세정장치 및 이를 구비한 반도체 공정설비
US11830725B2 (en) 2020-01-23 2023-11-28 Applied Materials, Inc. Method of cleaning a structure and method of depositing a capping layer in a structure
WO2021162932A1 (en) * 2020-02-10 2021-08-19 Applied Materials, Inc. Methods and apparatus for improving flow uniformity in a process chamber
CN111312583B (zh) * 2020-04-01 2022-04-29 山东职业学院 一种制备半导体硅芯片的生产工艺
US11854839B2 (en) 2020-04-15 2023-12-26 Mks Instruments, Inc. Valve apparatuses and related methods for reactive process gas isolation and facilitating purge during isolation
US20210335586A1 (en) * 2020-04-22 2021-10-28 Applied Materials, Inc. Methods and apparatus for cleaning a showerhead
US20230167552A1 (en) * 2020-04-28 2023-06-01 Lam Research Corporation Showerhead designs for controlling deposition on wafer bevel/edge
JP7110492B2 (ja) 2020-06-16 2022-08-01 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
WO2022040165A1 (en) * 2020-08-18 2022-02-24 Mattson Technology, Inc. Rapid thermal processing system with cooling system
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
US20220195617A1 (en) * 2020-12-22 2022-06-23 Applied Materials, Inc. Multi-layer epi chamber body
JP7312160B2 (ja) * 2020-12-28 2023-07-20 株式会社アルバック エッチング装置及びエッチング方法
CN112813415A (zh) * 2020-12-31 2021-05-18 拓荆科技股份有限公司 腔体内的清洁方法
CN115142046B (zh) * 2021-03-31 2024-03-12 中微半导体设备(上海)股份有限公司 基片承载组件、化学气相沉积设备及吹扫方法
US11781212B2 (en) * 2021-04-07 2023-10-10 Applied Material, Inc. Overlap susceptor and preheat ring
US11851758B2 (en) * 2021-04-20 2023-12-26 Applied Materials, Inc. Fabrication of a high temperature showerhead
US20230009692A1 (en) * 2021-07-07 2023-01-12 Applied Materials, Inc Coated substrate support assembly for substrate processing

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002043116A2 (en) * 2000-11-01 2002-05-30 Applied Materials, Inc. Etching of high aspect ratio features in a substrate
JP2002222861A (ja) * 2000-11-24 2002-08-09 Samsung Electronics Co Ltd プラズマ前処理モジュールを具備した装置における半導体素子の製造方法
JP2003318158A (ja) * 2002-04-19 2003-11-07 Nordson Corp プラズマ処理システム
JP2003347278A (ja) * 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法

Family Cites Families (374)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) * 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3756511A (en) * 1971-02-02 1973-09-04 Kogyo Kaihatsu Kenyusho Nozzle and torch for plasma jet
US4232060A (en) * 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4397812A (en) * 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US3937857A (en) * 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4006047A (en) * 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US4230515A (en) * 1978-07-27 1980-10-28 Davis & Wilder, Inc. Plasma etching apparatus
US4265943A (en) * 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) * 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4361441A (en) * 1979-04-17 1982-11-30 Plasma Holdings N.V. Treatment of matter in low temperature plasmas
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (it) * 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4405435A (en) * 1980-08-27 1983-09-20 Hitachi, Ltd. Apparatus for performing continuous treatment in vacuum
US4368223A (en) * 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (ja) * 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
JPS63204726A (ja) * 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
EP0286306B1 (en) * 1987-04-03 1993-10-06 Fujitsu Limited Method and apparatus for vapor deposition of diamond
US4753898A (en) * 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
US4810520A (en) * 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
WO1989003587A1 (en) * 1987-10-14 1989-04-20 The Furukawa Electric Co., Ltd. Method and apparatus for thin film formation by plasma cvd
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US5015331A (en) * 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
JPH02121330A (ja) 1988-10-31 1990-05-09 Hitachi Ltd プラズマ処理方法及び装置
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
US5030319A (en) * 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5000319A (en) * 1989-06-02 1991-03-19 Leon Mermelstein Negative storage page with lock-in flaps
US4994404A (en) * 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
DE69111493T2 (de) 1990-03-12 1996-03-21 Ngk Insulators Ltd Wafer-Heizgeräte für Apparate, zur Halbleiterherstellung Heizanlage mit diesen Heizgeräten und Herstellung von Heizgeräten.
US4971653A (en) * 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5147692A (en) * 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5235139A (en) * 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
US5578130A (en) * 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
JP2787142B2 (ja) * 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
US5203911A (en) * 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5240497A (en) * 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5380560A (en) * 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5382311A (en) * 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5500249A (en) * 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
DE69432383D1 (de) * 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) * 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
EP0637063B1 (en) 1993-07-30 1999-11-03 Applied Materials, Inc. Method for depositing silicon nitride on silicium surfaces
US5468597A (en) * 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5384284A (en) * 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (sv) 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JPH07193214A (ja) 1993-12-27 1995-07-28 Mitsubishi Electric Corp バイアホール及びその形成方法
US5415890A (en) * 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5531835A (en) * 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5767373A (en) * 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW434745B (en) * 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
US5716485A (en) * 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
JP2814370B2 (ja) * 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6197364B1 (en) * 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
WO1997009737A1 (en) * 1995-09-01 1997-03-13 Advanced Semiconductor Materials America, Inc. Wafer support system
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
US5910340A (en) * 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) * 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) * 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5733816A (en) * 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) * 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
DE69608669T2 (de) * 1995-12-19 2001-03-01 Fsi International Chaska Stromloses aufbringen von metallfilmen mit sprayprozessor
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5891513A (en) * 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
JP2000508844A (ja) 1996-03-25 2000-07-11 エス ジョージ レジンスキー 埋め込み可能な補聴器マイクロアクチュエータの取付け装置
US6048798A (en) * 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5846373A (en) * 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5917285A (en) * 1996-07-24 1999-06-29 Georgia Tech Research Corporation Apparatus and method for reducing operating voltage in gas discharge devices
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5747373A (en) * 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5904827A (en) * 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5951776A (en) * 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
JPH10154699A (ja) 1996-11-25 1998-06-09 Anelva Corp リモートプラズマ型プラズマ処理装置
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
DE19700231C2 (de) * 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5885749A (en) * 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) * 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6518155B1 (en) * 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6086688A (en) * 1997-07-28 2000-07-11 Alcan International Ltd. Cast metal-matrix composite material and its use
US6364957B1 (en) * 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
JP3874911B2 (ja) 1997-10-15 2007-01-31 株式会社Neomaxマテリアル 微小プラスチック球へのめっき方法
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6077780A (en) * 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
JPH11204442A (ja) 1998-01-12 1999-07-30 Tokyo Electron Ltd 枚葉式の熱処理装置
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5932077A (en) * 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
KR20010042419A (ko) * 1998-04-02 2001-05-25 조셉 제이. 스위니 낮은 k 유전체를 에칭하는 방법
US6117245A (en) * 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6113771A (en) * 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6179924B1 (en) * 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
DE69929607T2 (de) 1998-06-30 2006-07-27 Semitool, Inc., Kalispell Metallisierungsstrukturen für mikroelektronische anwendungen und verfahren zur herstellung dieser strukturen
US6248429B1 (en) * 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
JP2000026975A (ja) * 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) * 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
KR100271770B1 (ko) * 1998-09-03 2001-02-01 윤종용 반도체장치 제조를 위한 플라즈마 공정챔버
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6180523B1 (en) * 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) * 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
JP4124543B2 (ja) 1998-11-11 2008-07-23 東京エレクトロン株式会社 表面処理方法及びその装置
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6228233B1 (en) * 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6251236B1 (en) * 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6015747A (en) * 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) * 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6010962A (en) * 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) * 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
JP4236329B2 (ja) * 1999-04-15 2009-03-11 日本碍子株式会社 プラズマ処理装置
US6110836A (en) * 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
US6464795B1 (en) * 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
US20020033233A1 (en) * 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6174812B1 (en) * 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6110530A (en) * 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6258223B1 (en) * 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6516815B1 (en) * 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
JP4057198B2 (ja) 1999-08-13 2008-03-05 東京エレクトロン株式会社 処理装置及び処理方法
US6375748B1 (en) * 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) * 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6432819B1 (en) * 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
DE29919142U1 (de) * 1999-10-30 2001-03-08 Agrodyn Hochspannungstechnik G Plasmadüse
US6551924B1 (en) * 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
KR20010051575A (ko) 1999-11-09 2001-06-25 조셉 제이. 스위니 살리사이드 처리를 위한 화학적 플라즈마 세정
TW484170B (en) * 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
US6596085B1 (en) * 2000-02-01 2003-07-22 Applied Materials, Inc. Methods and apparatus for improved vaporization of deposition material in a substrate processing system
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6350320B1 (en) * 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6958098B2 (en) * 2000-02-28 2005-10-25 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP2001355074A (ja) * 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
JP2001308023A (ja) * 2000-04-21 2001-11-02 Tokyo Electron Ltd 熱処理装置及び方法
US6458718B1 (en) * 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6729081B2 (en) 2000-06-09 2004-05-04 United Solar Systems Corporation Self-adhesive photovoltaic module
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6491978B1 (en) * 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (ko) * 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6436267B1 (en) * 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP2002100578A (ja) 2000-09-25 2002-04-05 Crystage Co Ltd 薄膜形成装置
KR100887014B1 (ko) * 2000-11-01 2009-03-04 어플라이드 머티어리얼스, 인코포레이티드 확대된 프로세스 윈도우를 갖는 유전체 에칭 챔버
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
AUPR179500A0 (en) * 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6291348B1 (en) * 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) * 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
US6849854B2 (en) * 2001-01-18 2005-02-01 Saintech Pty Ltd. Ion source
US6743732B1 (en) * 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
KR101004199B1 (ko) * 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
JP4260404B2 (ja) * 2001-02-09 2009-04-30 東京エレクトロン株式会社 成膜装置
US6893969B2 (en) * 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP3924483B2 (ja) * 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
JP3707394B2 (ja) 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
KR100687531B1 (ko) * 2001-05-09 2007-02-27 에이에스엠 저펜 가부시기가이샤 반도체 장치용 저유전상수 절연막의 형성방법
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
JP4720019B2 (ja) * 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
US6717189B2 (en) * 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US6573606B2 (en) * 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
DE10296935T5 (de) * 2001-06-14 2004-04-22 Mattson Technology Inc., Fremont Barrierenverstärkungsprozess für Kupferdurchkontaktierungen(oder Zwischenverbindungen)
JP2003019433A (ja) 2001-07-06 2003-01-21 Sekisui Chem Co Ltd 放電プラズマ処理装置及びそれを用いた処理方法
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US7138649B2 (en) 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
KR20040018558A (ko) * 2001-08-13 2004-03-03 가부시키 가이샤 에바라 세이사꾸쇼 반도체장치와 그 제조방법 및 도금액
JP2003059914A (ja) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
WO2003018867A1 (en) 2001-08-29 2003-03-06 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US20030047282A1 (en) * 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
AU2002301252B2 (en) 2001-10-12 2007-12-20 Bayer Aktiengesellschaft Photovoltaic modules with a thermoplastic hot-melt adhesive layer and a process for their production
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
JP3954833B2 (ja) 2001-10-19 2007-08-08 株式会社アルバック バッチ式真空処理装置
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
AU2002353145A1 (en) 2001-12-13 2003-06-30 Applied Materials, Inc. Self-aligned contact etch with high sensitivity to nitride shoulder
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) * 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
US6821379B2 (en) * 2001-12-21 2004-11-23 The Procter & Gamble Company Portable apparatus and method for treating a workpiece
US20030116439A1 (en) * 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP2003217898A (ja) 2002-01-16 2003-07-31 Sekisui Chem Co Ltd 放電プラズマ処理装置
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6806653B2 (en) * 2002-01-31 2004-10-19 Tokyo Electron Limited Method and structure to segment RF coupling to silicon electrode
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7256370B2 (en) * 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6913651B2 (en) * 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6616967B1 (en) * 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US20040072446A1 (en) * 2002-07-02 2004-04-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) * 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
DE10392996T5 (de) * 2002-08-08 2005-07-21 Trikon Technologies Limited, Newport Verbesserungen für Duschköpfe
US20040033677A1 (en) * 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
KR100500852B1 (ko) 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4606713B2 (ja) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6699380B1 (en) * 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US6713873B1 (en) * 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
JP3838969B2 (ja) 2002-12-17 2006-10-25 沖電気工業株式会社 ドライエッチング方法
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
WO2004081982A2 (en) 2003-03-07 2004-09-23 Amberwave Systems Corporation Shallow trench isolation process
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
US20050022735A1 (en) * 2003-07-31 2005-02-03 General Electric Company Delivery system for PECVD powered electrode
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7282244B2 (en) * 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
KR101109299B1 (ko) 2003-10-06 2012-01-31 어플라이드 머티어리얼스, 인코포레이티드 페이스-업 습식 프로세싱을 위해 웨이퍼 온도 균일성을강화시키는 장치
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) * 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7407893B2 (en) 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
JP2007537602A (ja) 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US7122949B2 (en) * 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US7217626B2 (en) * 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
KR100593740B1 (ko) 2004-09-16 2006-06-28 삼성전자주식회사 반도체 자연산화막 제거방법
KR20070087196A (ko) * 2004-12-21 2007-08-27 어플라이드 머티어리얼스, 인코포레이티드 화학 기상 에칭 챔버로부터 부산물 증착을 제거하기 위한인-시튜 챔버 세정 방법
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US20060252252A1 (en) 2005-03-18 2006-11-09 Zhize Zhu Electroless deposition processes and compositions for forming interconnects
US7659203B2 (en) 2005-03-18 2010-02-09 Applied Materials, Inc. Electroless deposition process on a silicon contact
US20070071888A1 (en) * 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
US20070087573A1 (en) 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
CN101999022A (zh) 2007-12-04 2011-03-30 帕勒拜尔股份公司 多层的太阳能元件
JP2009170890A (ja) 2007-12-18 2009-07-30 Takashima & Co Ltd 可撓性膜状太陽電池複層体

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002043116A2 (en) * 2000-11-01 2002-05-30 Applied Materials, Inc. Etching of high aspect ratio features in a substrate
JP2002222861A (ja) * 2000-11-24 2002-08-09 Samsung Electronics Co Ltd プラズマ前処理モジュールを具備した装置における半導体素子の製造方法
JP2003318158A (ja) * 2002-04-19 2003-11-07 Nordson Corp プラズマ処理システム
JP2003347278A (ja) * 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2015011829A1 (ja) * 2013-07-26 2017-03-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP2019096869A (ja) * 2017-10-24 2019-06-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマフィルタリングのためのシステム及び処理

Also Published As

Publication number Publication date
EP2787099A2 (en) 2014-10-08
US20140076234A1 (en) 2014-03-20
KR101228996B1 (ko) 2013-02-04
TWI402371B (zh) 2013-07-21
KR20080110967A (ko) 2008-12-22
CN101916715B (zh) 2012-11-28
CN1681079A (zh) 2005-10-12
KR20110110748A (ko) 2011-10-07
US20120267346A1 (en) 2012-10-25
US7520957B2 (en) 2009-04-21
CN101241844B (zh) 2010-09-22
KR101148431B1 (ko) 2012-05-23
US20050205110A1 (en) 2005-09-22
TWI421370B (zh) 2014-01-01
US20050218507A1 (en) 2005-10-06
CN101916740B (zh) 2013-01-02
TW200930829A (en) 2009-07-16
TWI330669B (en) 2010-09-21
US20120244704A1 (en) 2012-09-27
US20090111280A1 (en) 2009-04-30
US8846163B2 (en) 2014-09-30
CN100487857C (zh) 2009-05-13
US20200006054A1 (en) 2020-01-02
KR20060042255A (ko) 2006-05-12
US7767024B2 (en) 2010-08-03
KR20080111424A (ko) 2008-12-23
TW200934887A (en) 2009-08-16
EP1568797B1 (en) 2014-07-23
US10593539B2 (en) 2020-03-17
US20080268645A1 (en) 2008-10-30
KR101192099B1 (ko) 2012-10-17
JP2011205154A (ja) 2011-10-13
JP5028536B2 (ja) 2012-09-19
US20050221552A1 (en) 2005-10-06
US20210225640A1 (en) 2021-07-22
KR101107919B1 (ko) 2012-01-25
KR101324651B1 (ko) 2013-11-01
KR20110110746A (ko) 2011-10-07
EP1568797A2 (en) 2005-08-31
TWI386517B (zh) 2013-02-21
US20090095334A1 (en) 2009-04-16
KR20080110566A (ko) 2008-12-18
TW200923126A (en) 2009-06-01
US20090095621A1 (en) 2009-04-16
JP2005244244A (ja) 2005-09-08
JP4960598B2 (ja) 2012-06-27
CN101241844A (zh) 2008-08-13
US20050230350A1 (en) 2005-10-20
TWI393800B (zh) 2013-04-21
EP2787099A3 (en) 2014-12-03
US8343307B2 (en) 2013-01-01
TW201102455A (en) 2011-01-16
US7396480B2 (en) 2008-07-08
CN101916715A (zh) 2010-12-15
CN101916740A (zh) 2010-12-15
TW200533777A (en) 2005-10-16
JP5250668B2 (ja) 2013-07-31
US20110223755A1 (en) 2011-09-15
EP1568797A3 (en) 2006-10-04
KR101234740B1 (ko) 2013-02-19

Similar Documents

Publication Publication Date Title
JP5028536B2 (ja) 半導体処理のためのリッドアセンブリ
JP5698719B2 (ja) 化学蒸着エッチングチャンバから副生成物の堆積物を除去するインサイチュチャンバ洗浄プロセス
US20060051966A1 (en) In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
KR20070087196A (ko) 화학 기상 에칭 챔버로부터 부산물 증착을 제거하기 위한인-시튜 챔버 세정 방법
KR101248182B1 (ko) Feol 제조를 위한 인시튜 세정 챔버

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110801

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110801

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20110801

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20110824

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110901

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111201

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120216

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120516

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120531

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121001

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121109

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20121114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130305

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130327

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130415

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5250668

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160419

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250