KR100887014B1 - 확대된 프로세스 윈도우를 갖는 유전체 에칭 챔버 - Google Patents

확대된 프로세스 윈도우를 갖는 유전체 에칭 챔버 Download PDF

Info

Publication number
KR100887014B1
KR100887014B1 KR1020027008559A KR20027008559A KR100887014B1 KR 100887014 B1 KR100887014 B1 KR 100887014B1 KR 1020027008559 A KR1020027008559 A KR 1020027008559A KR 20027008559 A KR20027008559 A KR 20027008559A KR 100887014 B1 KR100887014 B1 KR 100887014B1
Authority
KR
South Korea
Prior art keywords
gas
chamber
plasma
liner
processing region
Prior art date
Application number
KR1020027008559A
Other languages
English (en)
Other versions
KR20020081240A (ko
Inventor
제임스 디. 카두치
하미드 누어바크쉬
에반스 와이. 리
브라이언 와이. 푸
홍킹 샨
클라에스 브조르크만
시아마크 살리미안
폴 이. 루셔
마이클 디. 웰치
징바오 류
타케히코 고마츠
케니 엘. 돈
멜로디 창
쥬슈 왕
윤상 김
뤼핑 왕
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/704,867 external-priority patent/US6403491B1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20020081240A publication Critical patent/KR20020081240A/ko
Application granted granted Critical
Publication of KR100887014B1 publication Critical patent/KR100887014B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

확대된 프로세싱 창을 구비한, 열적으로 제어되는 챔버 라이너, 열적으로 분화된 가스 입구들, 높은 배기 성능, 자기적인 제한 및 표면 텍스쳐들을 개선하는 접착을 갖는 열적으로 제어되는 플라즈마 에칭 챔버가 제공된다. 구성된 챔버는 표면에의 부산물 접착을 개선하기 위한 하나 또는 여러 표면 텍스쳐링 처리들에 따른 조건을 갖는 내부 벽 표면들을 가질 수 있다. 상기 확대된 흐름 성능은 증가된 프로세스 윈도우과 선택도에 대해 짧은 잔류 시간을 가능하게 한다. 본 발명에 따라 구성된 챔버 라이너는 적어도 부분적으로 그 안에 형성된 하나 또는 그 이상의 유체 통로를 통하여 유체를 흐르게 하고, 챔버 라이너는 제 1 라이너, 제 2 라이너 또는 제 1 및 제 2 라이너 모두를 포함할 수 있다. 다수의 가스 노즐들 또는 작은 열 질량을 갖고 온도 제어 라이너 또는 라이너들로부터 열적으로 분화된 미량 분배 판들이 상기 프로세싱 챔버 내로 프로세스 가스들을 도입하기 위하여 제공된다. 플라즈마와 부산물들이 높은 볼륨 펌핑 채널 또는 배출 시스템 부품들에 들어가는 것을 막는 플라즈마 제한 시스템이 제공된다. 기판 지지부는 미리 결정된 고유 저항을 갖는 재료로 형성된 정전기 척, 이중 구역 후면 냉각, 및 강인한 전극을 포함한다. 상기 확대된 프로세스 윈도우는 개선된 가스 종류 잔류 시간 제어를 갖고, 증가된 RF 전력 레벨들 및 증가된 자기장 세기를 갖는 높은 흐름 낮은 압력 가스 흐름 작동 체제를 가능하게 한다.

Description

확대된 프로세스 윈도우를 갖는 유전체 에칭 챔버{DIELECTRIC ETCH CHAMBER WITH EXPANDED PROCESS WINDOW}
본 발명은 전반적으로 반도체 웨이퍼 프로세싱 장치에 관한 것이다. 보다 명확하게는, 본 발명은 개선된 열적 성능과 부산물 취급 성능, 개선된 가스 종들의 잔류 시간(gaseous species residence time) 제어, 및 높은 유량(flow rate) 및 낮은 작동 압력을 갖는 유전체 에칭 프로세싱 챔버에 관한 것이다.
모든 유형들의 반도체 프로세싱이 직면하는 과제는 급격히 축소되는 선폭(critical dimensions)을 야기하는 피쳐 크기의 감소를 향한 광범위한 산업적 진보에 있다. 현재의 설계 방식은 약 0.18 마이크론(micron)보다 작은 피쳐 크기들을 갖고 약 0.1 마이크론보다 작은 피쳐 크기들이 개발되고 있다.
반도체 프로세싱에 직면하는 또 다른 과제는 보다 작은 풋프린트(footprint) 소자들을 지향하는 경향에 있다. 더 작은 소자 풋프린트를 달성하기 위한 하나의 접근법은 소자 구조물을 수직으로 세우고, 일부 소자들에서는 기판 자체에 소자의 일부를 제조하는 것이다.
이러한 과제들은 높은 애스펙트비 구조물들과 0.1-이하(sub) 마이크론 범위에 접근하는 선폭들을 갖는 구조물들을 제조할 수 있는 프로세싱 응용들을 필요로 한다.
이러한 과제들 관점에서, 전자 소자를 제조하기 위해 사용되는 무수한 프로세싱 시퀀스 동안 미립자 오염을 최소화하는 것은 매우 중요하다. 프로세싱 환경에서 존재 및/또는 생성될 수 있는 미립자들을 감소 및 관리를 보조하도록 챔버 부품들이 선택되고 프로세스들은 환원 분위기(reduced atmosphere)에서 수행된다. 웨이퍼 프로세싱 동안 프로세스 챔버 내에서 형성되는 막들의 관리가 특히 중요하다.
프로세스 챔버 내에 증착되는 막들은 프로세스 챔버 내에서 발견되는 전체 미립자 농도에 주요한 제공자이다. 다양한 반도체 프로세싱에 적용되는 동안 통상적으로 막들은 노출된 챔버 및 프로세스 키트 부품 상에 형성된다.
예를 들면, 유전체 에칭 프로세스 동안, 에천트(etchant)에 노출된 층으로부터 제거된 소정의 물질은 프로세싱 챔버로부터 배출된다. 그러나, 임의의 에칭 반응 부산물들은 노출된 챔버 표면들과 에칭된 구조물의 표면들 증착물들을 형성한다.
챔버 표면들 상의 증착물들은 프로세스 주기가 반복되고 부가적인 웨이퍼들이 처리됨에 따라 그 두께가 증가한다. 증착물 두께가 증가할수록, 증착물과 연관된 내부 응력들도 역시 증가한다. 부가적인 응력들은 증착물과 챔버 표면들 사이의 열 팽창 속도의 차이로 인해 이러한 증착물들 내에 생성된다. 종래의 에칭 챔버는 축적된 증착물들과 챔버 부품들 사이에 열적으로 유도된 응력들을 감소시키기 위한 적절한 열 취급 기술이 부족하다. 결국, 응력들은 증착물들이 파쇄되게 하고, 결과적으로 챔버 환경 내로 미립자들이 방출한다. 이러한 막 미립자들은 웨이퍼 표면에 충돌할 수 있고, 대개는 웨이퍼 상의 회로 구조물에 결함을 생성한다.
에칭 구조물 상에서 증착물 형성 제어는 또한 중요한 프로세스 고려사항이다. 예를 들면, 높은 애스펙트비 유전체 에칭 프로세스들에서, 에칭된 피쳐의 깊이가 증가할수록, 측벽 프로파일 제어를 유지하는 것을 돕기 위해 얇은 측벽층 또는 패시베이션(passivation)층의 형성이 요구된다. 그러나, 피쳐 크기들이 감소할수록, 측벽 프로파일 제어는 종래의 플라즈마 에칭 챔버들을 사용하여서는 점점 더 어려워지고 더욱 불가능해진다. 선폭들을 감소시키는 것은 종래의 에칭 챔버들에 의해 제공되지 않는 에칭 프로세스 화학 변수들의 확장된 범위의 더욱 미세한 제어를 요구한다.
그러므로, 진보된 피쳐 유전체 에칭 프로세스들을 가능케 하는 프로세스 변수 제어가 개선된, 확대된 프로세싱 성능들에 제공하는 성능을 갖는 유전체 에칭 프로세싱 장치가 요구된다.
종래 기술의 에칭 챔버들과 관련된 문제점들 및 개선된 유전체 에칭 프로세스들에 의해 제기된 과제들은 확대된 프로세스 윈도우 및 개선된 부산물 관리 성능을 갖는 열적으로 제어되는 플라즈마 에칭 챔버의 본 발명의 실시예에 의해 극복된다. 본 발명의 프로세스 챔버는 일반적으로 용량성 결합된 플라즈마 소스 챔버이고, 더욱 명확하게는 RIE 모드와 MERIE 모드에서 동작하는 용량성 결합된 챔버이다.
본 발명에 따른 장치의 실시예는 대기압 이하 압력에서 플라즈마 에칭 프로세싱 기판들에 대해 열적으로 제어되는 반응기를 포함하고, 반응기는 가스 입구, 가스 출구 및 내부 표면을 갖는 진공 챔버; 내부 유체 통로를 갖고, 내부 표면에 인접하게 배치된 열적으로 제어되는 라이너; 및 진공 챔버 내에 배치된 열적으로 제어되는 기판 지지부를 포함하며, 가스 입구의 온도가 열적으로 제어되는 라이너의 온도와 다르다.
본 발명에 따른 장치의 또 다른 실시예는 대기압 이하 압력에서 플라즈마 에칭 프로세싱 기판들에 대해 열적으로 제어되는 반응기를 포함하고, 반응기는 가스 입구, 가스 출구 및 내부 표면을 갖는 진공 챔버; 내부에 인접하게 배치된 라이너; 진공 챔버 내에 배치된 열적으로 제어되는 기판 지지부; 및 적어도 분당 1,600 리터의 용량을 갖는 진공 펌프 시스템을 포함한다.
본 발명에 따른 장치의 또 다른 실시예는 대기압 이하 압력에서 플라즈마 에칭 프로세싱 기판들에 대해 열적으로 제어되는 반응기에 관한 것으로, 반응기는 프로세싱 볼륨 - 프로세싱 볼륨은 리드, 벽, 가스 입구 및 프로세싱 볼륨내에 배치되는 가스 출구를 포함하며, 벽은 내부 표면을 가짐 -을 포함하는 진공 챔버 ; 내부 유체 통로를 가지며 내부 표면에 인접하게 배치된 열적으로 제어되는 라이너; 및 다수의 온도 제어 구역을 가지며 프로세싱 볼륨 내에 배치되는 열적으로 제어되는 기판 지지부를 포함한다.
본 발명에 따른 장치의 또 다른 실시예는 열적으로 제어되는 플라즈마 프로세싱 챔버에 관한 것으로, 챔버는 챔버 내부를 포함하는 진공 챔버; 챔버 내부에 가스를 제공하는 가스 입구; 챔버 내부에서 가스의 일부를 플라즈마로 여기시키기 위해 진공 챔버와 결합된 플라즈마 여기 전력 소스; 챔버 내부를 배출 펌프에 결합시키고 챔버 내부와 배출 펌프 사이에 가스 흐름 경로를 제공하는 배출 채널; 챔버 내부 내에 배치된 기판 지지부; 일체식으로 형성된 유체 채널을 가지며 챔버 내부에 배치되는 열적으로 제어되는 라이너; 챔버 내부와 배출 펌프 사이의 가스 흐름에서 난류상태(turbulence)를 야기시키도록 배출 채널 내에 위치된 변류기; 및 변류기에 인접하게 배치된 자석 시스템을 포함한다.
본 발명에 따른 또 다른 실시예는 열적으로 제어되는 플라즈마 프로세싱 챔버에 관한 것으로서, 챔버는 챔버 내부를 포함하는 진공 챔버; 챔버 내부 로 가스를 제공하는 가스 입구; 챔버 내부에서 가스의 일부를 플라즈마로 여기시키기 위해 진공 챔버에 결합된 플라즈마 여기 전력 소스; 챔버 내부를 배출 펌프에 결합하고 챔버 내부와 배출 펌프 사이에 가스 흐름 경로를 제공하는 배출 채널 - 배출 채널은 챔버 내부에 결합된 입구 구멍(aperture), 진공 펌프와 연통하는 출구 구멍, 입구 구멍과 출구 구멍 사이에 배출 채널로 연장하는 돌출부를 포함하는 벽, 챔버 내부에 배치된 기판 지지부, 챔버 내부에 배치되며 일체식으로 형성된 유체 채널을 갖는 열적으로 제어되는 라이너, 및 챔버 내부와 배출 펌프 사이의 가스 흐름에서 난류상태를 야기시키기 위해 배출 채널 내에 위치된 변류기를 포함함- ; 및 변류기에 인접하게 배치된 자석 시스템을 포함한다.
본 발명에 따른 에칭 방법의 실시예는 열적으로 제어되는 플라즈마 에칭 챔버 내에 배치된 기판상의 산화물상에서 피쳐들을 플라즈마 에칭하는 방법으로서, 상기 방법은 열적으로 제어되는 플라즈마 에칭 챔버의 프로세싱 영역 내에 기판을 배치하는 단계; 열적으로 제어되는 플라즈마 에칭 챔버의 프로세싱 영역에 인접하게 배치된 벽의 온도를 제어하는 단계; 기판 지지부의 온도를 제어하는 단계; 프로세싱 영역의 압력을 유지하는 단계; 열적으로 분화된 노즐을 통하여 프로세싱 영역 내로 가스 조성물을 유입시키는 단계; 가스 조성물로부터 플라즈마를 형성하기 위해 프로세싱 영역에 RF 에너지를 결합시키는 단계; 및 프로세싱 영역과 연통하는 펌핑 채널을 횡단하는 자기장을 제공하는 단계를 포함한다.
본 발명에 따른 에칭 방법의 실시예는 자기적으로 강화된 열적으로 제어되는 플라즈마 에칭 챔버 내에 배치된 기판 상의 산화물층 상에서 피쳐들을 플라즈마 에칭하는 방법으로서, 상기 방법은 열적으로 제어되는 플라즈마 에칭 챔버의 프로세싱 영역 내에 기판을 배치하는 단계; 열적으로 제어되는 플라즈마 에칭 챔버의 프로세싱 영역에 인접하게 배치된 벽의 온도를 제어하는 단계; 기판 지지부의 온도를 제어하는 단계; 프로세싱 영역 내의 압력을 유지하는 단계; 열적으로 분화된 노즐을 통하여 프로세싱 영역 내로 가스 조성물을 유입시키는 단계; 가스 조성물로부터 플라즈마를 형성하기 위해 프로세싱 영역에 RF 에너지를 결합시키는 단계; 및 프로세싱 영역에 기판을 횡단하는 자기장을 제공하는 단계를 포함한다.
본 발명에 따른 에칭 방법의 또 다른 실시예는 열적으로 제어되는 플라즈마 에칭 챔버의 프로세싱 영역 내에 기판을 배치시키는 단계; 열적으로 제어되는 플라즈마 에칭 챔버의 프로세싱 영역에 인접하게 배치된 벽의 온도를 제어하는 단계; 기판 지지부의 온도를 제어하는 단계; 프로세싱 영역의 압력을 유지하는 단계; 프로세싱 영역으로 가스 조성물을 유입시키는 단계; 가스 혼합물로 플라즈마를 형성하기 위해 프로세싱 영역에 RF 에너지를 결합시키는 단계; 프로세싱 영역에 기판을 횡단하는 자기장을 제공하는 단계; 및 적어도 분당 1,600 리터의 속도로 챔버를 배기하는 단계를 포함한다.
본 발명의 가르침은 첨부된 도면과 관련된 하기 상세한 설명을 참작하여 쉽게 이해될 수 있다.
도 1은 평행 판 반도체 웨이퍼 프로세싱 시스템의 개략 단면도이다.
도 2는 본 발명에 따른 상부 라이너와 하부 라이너의 실시예를 도시하는 반도체 웨이퍼 프로세싱 시스템의 개략 단면도이다.
도 3A는 도 2의 제 1 라이너를 갖는 리드 어셈블리(lid assembly)의 평면도이다.
도 3B는 또 다른 리드 어셈블리의 평면도이다.
도 4는 도 3A 또는 도 3B 중 하나의 리드 어셈블리의 부분적인 확대 입면도(exploded elevation)이다.
도 5는 도 2의 제 2 라이너의 평면도이다.
도 6은 단면 선 5-5를 따라 취해진 도 5의 제 2 라이너의 단면도이다.
도 7A 내지 도 7F는 가스 입구의 다양한 실시예이다.
도 8은 도 2에 대응하는 천장 내부 표면의 평면도이다.
도 9는 가스 분무의 바람직한 와동 패턴을 제공하는 각을 이루는 가스 입구들을 갖는 본 발명의 개별 미량 가스(mini-gas) 분배의 평면도이다.
도 10은 도 9에 대응하는 단면 절단도이다.
도 11은 도 4에 대응하는 선택적인 분무 패턴을 도시한다.
도 12는 도 2에 대응하는 확대 절단 단면도이다.
도 13 및 도 14는 각각 정사각형의 돌출부들로 구성된 조직이 형성된 판의 상부도와 단면도이다.
도 15는 정사각형 함몰부들의 측면들이 비스듬한 각도로 형성된 도 14의 실시예의 대안의 단면도이다.
도 16 및 도 17은 각각 함몰부들이 반구형인 선택적인 실시예의 상부도와 단면도이다.
도 18 및 도 19는 각각 원통형 측면 벽 라이너 내의 일련의 둘레의 홈들로 구성된 조직의 투시도와 단면도이다.
도 20은 둘레의 홈들과 세로의 홈들 모두를 갖는 원통형 라이너의 투시도이 다.
도 21은 본 발명에 따른 환형 돌출부 내에 내장된 환형, U-형 자석 시스템을 갖는 배출 분기관을 갖는 플라즈마 에칭 챔버의 평면도이다.
도 22는 도 21 챔버의 자석 시스템 및 환형 돌출부들의 상세도이다.
도 23은 방사상으로 외부를 향하는 자극들을 갖는 환형, U-형의 자석 시스템의 투시도이다.
도 24는 도 23의 실시예에 대해 자석 시스템의 자석들과 자극편들이 상호교환된 자석 시스템의 투시도이다.
도 25는 방사상으로 내부를 향하는 자극들을 갖는 환형, U-형 자석 시스템의 투시도이다.
도 26은 도 25의 실시예에 대해 자석 시스템의 자석들과 자극편들이 상호교환된 자석 시스템의 투시도이다.
도 27은 본 발명에 따른 두 개의 환형 돌출부들 내에 각각 내장된 두 개의 환형 자석들을 갖는 배출 분기관의 상세 평면도이다.
도 28은 용량적으로 결합되고 자기적으로 강화된 반응성 이온 에칭(MERIE) 프로세싱 시스템 내의 본 발명의 선택적인 실시예의 부분적인 개략 단면도이다.
도 29는 평행 판 에칭 프로세싱 시스템 내의 본 발명의 선택적인 실시예의 부분적인 개략 단면도이다.
도 30은 회전 자기장에 의해 생성된 용량적으로 결합되고 자기적으로 강화된 반응성 이온 에칭(MERIE) 프로세싱 시스템 내의 본 발명의 선택적인 실시예의 부분적인 개략 단면도이다.
도 31은 RF 구동된 유도 부재(RF driven inductive member)를 갖는 에칭 프로세싱 시스템 내의 본 발명의 선택적인 실시예의 부분적인 개략 단면도이다.
도 32는 샤워헤드 가스 분배 시스템과 유도 코일을 갖는 챔버 라이너를 갖는 또 다른 반도체 웨이퍼 프로세싱 시스템의 개략 단면도이다.
도 33A 및 도 33B는 대표적인 자기 정렬된 콘택 피쳐(self-aligned contact feature)의 단면도들이다.
도 34A 및 도 34B는 대표적인 높은 애스펙트비 콘택 피쳐의 단면도들이다.
도 35A 및 도 35B는 피쳐를 통한 대표 단면도이다.
도 36A 및 도 36B는 대표적인 마스크 개방 피쳐의 단면도들이다.
도 37A 및 도 37B는 대표적인 스페이서 피쳐의 단면도들이다.
도 38A 및 도 38B는 대표적인 이중 다마신 피쳐(dual damascene feature)의 단면도들이다.
이해를 돕기 위해, 도면들에 공통되는 동일한 요소들을 지시하기 위해 가능한 한 동일한 참조 번호들이 사용되었다.
1. 예시적인 프로세싱 시스템
도 1은 반도체 웨이퍼와 같은 기판(10)을 처리하기 위한 예시적인 프로세싱 챔버(100) 내에서 본 발명의 프로세싱 장치 개선점들의 실시예를 도시한다. 본 발명은 도 1의 예시적인 프로세싱 시스템(50) 내에서 사용되는 실시예들을 참조로 하기에서 설명될 것이다. 그러나, 본 발명의 특징들의 설명은 도 28 내지 도 32에서 하기 설명되는 에칭 챔버 구성들(2800 내지 3200)과 같은 선택적인 챔버 구성들에 적용된다는 점이 이해되어야 한다. 본 발명의 실시예들은 산화물 및 유전체 에칭 프로세스들을 위해 구성된 플라즈마 에칭 챔버들 내에서 특히 유리하다.
본 발명의 실시예는 도 1의 프로세싱 시스템(50)에 도시된다. 프로세싱 시스템(50)은 프로세싱 챔버(100), 가스 패널(105), 컴퓨터 제어기(140), 열 교환기 또는 온도 제어되는 유체 소스(121), RF 소스(150), 펌프(109), 배출 시스템(110), 및 가스 냉각 시스템(107)을 포함한다.
프로세싱 챔버(100)는 프로세싱 챔버를 한정하는 둘레의 측벽(106), 바닥 벽(108), 리드 어셈블리(102)를 포함한다. 기판 지지부(124)는 기판(10)을 지지하도록 바닥 벽(108) 상에 배치된다. 일반적으로, 프로세싱 챔버(100)는 챔버의 상부 영역인 프로세싱 볼륨(112)과 챔버의 하부 영역인 펌핑 볼륨(114)으로 나뉜다. 제 1 라이너(134)와 제 2 라이너(118)로 도시된 챔버 라이너(104)는 벽들(106 및 108) 및 리드(102)에 인접하게 배치된다. 아래에 보다 상세히 설명되는 실시예에서, 챔버 라이너(104)는 프로세싱 볼륨(112) 내에서 플라즈마를 제한하는 플라즈마 제한 자석(52)을 포함한다.
프로세싱 챔버(100)에는 기판들을 공통의 로드락(loadlock) 또는 전달 영역으로부터 프로세싱 볼륨(112)으로 전달하기 위한 접근 포트 슬릿 밸브(139)가 제공된다. (도 1에서 점선으로 도시된) 로봇(53)은 프로세싱 볼륨(112) 안팎으로 기판들을 전달하기 위해 사용된다. 슬릿 밸브 도어(미도시)는 슬릿 밸브 개구부(139)의 진공 밀봉을 제공한다. 라이너 도어(70)는 슬릿 밸브 개구부(139)에 인접한 챔버 라이너(104) 내에서 개구부를 덮기 위해, 도 1에 도시된 것처럼 압축공기 모터(72)를 통해 수직적으로 작동할 수 있다.
기판 지지부(124)는 프로세싱 동안 기판(10)이 제 위치에 있도록 정전력 또는 기계적으로 조이는 힘을 사용할 수 있다. 만약 정전력이 사용된다면, 기판 지지부(124)는 정전기 척(electrostatic chuck, 55)을 포함한다. 리프트 핀 어셈블리(lift pin assembly, 155)는 압축공기 리프트 메커니즘(170)에 의해 정전기 척(55) 내의 구멍들을 통해 승강되는 리프트 핀들(160a, 160b)을 포함한다. 로봇(53)은 기판(10)을 리프트 핀들(160a, 160b) 상에 위치시키고, 압축공기 리프트 메커니즘(170)은 정전기 척(55)의 수용 표면 상으로 기판을 하강시킨다. 기판(10)이 정전기 척(55)의 표면에 위치된 후, 그리고 프로세스를 수행하기 이전에, 정전기 척(55)에 내장된 전극(105)은 기판을 정전적으로 고정시키기 위해 기판(10)에 대하여 전기적으로 바이어스된다.
프로세스가 완료되자마자, 공기압축 리프트 메커니즘(170)은 기판을 정전기 척(55)의 수용 표면으로부터 상승시키기 위해 리프트 핀들(160)을 들어올려, 기판(10)이 로봇(53)에 의해 제거될 수 있도록 한다. 리프트 핀들(160a, 160b)을 들어올리기 이전에, 기판(10)은 기판(10)을 정전기 척(55)에 고정시키는 잔류 전기 전하들을 방출함으로써 전기적으로 분리되거나 척에서 해방된다.
도 1에 도시된 실시예에서, 정전기 척(55)은 기판(10)으로부터 전극(105)을 덮어싸고 전기적으로 절연시키는 유전체로 형성된다. 바람직하게는, 유전체는 Al2O3, AlN, BN, Si, SiO2, Si3N4, TiO2, ZrO2, 코디어라이트(codierite), 뮬라이트(mullite) 또는 이들의 혼합물 및 화합물과 같은 세라믹 재료이다. 일 실시예에서, 정전기 척(55)은 기판(10)이 유지되는 온도 범위 내에서의 최적의 수행을 위해 선택된 고유 저항을 갖는 높은 열 전도성 세라믹 재료로 형성된다. 예를 들면, 약 5e10 Ω-cm 내지 약 5e13 Ω-cm 사이의 범위 내의 고유 저항이, 예를 들면 약 -20℃ 내지 약 50℃ 사이의 범위 내의 기판 온도가 바람직한 조건에서 사용되었다.
기판 지지부(124) 내에 배치된 전극(105)은 프로세싱 볼륨(112)에 RF 에너지를 결합시킨다. RF 소스(150)로부터의 RF 에너지는 임피던스 정합 회로(151)를 통해 전극(105)에 결합된다. 전극(105)은 예를 들어, 알루미늄, 구리, 몰리브덴, 또는 이들의 혼합물과 같이, 전기적으로 전도성인 재료로 형성될 수 있다. 일반적으로, 전극(105)은 RF 발전기(150)로부터 약 5,000 Watts에 달하는 RF 전력의 결합을 허용할 만큼 강인한(robust) 구조를 갖는다. 강인한 전극(105)을 통해 결합된 RF 전력의 정확한 양은 에칭 챔버(100) 내에서 수행되는 특정 에칭 프로세스에 따라 변화한다.
배킹 플레이트(backing plate)(161)는 정전기 척(55)에 인접하게 배치된다. 배킹 플레이트(161)는 입구(163)을 통해 열 교환기(121)로부터 온도 제어 유체가 공급되는 내부 냉각 채널들을 갖는다. 예를 들면, 에틸렌 글리콜(ethylene glycol), 탈이온수 혼합물과 같은, 온도 제어 유체는 냉각 판의 도관을 통하여 순환한다. 바람직하게는 정전기 척(55)은 정전기 척(55)으로부터 배킹 플레이트 냉각 판으로, 즉 온도 제어 유체로의 열 전달을 최대화시키기 위해 배킹 플레이트(161)에 부착된다.
본 발명의 또 다른 관점에서, 배킹 플레이트(161)는 높은 열 전도성을 갖는 재료로 만들어진 결합층에 의해 정전기 척(55)에 결합되거나 접합된다. 결합층은 예를 들면, 알루미늄, 구리, 철, 몰리브덴, 티타늄, 텅스텐, 또는 티타늄 디보라이트(titanium diborite)와 같은 금속의 합금과 같은 금속을 포함할 수 있다. 결합층은 정전기 척(55)을 냉각 판(161)에 고정하기 위한 볼트들을 사용할 필요가 없게 하여 결과적으로 정전기 척(55) 상에서의 기계적인 응력을 감소시킨다. 또한, 결합층은 기판(10)에 대해 보다 균일한 열 전달 속도를 제공하는 균질한 조성물을 갖고, 냉각 판(161)과 정전기 척(55) 사이의 인터페이스에서 일어나는 열 임피던스의 차를 감소시킨다.
바람직하게, 결합층은 정전기 척(55)에 손상을 주지 않고 정전기 척(55)과 냉각 판(161) 간의 열 팽창 부정합으로 인한 열 응력을 흡수하는 인터페이스를 제공하도록 연성이 있고 가요성(compliance)이 있다. 결합된 접합부는 균일한 열 전달 속도를 제공하지만, 결합된 접합부는 때때로 정전기 척(55) 및 냉각 판(161)과 같이, 유사하지 않은 재료들의 열 팽창 계수의 차이로 인한 열 응력에 견디는 것이 어렵다. 예시적인 결합층은 구부러질 수 있고 정전기 척(55)과 냉각 판(161)의 열 팽창 계수의 차이로 인한 열 응력을 흡수할 수 있는 연성이 있고 가요성이 있는 재료로 만들어진다. 하나의 적절한 결합 재료는 티타늄 디보라이트로 충전되고 확대된 알루미늄 캐리어(carrier)에 도포된, 높은 결합 강도의 감압(pressure sensitive) 아크릴 접착제로 구성된다. 충전제(filler), 확대된 금속 및 양각된 표면의 결합은 결합의 정합성과 열 성능을 강화시킨다.
기판(10)의 온도는 정전기 척(55)의 온도를 안정화시키고 냉각 가스 소스(107)로부터 기판(10)의 후면에 의해 형성된 채널들과 정전기 척(55)의 수용 표면 상에 형성된 홈들에 헬륨과 같은 냉각 가스를 제공함으로써 제어된다. 냉각 가스는 기판(10)과 정전기 척(55) 사이의 열 전달을 용이하게 해 준다. 웨이퍼(10)의 후면과 정전기 척(55)의 수용 표면 사이의 간격은 바람직하게는 내부 구역과 외부 구역의 두 개의 구역으로 분할된다. 개별 흐름 제어기들(107i 및 107o)은 외부 구역과 내부 구역 각각에 독립된 냉각 가스 흐름 제어를 제공하기 위해 사용된다. 통상적으로, 바람직한 냉각 가스의 양은 일반적으로 Torr 단위의 압력으로 측정된다.
개별 구역 제어기들(107i, 107o)은 동일한 압력 또는 상이한 압력으로 구역들이 유지되게 한다. 내부 구역 및 외부 구역 내의 압력 조절은 기판(10)의 중심부와 기판(10)의 에지에서의 해당 온도 조절을 유도한다. 그러므로, 내부 구역과 외부 구역의 압력을 조절함으로써, 기판(10)에 대한 온도 프로파일이 제어된다. 기판(10)에 대한 온도는 특정 에칭 프로세스의 특정한 온도 요구사항들을 보상하기 위해 조절될 수 있다. 예를 들면, 기판에 대한 온도는 중심부로부터 에지까지 일정하거나, 중심부 온도보다 더 높은 에지 온도를 가지거나, 혹은 에지 온도보다 더 높은 중심부 온도를 가질 수 있다.
플라즈마 프로세스 동안, 기판(10)은 챔버 내의 플라즈마에 의해 가열되며 기판 온도를 조절하기 위해 이중 구역 냉각 가스 제어가 사용된다. 통상적으로, 기판(10)은 바람직하게는 약 15℃ 내지 약 20℃의 작동 범위를 갖는 약 -20℃ 내지 약 150℃의 온도 범위 내에서 유지된다. 내부 및 외부 냉각 가스 구역들은 또한 기판(10)에 대한 열 변화(thermal gradient)가 유도되도록 작동될 수 있다. 예를 들면, 내부 구역 및 외부 구역 냉각 가스 압력들은 기판(10)의 중심부에서의 온도가 기판(10)의 에지에서의 온도보다 더 높거나 더 낮도록 조절될 수 있다. 또한, 내부 및 외부 냉각 가스 구역들은 기판(10)의 에지에 대한 중심부의 온도 차이가 약 5℃가 되도록 조절되거나 또는 중심부와 에지 사이의 온도가 거의 일정하게 유지된다.
냉각 판(161), 정전기 척(55), 이중 구역 후면 냉각 가스 및 강인한 전극(105)을 포함하는 기판 지지부(124)의 부품들은 챔버(100) 내에서 수행되는 플라즈마 프로세싱 동작 동안 생성된 열을 제거하기 위해 협동적으로 작동한다. 열 관리와 온도 제어 특징들은 기판의 온도가 2,500W 이상의 RF 전력 레벨과 100G 이상의 자기장 모두를 결합하여 프로세스 동안에도 효율적으로 제어될 수 있기 때문에 보다 긴 프로세스 시간 동안 높은 RF 전력과 높은 자기장(자기적으로 강화된 프로세싱을 사용하는 챔버들을 위한)을 사용하는 프로세싱 동작들을 가능하게 한다. 에칭 챔버(100)의 온도 제어와 열 관리 성능은 "온도 제어 챔버 라이너"란 명칭으로 하기 섹션 Ⅱ에서 설명되는 라이너들(118 및 134)의 직접적인 온도 제어 특징에 의해 진행된다.
가스 패널(105)은 컴퓨터 제어기(140)의 제어하에 프로세스 챔버(100)에 프로세스 가스들을 제공하는 프로세스 가스 공급부들 및 흐름 제어 밸브들을 포함한다. 가스 패널(105)로부터의 프로세스 가스들은 파이핑(piping, 103)을 경유하여 리드 어셈블리(102)를 통하여 다수의 가스 입구들 또는 노즐들(350)에 제공된다. 다수의 노즐들(350)은 "열적으로 분화된 가스 공급 시스템"이란 명칭으로 하기 섹션 Ⅲ에서 보다 상세히 설명되는 바와 같이, 프로세싱 볼륨(112)에 프로세스 가스들을 제공하기 위해 리드 어셈블리(102)에 대해 분배된다.
동작시에, 반도체 기판(10)은 기판 지지부(124) 상에 위치되고, 가스 성분들은 프로세싱 볼륨(112) 내의 원하는 가스 조성물이 형성되도록 노즐들(350)을 통하여 가스 패널(105)로부터 프로세스 챔버(100)로 공급된다. 가스 조성물은 임피던스 정합 회로(151)를 향해 RF 발전기로부터 전극(105)으로 RF 전력을 인가함으로써 프로세스 챔버(100) 내에서 플라즈마로 점화된다. 가스 조성물로 형성된 플라즈마는 리드 어셈블리(102)와 라이너(104)의 온도 제어 표면들과 접촉된다.
프로세스 챔버(100) 내에서의 압력은 챔버 볼륨(110)과 진공 펌프(109) 사이에 위치된 트로틀 밸브(8)를 사용하여 제어된다. 바람직한 실시예에서, 펌프(109)는 초당 약 1,000 리터보다 큰 펌핑 용량을 제공하고, 바람직하게는 초당 약 1,400 리터 내지 약 2,000 리터의 용량을 제공하며, 더욱 바람직하게는 초당 약 1,600 리터의 용량을 제공한다. 펌프(109)는 하나의 고용량 진공 펌프 또는 진공 펌프와 터보 펌프의 결합물일 것이다. 제어기(140)의 제어 하에서, 펌프(109)와 트로틀 밸브(8)는 유리하게 확대된 압력 및 가스 유량 플라즈마 에칭 프로세싱 방안을 제공하도록 협동적으로 작동한다. 바람직한 실시예에서, 플라즈마 에칭 챔버는 예를 들면 약 350 sccm 이상의 전체 가스 흐름과 약 80 mT 미만의 챔버 압력과 같은, 낮은 압력 - 높은 전체 가스 흐름 방안에서 자기적으로 강화된 반응성 이온 에칭(MERIE) 및 반응성 이온 에칭(RIE) 에칭 프로세스 모두를 수행할 수 있는 열적으로 제어되는 에칭 챔버이다. 바람직하게는, 본 발명에 따른 프로세스 챔버의 실시예는 약 1,000 sccm의 전체 유량을 갖는 약 50 mT 이하의 챔버 압력을 가능케 한다.
본 발명의 실시예들을 포함하는 플라즈마 에칭 챔버들은 예를 들면 약 40 sccm부터 약 150 sccm의 전체 가스 유량을 갖는 약 10 mT 내지 약 80 mT의 압력에서 일반적으로 수행되는 스페이서 에칭 및 마스크 개방 에칭과 같은, 낮은 압력 - 낮은 흐름 유전체 에칭 프로세스들을 가능케한다. 본 발명의 실시예들을 포함하는 플라즈마 에칭 챔버들은 또한 예를 들면 약 350 sccm부터 약 700 sccm까지의 전체 가스 유량과 약 150 mT 내지 약 300 mT의 압력에서 수행되는 C4F8 및 C2F6 기반 에칭 프로세스들과 같은, 높은 압력 - 높은 흐름 유전체 에칭 프로세스들을 가능케한다. 본 발명의 실시예들을 포함하는 플라즈마 에칭 챔버들은 또한 예를 들면 약 10 mT부터 약 120 mT의 압력 및 약 600 sccm 내지 약 900 sccm의 전체 가스 유량에서 자기 정렬되고 높은 애스펙트비의 콘택들의 C4F6 및 CH2F3 기반 에칭과 같은 높은 전체 가스 흐름 - 낮은 챔버 압력 에칭 프로세스들을 가능케 한다.
또한, 본 발명의 실시예들을 포함하는 플라즈마 에칭 챔버들은 예를 들어 약 70 mT 내지 약 120 mT의 챔버 압력에서 약 120 sccm 내지 약 400 sccm의 전체 가스 흐름을 갖는 에칭 프로세스 방안; 약 20 mT 내지 약 70 mT의 챔버 압력에서 약 100 sccm 내지 약 450 sccm의 전체 가스 흐름을 갖는 에칭 프로세싱 방안; 및 약 20 mT 내지 약 70 mT의 챔버 압력에서 약 300 sccm 내지 약 800 sccm의 전체 가스 흐름을 갖는 에칭 프로세싱 방안과 같은 다양한 프로세싱 방안들에서의 에칭 프로세스들을 가능하게 해 준다. "챔버 프로세스 윈도우와 대표적인 임계적 유전체 에칭 프로세스들"이란 명칭의 하기 섹션 Ⅶ은 본 발명의 실시예들을 포함하는 플라즈마 에칭 챔버들에 의해 가능한 개선된 산화물 및 유전체 에칭 프로세스 윈도우의 부가적인 상세 내용을 제공한다.
중앙처리장치(CPU, 144), 메모리(142), 및 CPU를 위한 지지 회로(146)들을 포함하는 제어기(140)는 챔버의 제어를 용이하게 하기 위해 프로세스 챔버(100)의 다양한 부품들과 결합된다. 설명된 바와 같이, 챔버의 제어를 용이하게 하기 위해서, CPU(144)는 다양한 챔버 부품들은 물론 컴퓨터 제어 챔버 부품들이 사용되는 프로세싱 시스템 내의 다른 처리장치들도 제어하기 위한 산업적인 설비 내에서 사용될 수 있는 범용성 컴퓨터 처리장치들 중 임의의 한 형태일 수 있다. 메모리(142)는 CPU(144)에 결합된다. 메모리(142), 또는 컴퓨터 판독 매체는 RAM, ROM, 플로피 디스크, 하드 디스크, 또는 근거리 또는 원거리의 어떠한 디지털 저장의 형태 등과 같은 즉시 이용가능한 메모리 중 하나 또는 그 이상일 수 있다. 지지 회로들(146)은 종래의 방식으로 처리장치를 지지하기 위해 CPU(144)에 결합된다. 지지 회로들(146)은 캐쉬, 전력 공급, 클럭 회로, 입출력 회로 및 서브시스템들과 기타 유사한 것들을 포함한다. 에칭 프로세스와 같은 프로세스는 일반적으로 메모리(142) 내에 저장되고, 대개는 소프트웨어 루틴으로서 저장된다. 소프트웨어 루틴은 또한 CPU(144)에 의해 제어되는 하드웨어로부터 원격적으로 위치된 제 2 CPU(미도시)에 의해 저장 및/또는 실행될 수 있다.
소프트웨어 루틴은 프로세스의 단계들을 수행하기 위하여 챔버(100)를 작동시키도록 에칭 프로세스와 같은 프로세스를 실행한다. CPU(144)에 의해 실행될 때, 소프트웨어 루틴은 범용성 컴퓨터를 프로세스의 단계들을 수행하기 위한 챔버 작동을 제어하는 특정한 프로세스 컴퓨터(제어기, 144)로 변환시킨다. 본 발명의 실시예들이 소프트웨어 루틴으로서 수행되는 것으로서 논의되지만, 여기서 논의된 상기 방법 단계들의 일부 또는 전부는 하드웨어 내에서나 소프트웨어 제어기에 의해서 수행될 수 있다. 이로써, 본 발명은 소프트웨어 내에서 수행되고, 컴퓨터 시스템에 의해, 응용-특정 집적 회로 또는 다른 유형의 하드웨어 구현으로서 하드웨어 내에서, 또는 소프트웨어와 하드웨어의 결합으로 실행될 수 있다.
Ⅱ. 온도 제어 챔버 라이너
프로세싱 시스템(50)과 같은 에칭 프로세싱 시스템 내에서 사용하기 위한, 챔버 라이너(104)와 리드 어셈블리(102)와 같은, 온도 제어 챔버 부품들은 도 2 내지 도 6의 참조에 의해 보다 잘 인식될 수 있다. 본 발명의 실시예들은 또한 챔버 부품들 상에 형성된 증착물들의 접착을 실질적으로 개선하기 위해, 이러한 챔버 부품들의 온도를 제어하기 위한 방법들을 제공한다.
도 2는 챔버 라이너(104)를 갖는 본 발명의 에칭 챔버(100)의 하나의 실시예의 단면도이다. 에칭 챔버(100)는 평행 판 에칭 반응기로서 구성된다. 일반적으로, 챔버 라이너(104)는 제 1 라이너(134), 제 2 라이너(118), 또는 제 1 라이너(134) 및 제 2 라이너(118) 모두를 포함한다. 열 교환기(121)와 같이, 온도 제어 유체 공급 시스템으로부터 통로를 통하여 유체를 유입시기는 입구와 출구를 가지며 그 내부에 적어도 부분적으로 형성된 적어도 하나의 통로는 각 챔버 라이너(104) 내에 배치된다. 본 발명의 라이너의 설명을 용이하게 하기 위해서, 본 발명의 라이너의 실시예가 제 1 라이너(134) 및 제 2 라이너(118)를 가지는 것으로 설명될 수 있다. 당업자라면 단일 부품의 제거가능한 라이너가 제 1 라이너(134)와 제 2 라이너(118) 대신에 제조되고 사용될 수 있다는 것을 인식할 수 있을 것이다. 다른 크기의 제 1 라이너(134)와 제 2 라이너(118)가 사용될 수 있고 여기에서 설명된 실시예들은 본 발명 설명시 단지 참고로서 사용된다는 것도 또한 인식된다. 이제 제 1 라이너(134)와 제 2 라이너(118)가 차례로 논의될 것이다.
챔버(100)는 일반적으로 프로세싱 챔버(100)를 한정하는 환형의 측벽(106), 바닥 벽(108), 및 리드 어셈블리(102)를 포함한다. 일반적으로, 프로세싱 챔버(100)는 프로세싱 볼륨(112)(챔버의 상부 영역)과 펌핑 볼륨(114)(챔버의 하부 영역)으로 나누어진다.
바닥 벽(108)은 프로세싱 동안 생성된 잔류 프로세스 가스들과 휘발성의 화합물들이 진공 펌프(109)에 의해 챔버(100)로부터 배출 시스템(110)으로 배출되는 펌핑 채널(138)을 갖는다. 바닥 벽(108)은 부가적으로 챔버(100)의 외부로부터 제 2 라이너(118)로의 접근을 제공하는 두 개의 구멍들(116)(도 2에서 하나만 도시됨)을 갖는다.
리드 어셈블리(102)의 실시예들은 도 3A, 도 3B의 평면도들과 도 4의 단면도에서 상세히 도시된다. 도 4에서 도시된 하나의 실시예에서, 리드 어셈블리(102)는 제 1 라이너(134)와 리드(202)를 포함한다. 1 라이너(134)는 측벽(106) 위에 탑재된 외부로 연장하는 플랜지(342)를 갖는다. 리드 어셈블리(102)의 다양한 부품들은 프로세싱 볼륨(112)의 진공 완전성을 보장하기 위해 필요한 엄격한 가스 밀봉을 제공하도록 적절하게 구성된다. 예를 들면, 리드(202)가 제자리에서 조여질 때, 리드 어셈블리(102)는 일반적으로 아래 방향으로 바이어스될 것이고, 리드 어셈블리(102)가 프로세싱 챔버(100) 내에 장착될 때, 제 2 라이너(118) 상에 하향 힘을 가한다.
도 4를 참조로, 제 1 라이너(134)는 예를 들면 양극 처리된 알루미늄, 스테인리스 스틸, 세라믹, 또는 다른 호환성 재료와 같은, 열 전도성 재료로 제조된다. 제 1 라이너(134)는 세척을 위해 쉽게 제거될 수 있고 프로세싱 동안 증착이 이루어질 수 있는 제거가능한 표면을 제공한다. 제 1 라이너(134)는 접시 형태의 상부 표면(312), 및 바닥 표면(316)을 갖는 중심 섹션(310)을 포함한다. 접시 형태의 상부 표면(312)은 외부로 향하여 연장하는 플랜지(342)로 연결된 주변부(314)를 갖는다. 원통형의 벽(318)이 바닥 표면(316)으로부터 연장한다. 바닥 표면(316)과 라이너 벽(318)은 프로세싱 볼륨(112)에 노출된 내부 표면들(320)을 갖는다. 하기 섹션 Ⅳ에서 보다 상세히 설명된 바와 같이, 제 1 라이너(134)의 내부 표면들(320), 또는 선택적으로, 프로세싱 볼륨(112)에 노출된 임의의 라이너도 막 내에서 표면 장력을 감소시킴으로써 증착된 막들의 접착이 개선되도록 구성될 수 있다.
유체 통로(322)는 중심 섹션(310) 내에 배치된다. 유체 통로(322)는 예를 들면 주조 동안 유체 통로(322)를 형성하는 단계와 같은 많은 종래의 수단에 의해 형성될 수 있다. 도 3A에 대해 간단히 살펴보면, 유체 통로(322)를 형성하는 또 다른 방법은 각 구멍(208)이 플러그(210)에 의해 밀봉되는 다수의 교차하는 끝이 막힌 구멍들(208)을 뚫는 단계에 의하여, 유체 통로(322)를 형성하는 것이다.
도 4를 살펴보면, 두 개의 양각들(bosses)(326)(도 4에는 하나만 도시됨)은 중심 섹션(310)의 표면(312)으로부터 돌출한다. 각각의 양각(326)은 각각의 구멍(324)을 통하여 유체 통로(322)에 유체적으로 연결된 중심 구멍(328)을 갖는다.
유체 통로(322)는 열 교환기나 냉각제 소스(121)로부터 유체를 수용한다. 플라즈마에 노출된 모든 표면들과 마찬가지로, 제 1 라이너(134)는 플라즈마 에칭 챔버 내에서 수행되는 플라즈마 프로세스들에 의해 가열된다. 유체는 제 1 라이너(134)를 통하여 전도된 열을 유체로 끌어들임으로써 제 1 라이너(134)의 온도를 조절한다. 유체가 유체 소스(121)로부터 제 1 라이너(134)를 통하여 순회할 때, 제 1 라이너(134)로부터 제거된 열의 양이 제어되므로, 제 1 라이너(134)가 미리 결정된 온도로 유지된다.
액체 및/또는 가스 유체일 수 있는 유체는 제 1 라이너(134)에 온도 제어를 제공하기 위해 유체 통로(322)를 통하여 흐르게 된다. 유체는 바람직하게는 탈이온수 및/또는 에틸렌 글리콜과 같은 액체이다. 액체 또는 가스 질소 또는 프레온과 같은 다른 유체들이 또한 사용될 수 있다. 대안적으로, 제 1 라이너(134)는 가열된 유체들을 사용하여 일정하게 가열될 수 있다.
당업자라면 본 발명에 개시된 내용들을 사용하는 유체 통로를 위한 선택적인 구성을 고안할 수 있을 것이다. 예를 들면, 도 3B에 묘사된 바와 같이, 리드 어셈블리(202)는 제 1 유체 통로(322A)와 제 2 유체 통로(322B)를 포함할 수 있다. 제 1 및 제 2 유체 통로(322A, 322B)는 도 3B에서 도시된 바와 같은 공통의 입구(330i)와 공통의 출구(330o)를 공유할 수 있다. 선택적으로, 부가적인 입구들과 출구들이 사용될 수 있다. 제 1 및 제 2 유체 통로(322A, 322B)가 "두 개의 튜브 통과" 구성으로 접힌다. 부가적인 튜브 통과들이 대안적으로 구현될 수 있다.
도 3A 및 도 4를 살펴보면, 신속한-접속 유체 결합은 챔버(100)로부터 제 1 라이너(134)의 빠른 제거와 교체를 용이하게 하기 위해 유체 공급부(121)와 제 1 라이너(134)를 유체적으로 연결하는데 사용된다. 통상적으로, 메일(male) 파이프 스레드-형태(male pipe thread-form)를 갖는 신속한-연결부(330)는 양각(326)의 중심 구멍(328) 내에 피메일(female) 스레드-형태로 삽입된다(threaded). 메이팅 커플링(mating coupling, 332)은 유체 공급 선(334)의 말단부에 고정된다. 유체 공급 선(334)은 유체 공급부(121)와 통로(322)를 연결한다. 이러한 구성의 하나의 장점은 제 1 라이너(134)로부터의 변화 동안 유체 공급 선(334)이 도구들의 도움 없이 분리될 수 있다는 것이다. 그러나, 제 1 라이너(134)를 유체 공급 선(334)(예를 들면, 파이프 스레드, 가시가 있는 파이프 이음쇠, 수집 연결기 및 기타 유사한 것)에 연결하는 다른 수단이 또한 사용될 수 있다. 신속한-연결부들은 상업적으로 유용하고 일반적으로 포트 크기(스레드 형태 및 흐름 용량)와 특정 웨이퍼 프로세싱 설비 또는 팹(fab)에서 사용되는 브랜드에 기초하여 선택된다(재고조사 목적의 유지를 위하여).
도 4를 살펴보면, 라이너 벽(318)은 최소의 틈(clearance)을 가지고 측벽(106)에서 슬라이딩될 수 있도록 크기가 설정된다. 라이너 벽(318)은 높이가 변화하고, 제 2 라이너 없이 사용 경우 때, 챔버 바닥(108)으로 연장될 수 있다. 일반적으로, 만약 제 1 라이너(134)와 제 2 라이너(118)가 모두 사용된다면(도 2에서 도시됨), 라이너들은 리드 어셈블리(102)가 제 위치에서 조여질 때 챔버 바닥(108)에 제 2 라이너를 밀봉하기 위해 필요한 압축력을 제공하도록 챔버(100) 내에 고정된.
라이너 벽(318)은 다양한 목적들을 위해 많은 다른 포트들을 부가적으로 포함할 수 있다. 다른 포트들의 하나의 예로는 챔버(100)의 슬릿 개구부에 정렬하기 위한 기판 접근 포트가 있다.
도 2를 살펴보면, 제 2 라이너(118)가 이제 설명될 것이다. 제 2 라이너(118)는 기판 지지부(124)를 둘러싸고 쉽게 제거되고 세정될 수 있는 증착 영역을 형성하기 위해 챔버(100) 내에 배치된다.
제 2 라이너(118)는 유체가 도관(123)에 의해 유체 소스(121)로부터 제공되는 유체 통로(119)를 갖는다. 제 1 라이너(134)의 작동과 함께, 유체는 유체로 제 2 라이너(118)를 통하여 전도된 열을 끌어들임으로써 제 2 라이너(118)의 온도를 조절한다. 유체가 유체 소스(121)로부터 제 2 라이너(118)을 통하여 순회할 때, 제 2 라이너(118)로부터 제거되는 열의 양이 제어되므로, 제 2 라이너(118)가 미리 결정된 온도에서 유지된다.
도 5 및 도 6은 제 2 라이너(118)를 보다 상세히 묘사한다. 제 2 라이너(118)는 예를 들면 양극 처리된 알루미늄, 스테인리스 스틸, 또는 다른 호환성 재료와 같은 열 전도성 재료로부터 제조된다. 제 2 라이너(118)는 내부 벽(504)과 외부 벽(506)을 연결하는 베이스(base) 섹션(502)을 포함한다. 베이스 섹션(502), 내부 벽(504), 및 외부 벽(506)의 내부 표면들(508)은 펌핑 볼륨(114)에 노출된다. 도 8 및 도 13 내지 도 20에서 도시된 선택적인 실시예에 관하여 "접착을 개선하기 위한 챔버 표면 변경"이라고 칭해지는 하기 섹션 Ⅳ에서 보다 상세히 설명된 바와 같이, 내부 표면들(508)은 막 내의 표면 장력을 감소시킴으로써 증착된 막들의 접착의 개선을 증가시키도록 구성될 수 있다.
베이스 섹션(502)은 유체 통로(119)를 포함한다. 유체 통로(119)는 제 1 라이너(134)에 관해 위에서 설명된 것들과 같은 종래의 수단에 의해 형성될 수 있다. 일 실시예에서, 유체 통로(119)는 제 2 라이너(118)를 통하여 배치되는 배출 포트(520)에 인접한, 실질적으로 원형인 개시부(beginning)와 말단부(ending)이다.
유체 통로(119) 각각의 단부는 베이스(502)의 외부 표면으로부터 돌출하는 양각(510)에서 종결된다. 양각(510)은 바닥 벽(108)과 접하며 챔버(100) 내의 제 2 라이너(118)의 적절한 배향을 보장한다(다시 말하면, 모든 포트들이 정렬한다). 제 2 라이너(118)로부터 빠른 변화를 용이하게 하기 위해서, 신속한-접속 유체 결합들이 유체 소스(121)와 통로(119)를 유체적으로 결합시키는 도관(123)과 제 2 라이너(118) 사이에서 이용된다.
내부 벽(504)은 일반적으로 원통형이고 최소의 틈을 가지고 기판 지지부(124) 위로 미끄러지도록 크기가 정해진다. 내부 벽(504)은 선택적으로 플라즈마 제한 수단(516)을 포함한다. 플라즈마 제한 수단(516)은 예를 들면 내부 벽(504) 내에서 형성되고 외부 벽(506)에 면하는 돌출부(518) 내에 배치되는 제한 자석(516)일 수 있다. 돌출부(518)는 제 2 라이너(118)가 설치될 때 플라즈마 제한 자석(516)이 기판 지지부(124) 아래에 위치하도록 내부 벽(504) 상의 베이스로부터 떨어져 위치된다. 플라즈마 제한 자석(516)은 사마리움(samarium) 자석(516)일 수 있다. 본 발명의 플라즈마 제한 피쳐의 선택적인 실시예는 "플라즈마 제한(도 21 내지 도 27)"이란 명칭의 하기 섹션에서 보다 상세히 설명된다.
외부 벽(506)은 일반적으로 원통형이고 챔버 벽들(106)과 최소의 갭을 이루도록 크기가 정해진다. 외부 벽(506)은 높이가 변화하고, 특히 제 1 라이너(134)가 앞서 설명된 바와 같이 사용되는 경우 그러하다. 외부 벽(506)은 부가적으로 펌핑 채널(138)과 정렬되는 배출 포트(520)를 포함한다. 배출 포트(520)는 바닥 벽(108)의 일부를 부분적으로 둘러쌀 수 있다. 배출 포트(520)는 트로틀 밸브(8)와 진공 펌프(109)로 펌핑 볼륨(114) 내의 가스들의 유체 액세스를 제공한다.
외부 벽(506)은 선택적으로 펌핑 볼륨(114)으로 연장하는 트로틀링 리지(throttling ridge, 522)를 포함할 수 있다. 트로틀링 리지(522)는 프로세싱 볼륨(112)으로부터 펌핑 볼륨(114)으로 이동하는 가스들의 흐름을 제어하기 위한 환형의 흐름 구멍(524)을 생성하도록 내부 벽(504) 상의 돌출부(518)에 인접하여 위치된다. 외부 벽(506)은 부가적으로 다양한 목적을 위한 많은 다른 포트들을 포함한다. 다른 포트들의 하나의 예로는 챔버(100)의 안팎으로 기판(10)의 전달을 허용하도록 측벽(106) 내의 슬릿 개구부(139)와 정렬되는 기판 액세스 포트(526)가 있다. 제 2 라이너(118)의 또 다른 실시예를 도시하는 도 28을 살펴보면, 외부 벽(506)은 트로틀링 리지(522)와 펌핑 볼륨(114) 내로 연장하는 돌출부(518)를 포함하지 않는다.
본 발명에 따른 온도 제어 라이너의 작동은 도 2를 참조하여 설명될 수 있다. 동작시에, 제 1 라이너(134)와 제 2 라이너(118)의 온도는 유체 소스(121)로부터 각각의 라이너들(118 및 134) 내의 통로들(119 및 322)을 통하여 유체를 흐르게 함으로써 제어된다. 유체는 라이너들(118 및 134)과 유체의 사이에 열을 전달함으로써 라이너들(118 및 134)의 온도를 조절한다. 유체 소스(121)로부터의 유체는 흐름의 온도와 속도 모두 제어되므로, 라이너들(118 및 134)로부터 제거되는 열의 양이 제어되고, 라이너들(118 및 134)이 미리 결정된 온도에서 유지되도록 한다. 실시예에서, 사용자는 예를 들면 제어기(140)에 라이너 벽 온도에 대한 설정점을 제공하고, 제어기(140)는 사용자 입력 설정점을 유지하기 위해 열 교환기(121)에 의해 유체 출력의 양과 온도를 조절한다.
라이너들(118 및 134)의 온도는 통로(119 및 322) 내의 유체에 의해 지배적으로 제어되고 챔버 벽들(106)과의 전도가 덜 신뢰성이 있기 때문에, 라이너들(118 및 134)은 예를 들면 증가된 RF 전력과 더 높은 자기장과 같은 다양한 플라즈마 에칭 프로세스 조건들 하에서 실질적으로 일정하고, 제어 가능한 온도를 유지할 수 있다. 그러므로, 챔버 라이너(104)의 온도를 제어함으로써, 챔버 라이너 상에 증착된 재료의 양은 더욱 잘 제어될 수 있고, 증착된 재료 내의 응력이 최소 증착되는 재료의 양을 보다 더 제어할 수 있고 증착된 재료의 접착을 개선할 수 있다. 온도 제어 라이너들이 부산물들에 의해 생성되는 개선된 접착을 가능하게 하므로, 산화물 및 유전체 에칭 프로세스에서 마주치게 되는 화학 물질들(chemistries)을 형성하는 증착물을 포함하는 매우 다양한 프로세스 가스 조성물들은 보다 신뢰성이 있게 사용될 수 있다. 프로세스 엔지니어들은 이러한 가스 조성들에 의해 형성된 부산물들이 본 발명의 라이너들의 개선된 접착 용량으로 인해, 오염 위험이 덜 나타나 에칭 가스 조성물들을 고안할 때 보다 큰 허용도를 갖는다. 이런 방법으로, 본 발명의 실시예들을 포함하는 에칭 챔버들의 프로세스 윈도우는 더욱 다양하게 사용가능한 에칭 가스 조성물들을 포함하도록 확대된다.
Ⅲ. 열적으로 분화된 가스 공급 시스템
도 4를 참조로, 본 발명의 가스 분배 시스템의 실시예가 이제 설명될 것이다. 제 1 라이너(134)의 상부 표면(312)은 중심 함몰부(336)를 포함한다. 중심 함몰부(336)가 리드(202)에 의해 덮여지고, 리드(202)와 중심 함몰부(336) 사이에 적어도 부분적으로 플레넘(plenum)(338)이 정해진다. 리드(202)는 리드(202)에 고정된 가스 피드스루(feedthrough, 212) 내에 통로(344)로부터의 유체 흐름을 허용하는 중심 구멍(340)을 부가적으로 갖는다. 가스 피드스루(212)는 가스 누설을 막기 위해 리드(202)에 밀봉된다. 일반적으로 가스 피드스루(212)는 가스 소스(미도시)로부터 플레넘(338)에 전달된 가스들의 온도 조정이 허용되도록 측벽(106) 내의 유체 통로에 결합된다. 대안적으로, 가스 피드스루(212)는 가스 소스와 직접 결합될 수 있다.
일 실시예에서, 다수의 구멍들(348)은 중심 함몰부(336)에 적어도 부분적으로 배치된다. 다른 위치들이 사용될 수 있지만, 구멍들(348)은 전반적으로 제 1 라이너(134)의 중심 근방에 극 배열로 위치된다. 각 구멍(348)은 노즐(350a)과 조립된다. 노즐들(350a)은 플레넘(338) 안쪽에서부터 챔버(100)의 프로세싱 볼륨(112)으로 프로세스 및 다른 가스들의 분배를 용이하게 한다. 노즐들(350a)은 일반적으로 석영, 실리콘 카바이드, 실리콘, 알루미늄 질화물, 알루미늄 산화물, Y2O3, 보론 카바이드(Boron Carbide), 또는 사파이어 같은 다른 재료들과 같은 비전도성 재료로 제조된다.
도 7A 내지 도 7F는 챔버 내에서 재순회하는 가스 흐름들을 바람직하게 최소화하는 노즐들(350a)의 다양한 선택적인 실시예들을 묘사한다. 참조 번호 350 및 350a가 사용되지만, 선택적인 노즐들(350b 내지 350f)이 사용될 수 있음이 인식된다. 도 7A를 살펴보자. 도 7A에 도시된 노즐의 하나의 실시예에서, 노즐(350a)은 마운팅 부분(717) 및 챔버 볼륨(110)과 연통하는 가스 전송 부분(710)을 포함한다. 마운팅 부분(717)은 노즐(350a)의 주변으로부터 대개는 플레넘(338)에 노출된 노즐(350a)의 측면을 향하여 연장하는 플랜지(710)를 갖는다. 노즐(350a)은 플레넘(338)을 챔버 볼륨(110)에 유체적으로 결합하는 중심 통로(724)를 부가적으로 포함한다. 중심 통로(724)는 일반적으로 노즐(350a)의 중심선에 대하여 동축 상에 위치된다. 선택적으로, 부가적인 통로들은 플레넘(338)과 챔버 볼륨(110)을 유체적으로 결합하기 위하여 사용될 수 있다. 게다가, 노즐의 가스 전송 부분은 예를 들어 도 7A의 노즐(350a) 및 도 7B의 노즐(350b)에서 도시된 바와 같이 제 1 라이너(134)와 같은 높이일(flush) 수 있다. 대안적으로, 노즐의 가스 전송 부분은 예를 들어 도 7C의 노즐(350c), 도 7D의 노즐(350d), 도 7E의 노즐(350e), 그리고 도 7F의 노즐(350f)에서 도시된 바와 같이, 제 1 라이너(134)를 너머로 연장할 수 있다.
도 7A를 살펴보면, 플랜지(710)는 제 1 라이너(134) 내에 배치된 리세스(712)와 매칭된다. 일반적으로, 플랜지(710)의 콘택 표면(702)과 리세스(712)의 메이팅(mating) 표면(704)이 콘택 표면(702)과 메이팅 표면(704) 사이에 최소한의 가스 누설을 제공하는 약 1 mil 이하의 평면도(flatness)를 갖는 표면 처리부(surface finish)를 갖는다. 가스 전송 부분(715)의 노출된 표면(716)은 평활한 표면 또는 텍스쳐링된 표면을 가질 수 있다.
도 7B는 중심 통로(724)가 선택적이라는 것을 제외하고, 실질적으로 노즐(350a)과 유사한 노즐(350b)의 또 다른 실시예를 도시한다. 노즐(350b)은 플레넘(338)의 유체적 연통을 챔버 볼륨(110)에 제공하는 하나 이상의 통로들(714)을 갖는다. 일반적으로, 통로들(714)은 노즐(350b)의 중심선에 대해 비스듬히 있다. 선택적으로, 마운팅 부분(717)은 플레넘(338)으로 연장될 수 있다.
도 7C는 마운팅 부분(717)과 가스 전송 부분(735)을 포함하는 노즐(350c)의 또 다른 실시예를 도시한다. 가스 전송 부분은 마운팅 부분(717)에 인접한 단부(728)와 챔버 볼륨(110)으로 돌출하는 대향하는 말단부(718)를 갖는다. 인접한 단부(728)는 일반적으로 챔버 볼륨(110)에 노출된 제 1 라이너(134)의 표면에 동일 평면 상에 있거나 접하고 있다. 가스 전송 부분(735)은 평활한 텍스쳐링된(textured) 표면 처리부를 갖는다. 중심 통로(720)는 플레넘(338)에 노출된 마운팅 부분(717)의 측면(722)으로부터 적어도 부분적으로 노즐(350c)을 통하여 연장한다. 하나 이상의 제 2 통로들(726)은 중심 피드(720)와 챔버 볼륨(110)을 유체적으로 결합시킨다.
일반적으로, 가스 전송 부분(735)의 외부 상에 제 2 통로들(726)의 각각의 출구(727)가 가스 전송 부분(735)의 단부(728)로부터 적어도 "DIST" 간격만큼 떨어져서 위치된다. 게다가, 제 2 통로들(726)은 인접한 단부(728)에 대하여 각도 θ로 배향된다. 일 실시예에서, DIST는 약 0.25 인치보다 크고, θ는 약 15 도 내지 35 도 사이의 범위 내에 있다.
도 7D는 노즐(350c)과 유사한 노즐(350d)의 또 다른 실시예를 도시한다. 그러나, 노즐(350d)은 노즐(350c)의 중심선을 따라서 연장하는 중심 통로(724)를 부가적으로 포함하고, 플레넘(338)과 챔버 볼륨(110)을 직접적으로 연통시킨다.
도 7E는 노즐(350d)과 유사한 노즐(350e)의 또 다른 실시예를 도시한다. 그러나, 노즐(350e)는 단지 플레넘(338)과 챔버 볼륨(110) 사이에만 중심 통로(724)를 제공한다.
도 7F는 노즐(350c)에 유사한 노즐(350f)의 또 다른 실시예를 도시한다. 그러나, 노즐(350f)은 마운팅 부분(717)과 마운팅 부분(717)에 비스듬한 배향인 가스 전송 부분(732)을 갖는다. 노즐들(350a 내지 350f)은 플라즈마에의 인접성 때문에 종래의 노즐들보다 더 좋은 세정 효과(즉, 감소된 프로세싱 부산물 축적)를 갖는데, 이로써 노즐들을 더 뜨겁게 하고, 반응 부산물들의 증착을 방해한다. 노즐들의 가스 전송 구성이 챔버 내의 흐름 재순회를 최소화하기 때문에, 챔버 상부(즉, 리드 영역)를 향해 유도되는 반응 부산물들의 양이 감소된다.
위에서 설명된 노즐들에 공통점은 노즐들이 낮은 열 질량(thermal mass)을 가지고 노즐들에 냉각 메커니즘이 제공되지 않은 점이다. 결과적으로, 그것들은 리드 및 라이너들로부터 노즐들을 열적으로 분화시키기 위해, 프로세싱 동안 냉각된 리드와 라이너들의 온도 이상으로 가열된다. 이는 노즐들 상의 중합체 증착을 감소시키는 데 크게 도움이 된다. 선택적으로, 노즐들 상에 증착되는 임의의 중합체를 보장하기 위하여, 비드 블라스팅(bid blasting) 또는 화학적 프로세스에 의해 표면 조도(roughness)가 제공된다.
삭제
가스 분배 시스템의 부가적인 대안적 실시예들은 도 8 내지 도 13에 도시된다. 도 8 내지 도 13에서, 노즐들(350) 대신에, 다수의 가스 주입 구멍들(225)을 갖는 작은 가스 분배 판들(mini-gas distribution plates, 220)이 공간(338)과 챔버 볼륨(110)을 유체적으로 결합하기 위해 제 1 라이너(134)의 중심 섹션(310)에 제공된다. 노즐들(350)과 유사하게, 플라즈마에 면하는 작은 가스 분배 판들(220)의 각각의 영역은 다음과 같이 제한된다: (1) 영역은 입구들의 주변에서 주입된 가스로부터의 난기류가 중합체 축적을 막거나 방해하는 영역 내에 포함된다, (2) 작은 가스 분배 판들의 크기 또는 열 질량은 판의 빠른 플라즈마 가열을 허용하도록 충분히 작거나 낮다. 작은 가스 분배 판들(220)의 영역을 횡단하는 가스 난기류를 강화하기 위하여, 각각의 작은 가스 분배 판(220) 내의 가스 주입 구멍들(225)은 챔버 내부에 면하는 판의 표면에 대하여 비스듬하다. 바람직하게는, 서로 또는 함께 교차하는 인접한 구멍들로부터의 가스 주입 스트림들이 와동 패턴을 형성하도록 가스 주입 구멍들은 비스듬하다. 작은 가스 분배 판들(220)의 배치의 선택적인 실시예에서, 작은 가스 분배 판들(220)은 그 플라즈마 가열을 강화시키고 가스 주입 난기류를 강화시키기 위해 상부 라이너 표면(316)으로부터 조금 밖으로 연장한다. 바람직하게는, 작은 가스 분배 판들(220)은 각각 전체 천장(316)의 영역의 상대적으로 작은 부분이다.
각각의 작은 가스 분배 판(220)은 실리콘과 같은 반-금속 또는 실리콘 이산화물(석영) 또는 사파이어와 같은 유전체 또는 대안적으로 비전도성 재료 또는 프로세싱 챔버(100) 내에서 수행되는 프로세스들과 호환되는 재료로 형성된다. 각각의 작은 가스 분배 판(220)은 프로세스 가스를 반응기 챔버 내부로 분사시키는 다수의 가스 입구들(225)을 갖는다. 바람직하게는, 작은 가스 분배 판들(220)은 그것들이 챔버 내의 플라즈마에 의해 쉽게 가열되도록 온도 제어 제 1 라이너(134)로부터 열적으로 절연된다. 각각의 작은 가스 분배 판(220)은 천장에 비해 충분히 작고, 플라즈마 점화(plasma ignition)시 플라즈마에 의해 빠르게 가열되도록 충분히 작은 열 질량을 갖는다. 예를 들어, 가스 분배 판(220)이 약 0.25 인치 내지 약 0.5 인치의 차수의 노출된 직경을 갖는 반면, 제 1 라이너(134)는 9 인치 내지 14 인치의 범위 내의 직경을 갖는다. 결과적으로, 플라즈마는 각각의 작은 가스 분배 판(220) 상에 중합체가 축적되는 것을 막기에 충분히 높은 온도로 가열한다. 장점은 각각의 작은 가스 분배 판(220)의 가스 입구들(225)이 노즐들(350)의 입구들과 마찬가지로, 중합체 없이 유지될 수 있다는 점이다.
바람직하게는, 각각의 작은 가스 분배 판(220)의 직경은 가스 분배 판(220)의 전체 바닥 표면(220a)이 입구들(225)로부터 프로세스 가스 분무의 가스 흐름 난기류의 영역 내에 전개되도록 충분히 작다. 그러므로, 예를 들어, 각각의 작은 가스 분배 판(220)은 약 0.25 인치 내지 약 0.5 인치의 차수의 노출된 직경을 갖는다. 이 영역은 표면(220a) 상의 중합체 축적을 지연시키거나 막기 위하여 충분한 가스 난기류를 갖는다.
도 9 및 도 10을 참조하면, 바닥 표면(220a) 주위의 가스 난기류는 작은 가스 분배 판(220)의 다수의 가스 입구들(225)로부터의 가스 분무 경로들의 교차하는 패턴을 도입함으로써 강화된다. 도 9 및 도 10의 실시예는 와동 패턴(도 9의 화살표들에 의해 지시됨)을 제공한다. 이것은 작은 가스 분배 판(220)의 출구 표면(220a)에 대해 각도 A인 가스 입구들(225) 각각을 구멍 뚫음으로써 달성된다. 바람직하게는, 각도 A는 약 20 도 내지 약 30 도의 범위 내에 있다. 도 11에 도시된 선택적인 실시예에서, 다수의 가스 입구들(225)의 가스 분무 경로들이 가스 난기류를 강화시키기 위하여 다른 입구들에 배향된다. 이러한 선택적인 분무 패턴은 도 11의 화살표들에 의해 도시된다.
도 12에서 도시된 바와 같이, 작은 가스 분배 판들(220) 상의 중합체의 축적을 억제할 때 추가적인 도움으로써, 판(220)의 출구 표면(220a)은 간격 d만큼 천장(210)의 표면 아래로 조금 연장한다. 간격 d는 바람직하게는 약 0.02 인치 내지 0.03 인치이거나 가스 분배 판(220)의 두께의 일부(fraction)이다. 도 12의 확대 단면도는 가스 입구들(225)이 작은 가스 분배 판(220)을 통하여 전체적으로 통과하는 비스듬한 구멍들인 하나의 실시예를 도시한다. 프로세스 가스는 천장(316)에 형성된 공통적인 분기관(230)에 의해 가스 입구들(225)에 공급된다. 수냉된 천장(316)의 물 재킷(240)이 또한 도 12에 도시된다. 바람직하게는, 예를 들어 알루미늄 질화물일 수 있는 단열층(250)이 작은 가스 분배 판(220)과 천장(316) 사이에 사출된다.
제어된 중합체 축적이 예를 들어 산화물 에칭 프로세스와 같이 바람직한 실시예에서, 챔버 내부를 벗겨 내거나 챔버 내부를 오염시키는 것으로부터 사실상 영향받지 않는 매우 견고한 막으로서 중합체가 제 1 라이너(134)의 노출된 표면 상에 축적되도록, 제 1 라이너(134)는 충분히 낮은 온도로 유지된다. 열적으로 분화된 작은 가스 분배 판들(220)과 노즐들(350)은 그 위에 중합체가 축적되는 것을 억제하기 위해 충분히 높은 온도로 플라즈마에 의해 가열된다. 그러므로, 가스 입구들(225)은 어떠한 중합체도 없이 유지된다. 작은 가스 분배 판들(220)과 노즐들(350)의 작은 크기가 플라즈마가 그것들을 중합체 증착 온도 위로 충분히 가열하게 해 준다. 작은 크기는 또한 작은 표면(220a) 위의 가스 입구들의 농도가 표면(220a), 입구들(225), 또는 노즐들(350) 상의 중합체의 축적을 더욱 억제하기에 충분한 가스 난기류를 제공하게 한다. 가스 난기류는 작은 가스 분배 판(220)의 가스 입구들(225) 각각으로부터 가스 분무 경로들의 교차된 또는 와동 패턴을 제공하고, 천장(316) 아래에 출구 표면(220a)을 가짐으로써 강화된다.
최소화된 크기의 노즐의 또 다른 장점은 노즐 크기가 온도 제어 리드에 비해 작기 때문에, 프로세싱 볼륨에서 형성되는 플라즈마가 온도 제어 리드 표면과 접촉하게 되고, 이로써 위에서 설명된 바와 같이 리드에 대한 부산물 접착이 개선될 것이다. 이러한 모든 특징들의 결합은 작은 가스 분배 판(220) 또는 다양한 노즐 실시예들의 어떠한 부분 상에서도 관찰 가능한 중합체 축적을 막는다.
도 8은 웨이퍼(10)의 둘레 위의 네 개의 대칭적으로 이격된 위치들에서 제 1 라이너(134) 상에 탑재된 네 개의 작은 가스 분배 판들(220)이 있는 실시예를 도시한다. 도 8은 또한 천장의 표면 상에 형성된 다수의 반구형의 범프들(bumps)을 도시한다. 이러한 범프들은 약 0.5 mm 내지 약 1.5 mm 높이이고, 약 1 mm 떨어져 간격지어진다. 범프들(300)은 "접착을 개선하기 위한 챔버 표면 변경"이란 명칭의 하기 섹션에서 다 상세히 설명되는 챔버 표면 텍스쳐링의 또 다른 선택적인 실시예이다. 물론, 부가적인 작은 가스 분배 판들(220) 또는 노즐들(350)이 다른 실시예들이나, 도 4 및 도 8에서 도시되는 배열로부터 변형된 위치에서 제공될 수 있다.
Ⅳ. 접착을 개선하기 위한 챔버 표면 변경
본 발명의 또 다른 장점은 챔버 표면들 상에 증착된 부산물들의 접착을 개선하기 위한 챔버 표면 토포그래피의 사용이다. 예를 들면, 종래의 플루오르화 탄소에 기초하는 산화물 피쳐들의 플라즈마 에칭에서, 중합체의 부산물 형성이 일반적이다. 도 2를 참조하면, 예를 들어, 부산물 증착이 두 개의 라이너들(118 및 134)과 플라즈마(148)에 노출된 리드(102)의 표면들 상에서 일어날 것이다. 증착물들이 임의의 두께로 축적된 후에, 증착물들은 리드와 챔버 라이너들로부터 벗겨지기 시작할 것이고, 이로써 반도체 소자들이 제조되는 것이 오염될 것이다.
본 발명의 이런 관점은 프로세스 가스들에 노출되는 프로세스 챔버 내의 표면들 상에 증착된 반응 부산물들 또는 다른 재료의 접착을 개선하여, 챔버가 이러한 표면들을 세정하는 사이에 보다 긴 시간 간격 동안 동작할 수 있다고 여겨진다. 더욱이, 개선된 부산물 접착 성능은 높은 부산물 형성 속도를 갖는 확대된 프로세스 가스 혼합물 포함 물질들의 사용을 촉진시킨다. 특히, 온도 제어 라이너 및 리드의 표면과 같은 챔버 내부 표면들은 토포그래픽 피쳐들을 - 다시 말하면, 변화하는 돌출부들과 함몰부들(피크 및 홈통(trough))을 - 폭, 간격, 및 높이 치수들이 100 마이크론(0.1 mm)과 100 mm 사이에 있고, 바람직하게는 500 마이크론(0.5 mm) 내지 8000 마이크론(8 mm)의 범위 내에 있는- 갖는 표면 윤곽 또는 "텍스쳐"로 제조된다. 반대로, 종래의 비드 블라스팅(bead blasting)에 의해 처리되는 표면들의 평균 조도는 약 4 마이크론 내지 6 마이크론(0.15 mil 내지 0.23 mil)이고, 이는 본 발명의 피쳐들보다 적어도 16 배 작다.
표면의 "토포그래픽 피쳐" 또는 "상승 피쳐"에 의해 본 발명자들은 상승이 평균 표면 상승으로부터 벗어나는 영역을 의미한다. 토포그래픽 피쳐는 볼록한 돌출부 또는 오목한 함몰부 둘 중 하나일 수 있다. 피쳐의 "높이"는 상승시 봉본 발명의-대-골의 편차이다. 피쳐가 오목한 함몰부라면, 피쳐의 높이는 함몰부의 깊이이다.
본 발명의 텍스쳐링된 표면은 적어도 두 가지 이유 때문에 증착된 재료의 접착을 개선한다고 믿어진다. 하나의 이유는 수직 윤곽들(평균 표평면에 수직인 윤곽들)이 표면에 수직인 방향의 증착된 막 내의 압축력을 증가시켜, 열 팽창과 수축 으로 인한 막의 쪼개짐에 방지된다는 점이다. 두 번째 이유는 텍스쳐링된 표면은 평탄한 표면 보다 결합을 위한 재료에 대해 더 큰 표면적을 갖는다는 점이다.
표면적은 함몰부들의 깊이나 돌출부들의 높이에 비례하여 증가한다. 증착된 재료의 개선된 접착에 의해 표면적을 증가시키기 위하여 높이 치수를 증가시키는 동안, 임의의 값을 넘는 높이 증가는 불리할 수 있다. 먼저, 초과의 높이 치수는 텍스쳐링된 표면을 세정하기 어렵게 할 수 있다. 다음으로, 만약 텍스쳐링된 표면이 상대적으로 두꺼운 챔버 벽이라기보다는 얇고 제거가능한 챔버 리드 또는 라이너라면, 초과의 높이 치수는 리드 또는 라이너의 내구성(strength)과 강도(rigidity)를 감소시킬 수 있고, 이로써 우발적인 손상에 대해 보다 영향을 받기 쉬울 수 있다.
본 발명의 텍스쳐링은 프로세스 챔버의 어떠한 부품의 표면에도 적용될 수 있다.("부품"에 의해 본 발명자들은 챔버 내의 또는 챔버 상의 임의의 물체를 의미한다.) 텍스쳐링은 바람직하게는 챔버 내부 내의 프로세스 가스들에 노출되고 웨이퍼 위에 또는 근방에 있는 임의의 넓은 표면에 적용되어야 한다. 본 발명의 텍스쳐를 제공하는 것이 가장 중요한 챔버 표면은 대개는 챔버 루프(roof)의 하부 표면(다시 말하면, 챔버 리드(102)의 내부 표면들)이고 라이너들(134 및 118)이다. 챔버 루프는 프로세스되는 웨이퍼 바로 위에 있기 때문에, 루프로부터 벗겨지는 임의의 미립자들도 주로 웨이퍼 상에 떨어지게 될 것이고, 이로써 웨이퍼 내에 결함을 야기할 것이다. 챔버 측벽 또는 라이닝(lining)이 웨이퍼의 주변에 매우 가깝기 때문에, 측벽 또는 라이닝에서 벗겨지는 미립자들이 웨이퍼 상에 떨어질 위험이 높다. 표면들로부터 벗겨지는 미립자들이 웨이퍼 상에 증착될 가능성이 적기 때문에, 웨이퍼 아래에 위치된 챔버 부품들 상에 텍스쳐링된 표면들을 제공하는 것은 훨씬 덜 중요하다.
챔버 루프와 측벽 라이닝의 노출된 표면들 내의 다른 형상과 치수의 함몰부들과 돌출부들이 테스트되었다. 모든 형상들은 비드 블라스팅에 의해 거칠어진 표면, 평활한 표면 또는 처리되지 않은 표면과 비교하여 증착된 재료의 크게 개선된 접착에 대해 테스트되었다.
도 4와 함께 살펴보면, 도 13, 및 도 14는 각각 2차원 배열의 정사각형 돌출부들(60)로 구성된 텍스쳐(60)를 갖는 제 1 라이너(134)의 하부 표면(316)의 일부의 상부도와 단면도이다. 명확하게는, 구멍들(348)과 노즐들(350)이 생략되었다. 돌출부들은 인접한 돌출부들 사이에 높이 H, 폭 W, 및 간격 S를 갖는다. 도 15는 토포그래픽 피쳐들이 돌출부들이라기보다는 표면 내의 정사각형 함몰부들이고, 정사각형 함몰부들의 측면들이 함몰부들 사이의 수평면에 대하여 비스듬한 각도 θ에 형성되는 텍스쳐(60a)를 도시하는데, 각 함몰부는 뾰족한 꼭지점이라기보다는 평평한 바닥을 갖는 뒤집어진 4면체의 피라미드 모양이 된다. 도 16 및 도 17은 함몰부들이 둥근 또는 반구형의 모양인 선택적인 텍스쳐(1605)의 상부도와 단면도이다.
도 18 및 도 19는 각각 제 2 라이너(118) 내에 다수의 둘레의 홈들(1805)로 구성된 텍스쳐의 투시도와 단면도이다.
도 20은 둘레의 홈들(1805)과 세로의 홈들(1810)을 모두 갖는 제 2 라이너(118)의 투시도이다.
각각의 토포그래픽 피쳐가 돌출부 또는 함몰부로 특정화되었지만, 표면 상에 돌출부가 될 함몰부들 사이의 면적은 같은 것으로 고려된다. 다시 말하면, 돌출부들 또는 함몰부들이 토포그래픽 피쳐들로서 어떻게 표현될지는 임의적이다. 그래서, 예를 들어 도 17을 참조하면, 함몰부들과 돌출부들 사이의 간격(S)은 바람직하게는 폭(W)과 같은 크기 차수이어야 한다. 더욱 바람직하게는, 간격(S)과 폭(W)은 2 팩터 이하 만큼 달라야 한다. 유사하게, 높이(H)는 바람직하게는 폭(W)과 간격(S)과 같은 크기 차수이어야 하며, 더욱 바람직하게는 다른 두 치수들의 2 팩터 내에 있어야 한다.
임의의 실시예들에서도, 본 발명자들은 날카로운 코너들은 일반적으로 막 내의 응력을 증가시키기 때문에, 챔버 부품들의 텍스쳐링된 표면들 내에 날카로운 코너가 없다면 텍스쳐링된 표면에 증착된 막의 접착이 최대화될 것을 기대한다. 결과적으로, 토포그래픽 피쳐들의 가장자리는 실제로 높은 곡률 반경을 갖는 둥근 코너들을 갖는다. 바람직하게는, 곡률 반경은 130 마이크론(0.13 mm) 내지 약 500 마이크론(0.5 mm) 사이의 범위 내에 있다.
테스트 결과 - 제어
본 발명자들은 C4F8 및 CO를 포함하는 종래의 플루오르화 탄소 에천트 가스 혼합물을 사용하여, 실리콘 웨이퍼들 상에 실리콘의 막들을 에칭하기 위한 플라즈마 프로세스를 수행하기 위해 플라즈마 에칭 챔버를 사용함으로써 본 발명을 테스트하였다. 제어를 위하여, 프로세스 챔버는 알루미늄 질화물 세라믹 루프와 양극 처리된 알루미늄 측벽 라이너, 매끄러운(다시 말하면, 접착을 개선하기 위한 표면 텍스쳐 처리들을 갖지 않는) 둘 모두를 갖는다.
에칭 프로세스는 챔버 루프와 측벽들의 노출된 내부 표면들 상에 중합체 막들을 형성하는 플루오르화 탄소 반응물들을 생산한다. 본 발명자들은 종래의 매끄러운 루프와 측벽 라이너를 갖고, 중합체 막이 0.6 mm 내지 0.65 mm의 두께에 도달한 후에 이러한 표면들 상에 증착된 중합체가 벗겨지기 시작한다는 것을 발견하였다. 벗겨짐이 발생하는 두께는 프로세스 변수들의 변화와 무관하다.
예 1 - 알루미늄 질화물 루프의 피라미드 함몰부들
본 발명자들은 0.5 인치(13 mm)의 알루미늄 질화물 세라믹의 원형 디스크로서 챔버 루프(가스 분배 판)를 제조하였는데, 여기서 본 발명자들은 루프(챔버 내부에 노출된 표면)의 하부 원형 표면을 네 개의 사분원으로 분할하였고, 이는 네 개의 사분원에서 제조된 네 개의 다른 표면 텍스쳐들을 갖는다. 제 1 사분원은 부드러웠고, 제 2 사분원은 실리콘 탄화물 미립자들로 비드 블라스트되었다.
제 3 사분원 및 제 4 사분원은 모두 도 15에서 도시된 피라미드 텍스쳐(60a)를 갖는데, 이는 제 3 사분원이 아닌 제 4 사분원에 비드 블라스팅이 적용되었다. 피라미드 피쳐들의 치수들은 다음과 같았다: 각도 θ= 45°, 높이 H = 0.6 mm, 폭 W = 1.5 mm, 간격 S = 0.6 mm. 본 발명자들은 제 3 사분원이 그 피라미드 텍스쳐 때문에 제 1 사분원의 표면적보다 30% 더 큰 표면적을 갖는다고 계산한다.
테이블 1 - 예 1
Figure 112006508237690-pct00051
본 발명자들은 정사각형 피쳐들이 보다 넓은 표면적을 갖기 때문에, 도 14에 도시된 바와 같은 정사각형 함몰부들 또는 돌출부들(60)의 패턴이 실제로 테스트된 피라미드 형태의 함몰부들보다 바람직하다고 예측한다. 이전에 언급된 바와 같이, 본 발명자들은 표면 윤곽의 표면적을 최대화하는 것이 그 위에 증착된 재료의 접착을 최대화하기 위해 유리하다고 예측한다.
본 발명자들은 종래의 플라즈마 에칭 챔버에 루프를 설치하였고 제어에서 수행되는 동일한 플라즈마 에칭 프로세스를 수행하였다. 본 발명자들은 루프의 제 3 사분원이 최상의 중합체 접착력을 나타냄을 발견하였다. 평활한 제 1 사분원에 비교하면, 본 발명자들은 제 3 사분원에 증착된 재료가 벗겨지기 시작하기 전에 2.5 배의 웨이퍼들을 프로세스할 수 있었다. 이런 관점에서, 제 3 사분원에 증착된 중합체 층은 1.2 mm의 두께를 가졌고, 이는 벗겨짐없이 종래의 평활한 또는 비드 블라스트된 표면 상에 증착될 수 있는 최대 중합체 두께보다 85% 더 두꺼운 것이다.
종래에는 비드 블라스팅이 증착된 재료의 접착을 개선하기 위해 사용되었기 때문에, 본 발명자들은 피라미드 텍스쳐링된 표면을 비드 블라스팅하는 것이 접착에 해롭다는 것을 관찰하고 놀랐다. 특히, 본 발명자들이 리드 상에 1.2 mm의 중합체를 증착시킨 후 테스트를 멈추었을 때, 본 발명자들은 제 4 사분원으로부터 소량의 벗겨짐과 제 3 사분원으로부터 전혀 벗겨지지 않음을 관찰하였다. 본 발명자들은 비드 블라스팅이 중합체 막 내의 응력을 증가시키는 루프의 표면에 날카로운 코너들을 생성하였고, 이로써 막 내의 쪼개짐을 촉진시켰다고 추측한다.
예 2 - 알루미늄 질화물 루프 내의 서로 다른 피라미드 치수들
제 2 알루미늄 질화물 루프(가스 분배 판)는 예 1에서 설명된 바와 같이 제조되었다. 네 개의 사분원들은 테이블 1에 요약된 바와 같이, 서로 다른 치수들을 갖는 피라미드들로 텍스쳐되었다. 제 1 사분원에서, 피라미드 치수들은 예 1의 사분원(3)의 치수들과 동일하였다. 다른 세 개의 사분원들에서, 피라미드 함몰부들의 높이(H)는 1.1 mm로 증가되었다. 사분원들(3 및 4)에서, 수평면에 대한 피라미드 벽의 각도(θ)는 30도로 감소되었다. 사분원들(2 및 4)에서, 폭(W)과 간격(S)은 각각 2.5 mm와 1.0 mm로 증가되었다. 모든 네 개의 사분원들은 중합체 증착물들의 어떠한 벗겨짐도 나타내지 않았다.
테이블 2 - 예 2
Figure 112006508237690-pct00052
예 3 - 알루미늄 산화물 루프 내의 반구형 함몰부들
본 발명자들은 알루미늄 산화물(알루미나) 세라믹의 0.5 인치(13 mm) 두께의 판의 루프를 제조하였다. 알루미나는 알루미늄 질화물보다 훨씬 낮은 열 전도성을 갖지만, 쉽게 가공할 수 있는(machinable) 장점이 있다. 본 발명자들은 구멍 직경 (W)이 4 mm이고, 인접한 구멍들의 주변부들 사이의 간격(S)이 1 mm인, 대략 반구형의 구멍들 또는 아치형의 단면을 갖는 구멍들의 배열을 알루미나 내에서 구멍 뚫음으로써, 도 16 및 도 17에서 도시된 함몰부들의 패턴을 생성하였다. 본 발명자들은 구멍들의 깊이(지형적 피쳐 높이(H))가 각각 1 mm 및 2 mm인 두 개의 프로토타입들을 테스트하였다. 두 프로토타입들은 중합체 증착물들의 어떠한 벗겨짐도 나타내지 않았다.
예 4 - 양극 처리된 알루미늄 내의 정사각형 돌출부들
도 13 및 도 14가 정사각형의 돌출부들의 배열을 가공한 알루미늄 루프를 도시한다. 섹션은 고체로 도시되는데, 동일 피쳐들 또는 돌출부들은 다수의 가스 입구들(350) 또는 작은 가스 분배 판들(220)을 갖는 상부 천장(316)으로 통합될 수 있다. 알루미늄은 가공 후에 양극 처리되었다. 하나의 프로토타입에서 돌출부들은 1 mm의 폭(W), 1.5 mm의 높이(H), 및 3 mm의 간격(S)을 가졌다. 제 2 프로토타입에서, 돌출부들은 2 mm의 폭(W), 2 mm의 높이(H), 및 5 mm의 간격(S)를 가졌다. 두 프로토타입들은 중합체 증착물의 어떠한 벗겨짐도 나타내지 않았다.
제 2 프로토타입에서, 본 발명자들은 또한 가스 분배 판 내의 가스 입구 구멍들의 이행을 테스트하였다. 종래의 판의 표면 위에 일정하게 분배된 가스 입구 구멍들의 배열 대신에, 본 발명자들은 판 내에 단지 11개의 석영 디스크들(미도시됨)을 설치하였는데, 각각의 석영 디스크는 10 mm의 직경이었고, 0.6 mm 직경의 11 개의 가스 입구 구멍들을 포함하였다.
예 5 - 양극 처리된 알루미늄 내의 홈들
도 18 및 도 19는 각각 본 발명자들이 선반을 사용한 일련의 둘레의 홈들(1805)을 가공하는 양극 처리된 알루미늄으로 구성된 원통형 측벽의 제 2 라이너(118)의 투시도와 단면도이다. 각 홈은 1 mm의 폭과 깊이를 가졌고, 인접한 홈들은 원통형 라이너의 축을 따라 3 mm만큼 이격된다. 알루미늄은 가공 후에 양극 처리되었다.
도 20은 언급된 폭, 깊이, 및 간격 치수들의 둘레의 홈들(1805) 및 세로의 홈들(1810)을 모두 갖는 유사한 원통형의 라이너의 투시도이다.
두 프로토타입들은 중합체 증착물의 어떠한 벗겨짐도 나타내지 않았다. 그러나, 도 20 실시예는 그 표면적이 도 18 및 도 19에 도시된 실시예들의 표면적 보다 넓기 때문에 우월한 접착을 제공하는 것으로 여겨진다.
도 18, 도 19, 및 도 20의 실시예들의 장점은 알루미늄에서 홈들을 가공하는 것이 대개는 이전에 설명된 다른 제조 방법들보다 값이 싸다는 것이다.
다른 텍스쳐들이 제 1 라이너(134) 또는 제 2 라이너(118)에 대하여 도시되고 설명될 수 있는 반면, 여기서 설명된 텍스쳐들은 라이너들(134 및 118) 중 어느 하나 또는 둘 모두에 적용될 수 있다. 선택적인 실시예에서, 라이너(134)는 제 2 라이너(118)와 다른 표면 처리를 가질 수 있다. 하나의 특정한 실시예에서, 제 2 라이너(118)가 둘레의 홈 텍스쳐(1805)를 갖는 반면, 제 1 라이너(134)는 텍스쳐(1605)를 가질 수 있다.
Ⅴ. 플라즈마 제한
본 발명의 부산물 처리 피쳐의 또 다른 관점은 프로세싱 볼륨(112) 내에 플라즈마를 포함하기 위해 플라즈마 제한 시스템을 사용하는 것이다. 프로세싱 볼륨(112) 내에 플라즈마를 포함하는 것은 펌핑 볼륨(114) 내의 부산물 축적을 막는 데 도움을 준다. 펌핑 볼륨(114) 내의 부산물 축적 감소 또는 제거는 부산물 증착이 일어나고 잠재적으로 펌프(109)에 손상을 가할 가능성을 감소시킨다. 본 발명의 플라즈마 제한 피쳐는 도 21을 참조하여 더욱 잘 인식될 수 있다.
도 21은 도 1의 에칭된 챔버(100)의 확대 부분도이다. 리드(102)는 명확성을 위해 제거되었다. 진공 펌프(109)는 챔버 내에서 수행되도록 의도되는 플라즈마 프로세스에 적절한 레벨로 챔버 내의 전체 가스 압력을 감소시키기 위해 환형의 배출 분기관과 실린더형 펌핑 채널(138)을 통하여 프로세싱 볼륨(112)로부터 가스들을 배출한다. 트로틀 밸브(8)는 펌핑 볼륨(114) 내에 탑재된다. 트로틀 밸브(8)는 펌핑 채널(138) 내의 가스 흐름에의 임피던스를 제어함으로써 챔버 내의 가스 압력을 조절하고, 이로써 바람직한 챔버 압력을 유지하기 위해 요구되는 대로 펌핑 채널에 걸쳐 압력 강하를 제어한다.
분리된 라이너들에 관해 설명되는 동안, 라이너들(36 및 38)이 제 2 라이너(118)에 대하여 위에서 설명된 바와 같은 하나의 라이너로 결합될 수 있다는 것이 인식될 것이다. 라이너들(36 및 38)에 대하여 여기서 설명된 플라즈마 제한 피쳐들 각각이 제 2 라이너(118)에 적용된다는 것이 인식될 것이다. 라이너들(36 및 38)에는 도 6 및 라이너(118 및 143)에 대하여 위에서 설명된 온도 제어되는 유체를 순회시키기 위한 제 2 라이너(118)의 도관(119)과 같은 내부 도관들이 구비된다는 것이 또한 인식될 것이다.
내부 라이너(38) 및 외부 라이너(36)의 하부 절반은 각각 환형의 배출 볼륨(114)의 내부 및 외부 벽들로 기능한다. 내부 라이너(38)의 바닥에서 환형의 플랜지(40)는 환형의 배출 분기관으로부터 배출 가스들이 플랜지 구멍(42)을 통하여 흐르고 그 다음에 원통형 펌핑 채널(138)을 통하여 트로틀 밸브(8)로 흐르도록 하는 원통형 펌핑 채널(138)에 정렬된 아치형의 구멍(42)과, 펌프(109)를 포함한다.
설명된 챔버의 배출 채널은 환형의 배출 분기관과 실린더형 펌핑 채널을 포함한다. 환형의 배출 분기관은 챔버 내부와 동축 상에 있고 챔버 내부의 방위각의 모든 또는 대부분의 주위로 연장한다. 원통형 펌핑 채널은 하나의 방위각 위치에서 배출 분기관에 결합된다. 임의의 종래의 플라즈마 챔버들은 어떠한 중간 펌핑 채널 없이 배출 펌프에 배출 펌프에 직접 결합된 환형의 배출 분기관을 포함한다. 다른 종래의 플라즈마 챔버들은 챔버 내부의 방위각 주위로 연장하지 않는 펌핑 채널만을 사용하여 챔버 내부에 펌프를 결합한다. 이러한 특허의 상세한 설명에서, 상기 용어 "배출 채널" 또는 "배출 통로"는 환형의 배출 분기관 또는 펌핑 채널, 또는 두 가지의 결합을 포괄한다.
배출 채널 및 플라즈마 제한 자석
도 21 내지 도 23에서 도시되는 본 발명의 바람직한 실시예는 챔버 내부 내의 플라즈마 본체가 배출 펌프에 도달하는 것을 막도록 상호의존적으로 작동하는 가스 흐름 변류기(522, 516)와 자석 시스템(50)의 두 가지 특징을 채택한다. 아래에 상술되는 바와 같은 그 이로운 기능에 더하여, 이런 배열은 펌핑 시스템에서의 중합체 증착을 피하는 동안 높은 펌핑 용량을 제공하는 것을 돕는다. 다시 말하면, 본 개시에서 설명된 바와 같이, 본 발명의 챔버의 하나의 특징은 가스 분자들의 감소된 잔류 시간을 위한 높은 흐름 펌핑 용량이다. 그러나, 유지를 위해, 플라즈마가 챔버의 펌핑 영역에 도달하는 것을 억제하거나 제한하는 것이 바람직하다. 아래에 설명된 배열은 이런 목표를 달성하는 데 도움을 준다.
특히, 배출 분기관(30)의 내부는 모든 배출 가스들이 배출 분기관을 통하여 방해되지 않은 직선 경로로 흐르도록 하는 대신에, 배출 가스들의 적어도 실질적인 부분을 횡단하여 변류시키는 적어도 하나의 변류기(522, 516)를 포함한다.("횡단하여"라는 용어로 본 발명자들은 가스들은 변류기가 없는 경우에 흐르는 경로에 수직인 방향을 의미한다.)
변류기는 변류기들과 변류기 근방의 배출 분기관의 벽들과의 가스들 내의 반응성 종의 충돌 속도를 증가시키는 배출 가스들의 흐름 내의 난기류를 생성한다. 충돌은 벽 상에 증착물을 생산하기 위한 반응성 종 중의 표면 반응을 촉진시킨다. 이는 증착물들을 생산하는 경향이 있는 반응성 종의 배출 가스들을 고갈시키고, 이로써 변류기의 배출 가스들 다운스트림 내의 반응성 종의 농도를 감소시키거나 제거하여 트로틀 밸브(8)와 펌프(109) 상의 바람직하지 않은 증착물들을 크게 감소시키거나 제거한다.
변류기는 또한 대전된 미립자들의 재결합을 촉진시키기 위해 배출 가스 내의 대전된 미립자들의 충돌 속도를 증가시키고, 이로써 배출 가스들 내의 대전된 미립자들의 농도를 감소시킨다.
게다가, 자석 시스템(50)(52 - 57)은 변류기 근방의 배출 분기관 내의 자기장을 생산하기 위해 변류기(522, 516) 근방에 위치된다. 자기장은 바람직하게는 분기관을 통한 배출 가스 흐름의 방향을 횡단하여 방향지어진 실질적인 성분을 갖는다. 자기장의 횡단 성분은 전자들이 양 이온들과 보다 쉽게 재결합할 수 있도록 이동하는 전자들을 횡단하여 변류시키고, 이로써 배출 가스들 내의 대전된 미립자들의 농도를 감소시킨다.
변류기와 자석 시스템은 둘 다 배출 가스들 내의 대전된 미립자들의 농도를 감소시키기 때문에, 이 둘의 결합은 변류기와 자석 시스템의 플라즈마 다운스트림을 소멸시키기에 충분하게 농도를 감소시킬 수 있다. 특히, 자기장과 변류기의 결합된 효과가 챔버 내의 플라즈마 본체가 트로틀 밸브(8)와 배출 펌프(109)에 도달하는 것을 막도록, 자기장은 충분히 강하여야 하고, 하나 이상상의 변류기들에 의해 야기된 난기류는 충분히 커야 한다.
자기장의 플라즈마 제한 효과는 자기장 없이 플라즈마를 봉쇄하기 위해 요구될 배출 채널보다 더 넓은 및/또는 덜 구부러진 배출 채널의 사용을 허용한다. 그러므로, 배출 채널에 걸친 압력 강하는 플라즈마를 봉쇄하기 위해 배출 분기관의 굽힘에 전적으로 의존하는 선행 기술 설계와 비교하면 감소될 수 있다.
도 21 내지 도 23에서 도시되는 실시예에서, 변류기는 분기관의 벽들로부터 배출 분기관(30)의 가스 통로 내로 확장하는, 두 개의 동축의 환형 돌출부들(522, 516)로 구성된다. 상부 돌출부(522)는 외부 라이너(36)로부터 방사상 내부 방향으로 연장되고, 하부 돌출부(516)는 내부 라이너 또는 캐소드 실드(38)로부터 방사상 외부 방향으로 연장된. 두 개의 돌출부들은 서로 방사상으로 중첩되기 때문에, 배출 분기관을 통하여 직선으로 배출 가스들이 이동하지 못하도록 하고, 이로써 배출 가스들 내의 반응성 종가 배출 분기관의 돌출부들 또는 벽들 중 하나와 충돌할 가능성을 최대화한다.
본 발명자들은 "자석 시스템"을 북극과 남극을 갖는 자기 회로를 형성하기 위해 0 개, 1 개 또는 그 이상의 자기적으로 투과적인 자극편들과 결합한 하나 또는 그 이상의 자석들로 정의한다. 도 21 내지 도 23의 실시예에서, 자석 시스템(50)은 환형의 배출 분기관(30)에 동축적으로 탑재되고 분기관의 축을 따라 떨어져 간격지어진 두 개의 환형 자석들(52, 53)로 구성된다. 두 개의 환형 자석들은 제 1 자석이 그 방사상 내부와 외부에 북극과 남극을 각각 갖는 반면, 제 2 자석은 그 방사상 외부와 내부에 북극과 남극을 각각 갖는다는 점을 제외하면 동일하다. 자석 시스템(50)은 또한 두 개의 자석들의 방사상 내부 말단부들 사이에 인접하고 확장하도록 두 개의 자석들(52, 53)과 동축적으로 탑재된 자기적으로 투과적인 원통형의 자극편(54)을 포함하고, 이로써 두 개의 자석들 사이에 자기 경로 또는 "자기 회로"를 완성한다.
결과적으로, 자석 시스템(50)의 북극(56)은 제 1 환형 자석(52)의 북극인데, 다시 말하면, 자극편(54)에 인접하는 극과 상반되는 제 1 자석의 극이다. 자석 시스템(50)의 남극(57)은 제 2 환형 자석(53)의 남극인데, 다시 말하면, 자극편(54)에 인접하는 극과 상반되는 제 2 자석의 극이다.
자석 시스템(50)은 바람직하게는 자석 시스템의 북극과 남극(56, 57)의 말단부들이 돌출부의 방사상 외부 방향인 배출 분기관 내에서 가스 통로의 좁은 부분에 가능한 가깝도록 하부 돌출부(516) 내에 탑재된다. 배출 분기관 통로의 가장 좁은 부분에 가까운 자석 시스템을 탑재하는 것은 배출 가스들이 영향 받는 자기장 세기를 최대화하기 위해 바람직하다.
이제 설명된 자석 시스템의 바람직한 실시예는 도 21 내지 도 23에서 도시된 바와 같이 방사상 내부 방향을 가리키는 "U"의 기부 와 방사상 외부 방향을 가리키는 "U"의 개방 말단부를 갖는 U형 단면을 갖는다. 보다 명확하게는, 자석 시스템의 형태는 챔버의 세로축 주위로 회전되는 U형 말굽 자석의 형태이다.
도 22에서 필드 선(58)으로 나타내어진, 이러한 U형 자석 시스템에 의해 생산된 자기장 패턴은 배출 분기관의 통로 내에 주로 집중되기 때문에 바람직하다. 이러한 집중은 적어도 두 가지의 장점들을 갖는다. 하나의 장점은 위에서 언급된 바와 같이, 배출 가스들이 영향 받는 자기장의 세기를 최대화하고, 이로써 자석의 플라즈마 다운스트림을 소멸시킬 때 자석의 효율성을 최대화하는 것이다.
U형 자석 시스템의 제 2의 장점은 자기장 세기가 챔버의 세로축을 따라 빠르게 감소하여, 자기장 세기가 제조 공정에 있는 제품(workpiece, 10)에서 낮다는 것이다. 이온 충격 또는 정전하 축적에 의해 제품에 손상을 가할 위험을 최소화하기 위해, 제품(10)에서의 자기장 세기는 가능한 한 낮고, 바람직하게는 5 가우스(gauss) 이하이며, 더욱 바람직하게는 3 가우스 이하이어야 한다. 자석 시스템은 제품(10)으로부터 가능한 한 멀게 자석 시스템을 위치시키기 위해 상부 돌출부(522)보다는 하부 돌출부(516)에 탑재되고, 이로써 제품(10)에서 자기장의 세기를 최소화한다.
도 24는 도 21 내지 도 23의 실시예에 대해 자석들과 자극편들이 상호 교환된 선택적인 자석 시스템(60)을 도시한다. 특히, 상부 및 하부 환형 부재들(62, 63)은 자석들보다는 자기적으로 투과적인 자극편들이다. 원통형 부재(64)는 자극편이기보다는 자석이고, 원통형 자석은 상부 자극편(62)에 인접한 그 세로축의 상부 말단부에서 북극을 갖고 하부 자극편(63)에 인접한 그 축의 하부 말단부에서 남극을 갖는다.
배출 분기관의 가능한 선택적인 실시예는 상부 돌출부(522)를 생략할 수 있고, 하부 돌출부(516)와 플라즈마를 봉쇄하기 위한 자석 시스템(50)의 결합에 의한다.
또 다른 선택적인 배출 분기관 설계는 하부 돌출부(516)(내부 라이너(38)로부터 방사상 외부 방향으로 연장하는)를 생략하고 상부 돌출부(522)(외부 라이너(36)로부터 방사상 내부 방향으로 연장하는) 내에 탑재된 도 25에서 도시되는 변형된 자석 시스템(51)으로 교체할 것이다. 변형된 자석 시스템(51)의 북극(56)과 남극(57)은 돌출부(44)의 방사상 내부 말단부의 가스 통로에 인접하여야 한다. 이것은 도 23 자석 시스템에서와 같은 동일 자석들(52, 53)과 자극편(54)을 사용하여 달성될 수 있지만, 도 25에서 도시된 바와 같이 두 개의 자석들의 방사상 내부 말단부로부터 방사상 외부 말단부로 이동된 자극편(54)으로 달성될 수 있다.
도 26은 자석들과 자극편들이 상호 교환되는 도 25 자석 시스템과 다른 선택적인 자석 시스템(51)을 도시한다.(도 24 실시예의 논의를 보라.)
본 발명자들은 또한 그렇지 않으면 도 21에서 도시된 챔버와 같은 플라즈마 챔버 내에서 도 27에서 도시된 배출 분기관 설계를 테스트하였다. 도 27의 배출 분기관은 배출 채널(30)의 상부 및 하부 돌출부들(522, 516) 각각 내에 탑재된 상부 및 하부 환형 자석들(68, 69)을 포함한다. 상부 자석(68)은 그 방사상 내부 및 외부 말단부들에서 각각 북극과 남극을 갖는다. 하부 자석(69)은 그 방사상 외부 및 내부 말단부들에서 각각 북극과 남극을 갖는다. 결과적으로, 상부 자석의 북극과 남극은 하부 자석의 남극과 북극에 대해 정렬된다. 자기장 선들(70)에 의해 묘사된 결과적인 자기장은 두 개의 돌출부들 사이의 배출 분기관 채널 또는 통로의 영역에 매우 집중된다. 도 21 실시예의 전술한 논의에서 설명된 바와 같이, 집중은 배출 가스들이 영향 받는 자기장의 세기를 최대화하고 제품(10)에서 자기장을 최소화하기 위해 바람직하다.
상부 및 하부 돌출부들(522, 516) 사이의 다른 갭들을 갖는 도 27 실시예를 테스트하는 것을 용이하게 하기 위해, 본 발명의 프로토타입은 외부 유전체 라이너(36) 아래에 환형의 유전체 스페이서(72)를 포함하였다. 두꺼운 스페이서(72)로 교체함으로써, 본 발명자들은 상부 돌출부(522)의 높이를 증가시키고 이로써 두 개의 돌출부들 사이의 갭을 증가시킬 수 있었다. 본 발명자들은 본 발명자들이 테스트한 모든 스페이서 두께에 대해 동일한 자석들(68, 69)을 사용하였다. 그래서, 본 발명자들이 두꺼운 스페이서로 교체하였을 때, 본 발명자들은 갭을 증가시키고 갭 내의 자기장 세기를 감소시켰다.
이런 테스트들에서 본 발명자들은 플라즈마가 상부 및 하부 돌출부 사이의 갭이 0.5 인치 또는 그 이하이고 갭 내의 자기장의 세기가 적어도 100 또는 150 가우스인 때 하부 돌출부 아래에서 연장하지 않도록 성공적으로 봉쇄되었다는 사실을 발견하였다. 본 발명자들은 또한 설명된 챔버에서, 제품(10)에서의 자기장 세기가, 본 발명자들이 제품에의 손상의 위험을 피하기에 충분히 낮다고 고려한, 3 가우스 이하이도록 자기장 세기가 자석들로부터 충분히 떨어져서 빠르게 감소하였다는 사실을 발견하였다. 그러나, 본 발명자들이 두 개의 돌출부들 사이의 더 넓은 갭과 그로 인한 갭 내의 더 낮은 자기장 세기를 테스트하였을 때, 본 발명자들은 플라즈마가 성공적으로 봉쇄되지 않았음을 발견하였다.
도 21 설계에서와 같이 오직 하나의 돌출부 내에 자석들을 탑재하는 것에 비교하여 도 27 설계에서와 같이 두 개의 돌출부들 내에 자석들을 탑재하기 위해 더 많은 노력이 요구되기 때문에 본 발명자들은 현재 도 21 실시예를 더욱 선호한다.
배출 분기관의 또 다른 선택적인 실시예는 도 27 실시예로부터의 하나의 돌출부를 생략하는 것과 그 대응하는 자석일 것이다. 본 발명자들은 상부 돌출부(522)와 상부 자석(68)이 도 28에서 도시된 바와 같이 오직 하부 돌출부와 자석만을 남기고 생략되는 것을 제외하고, 도 27에서 도시된 프로토타입과 동일한 프로토타입을 테스트하였다. 이 프로토타입이 플라즈마가 하부 돌출부 아래에 연장하는 것을 성공적으로 봉쇄하는 반면, 본 발명자들은 제품에서의 자기장이 바람직하지 않게 강하다고 생각하였다. 그러나, 이 실시예는 제품이 이온 충격 또는 정전하 축적에 의한 손상에 지나치게 약하지 않은 반도체 제조 프로세스에서 사용하기 위해 적절할 수 있다.
더욱 일반적으로, 변류기(522, 516)는 배출 채널의 벽들로부터 연장하는 하나 또는 그 이상의 돌출부들일 필요는 없지만, 배출 가스들 내의 실질적인 난기류를 야기하는 배출 채널 내의 어떠한 구조라도 될 수 있다. 미리 설명된 바와 같이, 난기류는 난기류의 플라즈마 다운스트림을 소멸시키는 것을 돕기 위해 대전된 미립자들의 재결합을 촉진시킬 것이고, 반응 생산물들이 펌핑 성분들(8,109) 다운스트림 보다는 변류기 근방의 표면들 상에 증착되도록 그것은 반응성 종 중 표면 반응들을 촉진시킬 것이다.
변류기와 자석 시스템은 바람직한 실시예의 환형의 배출 분기관에 탑재되지만, 변류기와 자석 시스템은 펌핑 채널(32)과 같은 배출 채널의 임의의 부분에도 탑재될 수 있다.
물론, 자석 시스템과 배출 채널의 내부 사이의 임의의 재료들도 자기장이 배출 가스들에 도달하는 것을 봉쇄하는 것을 피하기 위해 비자성(non-magnetic)이어야 한다. 미리 언급된 바와 같이, 바람직한 실시예에서 자석 시스템이 탑재된 돌출부들은 양극 처리된 알루미늄이다.
챔버의 방위각 주위의 배출 가스 유량를 균등하게 하기 위해, 펌핑 채널의 방위각 근방의 배출 분기관의 방사상 폭을 조금 감소시키고 대향하는 방위각 근방의, 즉 펌핑 채널로부터 180도 떨어진 방위각 근방의 방사상 폭을 조금 증가시키는 것이 바람직하다.
자기장의 방향은 본 발명의 작동에 영향을 미치지 않고 역방향으로 될 수 있다. 그러므로, 북극 및 남극에의 모든 참조 번호들은 상호 교환될 수 있다.
설명된 플라즈마 챔버는 하나의 원형 반도체 웨이퍼를 프로세싱하기 위해 원형 대칭성을 갖는다. 많은 기판들 또는 직사각형 기판들을 처리하기 위한 챔버들과 같은 다른 지오메트리를 갖는 플라즈마 챔버들에서, 변류기와 자석 시스템과 같은 본 발명의 부품들은 직사각형 또는 보다 복잡한 지오메트리를 가질 것으로 예측될 것이다. 이 특허의 상세한 설명에서 사용된 바와 같이 용어 "환형"은 설명된 형태를 원형의 내부 혹은 외부 둘레를 갖는 형태로 제한하지 않고, 직사각형 및 더 복잡한 형태들을 포괄한다.
Ⅵ. 본 발명의 선택적인 챔버 실시예들
도 28은 본 발명의 개선점들의 실시예들을 포함하는, 용량적으로 결합된 자기적으로 강화된 반응성 이온 에칭(MERIE) 챔버의 단면도이다. 도 28은 도 1의 프로세싱 시스템(50)과 동일한 시스템들을 갖는 유사한 에칭 프로세싱 시스템(2800)을 도시한다. 에칭 프로세싱 시스템(2800)은 MERIE 챔버(2850)를 포함한다. MERIE 챔버(2850)는 많은 짝지어진 전자석들을 가지며, 위에서 설명된 챔버(100)에 유사하다. 예를 들면, 네 개의 전자석들(2810, 2812, 2814, 및 2816)은 대개는 일반적으로 각각 적절한 전력 공급(2830, 2832, 2834 및 2836)을 갖는 챔버 측벽(106)의 교차하는 벽들 상에 각각 직사각형 배열로 탑재된다. 명확하게는, 오직 전자석들(2810 및 2812)과 그 각각의 전력 공급들(2830 및 2832)이 도 28에 도시된다. 제어기(140)의 제어 하에서, 코일 쌍들(2810 및 2812 및 2814 및 2816)은 웨이퍼(10) 주위에서 스텝되거나 회전될 수 있는 준정적인(quasi-static) 다방향 자기장을 협동적으로 제공한다. 전자석들(2810, 2812, 2814 및 2816)은 약 0 가우스로부터 약 150 가우스까지의 크기를 갖는 제어 가능한 자기장을 생성한다. 또한, 자기장의 크기는 에칭 속도를 선택하고 이온 충격을 변화시키기 위해 조절될 수 있다. MERIE 챔버 작동의 부가적인 상세한 점들은 "자기장 강화된 플라즈마 에칭 반응기"란 명칭의, 공동 양도된 미국 특허 제 4,842,683 호에서 제공된다.
도 28은 하부 돌출부(516)만 갖는 제 2 라이너(118)의 선택적인 실시예를 도시한다. 자성 제한 시스템(52)은 하부 돌출부(516) 내에 배치된다. 자성 제한 시스템(52)이 설명되는 동안, "플라즈마 제한"으로 칭해지는 섹션에서 설명된 자성 제한 시스템 중 임의의 것도 제 2 라이너(118)의 하나의 돌출부 실시예에서 사용하기 위해 변형될 수 있다.
도 29는 본 발명의 실시예들을 포함하는 에칭 챔버의 또 다른 유형의 단면도이다. 도 29는 에칭 프로세싱 챔버(2950)를 갖는 에칭 프로세싱 시스템(2900)을 도시한다. 프로세싱 시스템(2900)은 제 2 RF 발전기(2910) 및 임피던스 정합 회로(2915)의 부가로 도 1의 프로세싱 시스템(50)에 유사하다. 프로세싱 챔버(2950)는 평행 판(2920)의 부가로 프로세싱 챔버(100)에 유사하다. 작동 동안, RF 발전기들(150 및 2910)로부터의 RF 신호들은 제어기(140)의 제어 하에서, 임피던스 정합 회로(151 및 2915)를 통하여, 전극(105) 및 평행 판 전극(2920)에 각각 제공된다. 하나의 선택적인 실시예에서, RF 발전기들(150 및 2920)은 동일 주파수에서 RF 신호들을 제공한다. 선택적인 실시예에서, RF 발전기들(150 및 2920)은 서로 다른 주파수들에서 RF 신호들을 제공한다.
도 30은 본 발명의 실시예들을 구현하는 또 다른 프로세싱 챔버의 단면도이다. 도 30은 자기적으로 강화된 에칭 챔버(3050)를 갖는 에칭 프로세싱 시스템(3000)을 도시한다. 프로세싱 시스템(3000)은 자기장 발생 메커니즘(3010)을 작동시키는 제어기(140)의 부가로 프로세싱 시스템(50)과 유사하다. 프로세싱 챔버(3050)는 자기장 발생 메커니즘(3010)의 부가로 프로세싱 챔버(100)와 유사하다. 자기장 발생 메커니즘(3010)은 프로세스 챔버(3050)의 원통형의 벽의 외부 둘레 표면 상에 배치된다. 자기장 발생 메커니즘(3010)은 웨이퍼(10)의 상부 표면에 평행한 자기장의 발생을 가능하게 하는 미리 결정된 극성을 갖는, 둘레에 배열된 다수의 영구 자석들과, 프로세싱 챔버(3050) 주위로 자석들을 회전시키기 위한 구동 메커니즘을 포함한다. 자기장 발생 메커니즘(3010)은 회전 자기장을 발생시키고, 이는 프로세스 챔버(3050)의 또는 웨이퍼(10)의 수직 중심축 주위를 프로세싱 볼륨(112) 영역 내에서 회전한다. 자기장 발생 메커니즘(3010)에 관한 부가적인 상세한 사항들은 예를 들면 미국 특허 제 5,980,687 호에 개시된다.
도 31은 본 발명의 실시예들을 구현하는 또 다른 프로세싱 챔버의 단면도이다. 도 31은 에칭 챔버(3150)를 갖는 에칭 프로세싱 시스템(3100)을 도시한다. 프로세싱 시스템(3100)은 제 2 RF 발전기(3110)와 제어기(140)에 의해 작동되는 임피던스 정합 회로의 부가로 프로세싱 시스템(50)과 유사하다. 프로세싱 챔버(3050)는 리드(102)에 탑재된 안테나(3115)를 설비하기 위해 리드(102)의 변형들의 부가로 프로세싱 챔버(100)와 유사하고, RF 발전기(3110)로부터 프로세싱 볼륨(112) 내로 RF 전력을 인가하기 위한 유도성 부재로서 동작한다. 임피던스 정합 회로(3105)는 발전기(3110)로부터 안테나(3115)로 RF 신호를 결합한다. 노즐들(350)은 안테나(3115)로부터 프로세싱 볼륨(112) 내에 형성된 플라즈마에 RF 에너지의 효율적인 유도성 결합을 하도록 하는 리드(102)의 둘레에 위치되었다. 도 31은 평평한 코일 배열 내의 안테나(3115)를 도시한다. 안테나(3115)의 다른 배열들이 가능한데, 예를 들면 고리 배열, 나선형 배열, 적층 배열, 또는 부가적으로 다수의 안테나 세그먼트들과 같은 배열들이 RF 발전기에 결합된 다수의 안테나 세그먼트의 각 안테나 세그먼트와 사용될 수 있다.
도 32는 본 발명의 개선점들을 갖는 에칭 챔버의 또 다른 실시예의 단면도이다. 도 32는 에칭 챔버(3250)를 갖는 에칭 프로세싱 시스템(3200)을 도시한다. 프로세싱 시스템(3200)은 제 2 RF 발전기와 임피던스 정합 회로(3206)의 부가로 도 1의 프로세싱 시스템(50)과 유사하다. 에칭 챔버(3250)는 평평한 유도성 코일(602)과 주입기 노즐들(350) 대신의 샤워헤드 유형의 가스 주입 시스템의 부가로 에칭 챔버(100)와 유사하다. 에칭 챔버(3250)는 위에서 설명된 방식으로 챔버 라이너(104)의 온도를 조절하는 온도 제어 챔버 라이너(104)를 갖는다. 챔버(3250)는 챔버 벽들(106)과 챔버 바닥(108)을 갖고 프로세스 볼륨(110)을 한정하는 리드 어셈블리(3208)를 갖는다. 샤워헤드(3212)가 리드 어셈블리(3208) 바로 아래에 배치된다. 프로세스 가스 및 가스 패널(105)로부터의 다른 가스들은 리드어셈블리(3208) 내의 통로를 통하여 통과하고 샤워헤드(3212) 내의 다수의 구멍들을 통하여 챔버 볼륨(110) 내로 분산된다. 제 2 라이너(118)와 제 1 라이너(134)로 도시되지만, 에칭 챔버(3250)는 제 1 및 제 2 라이너들(118 및 134) 중 하나 또는 둘 모두를 포함할 수 있다. 각 에칭 챔버(3250)는 또한 그 안에 배치된 자기적 시스템(50)을 갖는 하나의 돌출부(516)만 갖는 제 2 라이너(118)를 도시한다.
Ⅶ. 챔버 프로세스 윈도우과 대표적인 중요한 유전체 에칭 프로세스들
본 발명의 개선점들의 실시예들은 확대된 유전체 에칭 프로세싱 용량을 제공한다. 다양한 개선점들을 결합함으로써 가능한 유전체 에칭 프로세스 윈도우는 종래의 에칭 챔버들에 의해 가능한 유전체 에칭 윈도우를 능가한다.
예컨대, 예를 들어 도 28의 MERIE 챔버(2800)와 같은 본 발명의 실시예들을 포함하는 자기적으로 강화된 반응성 이온 에칭 챔버는 종래의 MERIE 프로세싱 반응기들을 능가하는 여러 프로세싱 장점들을 갖는다. 유전체 에칭 프로세스들이 중합체의 부산물들을 생성하는 것은 일반적이지 않기 때문에, 본 발명의 여러 관점들이 개선된 중합체 접착 제어를 협동적으로 제공한다. 첫번째로, 벽들과 캐소드 상의 직접 온도 제어 라이너들은 플라즈마 순환에 의해 야기된 열 효과들을 최소화하는 것을 돕는다. 플라즈마 순환은 플라즈마가 프로세싱 동안 챔버의 부분들을 가열할 때 일어난다. 중합체 접착은 일반적으로 온도를 증가시킴과 함께 감소한다. 결과적으로, 플라즈마 순환에 의해 가열된 영역들은 벗겨지는 경향이 있고 미립자 오염을 야기하는 중합체 증착물들을 갖기 쉽다. 라이너들의 온도를 제어하고 일정하게 감소시킴으로써, 라이너들에 중합체 부산물들을 접착하는 것은 개선되고 이로써 중합체 부산물들이 벗겨지고 미립자들을 형성할 가능성을 감소시킨다. 두번째로, 최소화된 크기의 가스 입구 노즐들(350)의 사용은 노즐들이 플라즈마에 의해 부산물들이 노즐 개구부들 상에 형성되거나 노즐 개구부들에 접착될 가능성이 감소되는 온도 이상으로 가열되는 것을 보장한다. 최소화된 가스 입구 노즐들(350)의 또 다른 장점은 작은 가스 입구 노즐 면적 때문에 대부분의 플라즈마 및 부산물들이 온도 제어 리드에 접촉한다는 것이다. 온도 제어 라이너들과 접촉하는 부산물들과 마찬가지로, 온도 제어 리드에 접촉하는 부산물들은 우선적으로 온도 제어 리드 상에 증착되거나 온도 제어 리드에 접착되고 플라즈마 가열된 최소화된 크기의 가스 분배 노즐들 상에 증착되거나 플라즈마 가열된 최소화된 크기의 가스 분배 노즐들에 접착되지 않는다. 세번째로, 캐소드 및 벽 온도 제어 라이너들 및 온도 제어 리드는 또한 섹션 Ⅳ에서 설명된 바와 같은 피쳐들의 표면 텍스쳐링을 구현함으로써 부산물 접착을 더욱 개선할 수 있다. 그러므로, 온도 제어되는 벽 및 캐소드 라이너들 및 온도 제어 리드를 최소화된 가스 입구들과 함께 결합하는 것은 대부분의 플라즈마 프로세싱 영역이, 바람직하게는 높은 접착 텍스쳐링을 갖고, 온도 제어되는 표면들을 포함함을 보장한다.
본 발명의 실시예들을 포함하는 프로세싱 챔버들은 약 120G만큼 높은 자기장과 약 2,500W에 달하는 RF 에너지를 채택하는 유전체 에칭 프로세스들을 가능하게 한다. 약 25,000cc의 챔버 볼륨과 같은 높은 챔버 볼륨, 그리고 예를 들어 약 1,600 1/s 내지 2,000 1/s의 펌핑 속도를 갖는 펌프 시스템과 같은 고용량 진공 펌핑 시스템을 갖는 실시예들은 종래의 자기적으로 강화되고 반응성 이온 에칭 프로세싱 반응기들에서 유용하지 않은 높은 가스 흐름 낮은 챔버 압력 프로세싱 체제(high gas flow-low chamber pressure processing regime)를 가능하게 한다. 높은 펌핑 속도의 하나의 장점은 반응성 종 형성과 잔류 시간을 제어하기 위한 개선된 성능이다. 잔류 시간은 플라즈마에서 일어나는 반응성 가스 분해의 양에 직접적으로 연관된다. 가스 분자가 플라즈마에 오래 노출될수록, 가스 분자의 분해는 계속될 가능성이 크다. 그러므로, 본 발명의 실시예들을 포함하는 에칭 프로세싱 반응기들은 개선된 잔류 시간 제어를 가능하게 함으로써 바람직한 플라즈마 가스 혼합물들을 제공한다.
유전체 에칭 프로세스들을 위한 C4F6의 사용에 대한 시도들이 이전부터 행해지고 보고되어 왔다. 그러나, 이런 보고들은 C4F6를 사용한 유전체 에칭, 특히 예를 들면 헥사플루오로-1 3-부타디엔(hexafluoro-1, 3-Butadiene)(CF2=CFCF=CF2)과 같은 C4F6의 선형 형태에 대하여, 본 발명의 반응기와 같은 평행판 반응기를 사용하는 것과는 거리가 멀게 행해졌다. 더욱이, 발명자들의 최선의 지식에도 불구하고, 보고된 시도들 중 임의의 보고들도 생산 라인에 성공적으로 응용되지 않았다.
예를 들면, Yanagida는 미국 특허 제 5,338,399 호에서 선형 (C4F6)보다는 헥사플루오르화사이클로부텐(hexafluorocyclobutene)(c-C4F6) 고리의 사용을 개시한다. 반면에, 미국 특허 제 5,366,590 호에서 Kadamura는 고리 C4F6의 선형 형태도 사용될 수 있지만, ECR 플라즈마 소스, 유도적으로 결합된 플라즈마 소스, 또는 플라즈마 소스에 결합된 변압기를 사용하여 생성된 바와 같은 고밀도 플라즈마가 가스에 사용되어야만 한다고 제안한다. 유사하게, 일본 출원 Hei 9[1997]-191002에서 Fukuda는 또한 선형 C4F6로 그의 연구를 개시하는데, 이는 ECR 플라즈마 소스를 사용하여 생성된 고밀도 플라즈마를 사용한다. Chatterjee 등은 헥사플루오로-2부틴(hexafluoro-2-buttyne) 및 헥사플루오로-1 3-부타디엔(hexafluoro-1, 3-Butadiene)으로 그들의 연구를 개시하는데, 이는 또한 ECR 플라즈마 소스를 사용하여 생성된 고밀도 플라즈마를 사용한다. 유전체 에칭 응용을 위한 포화되지 않은 플루오르화 탄소의 평가, Ritwik Catterjee, Simon Karecki, Laura Pruette, Rafael Reif, Proc. Electrochem. Soc. PV 99-30(1999). 그러므로, 선행 기술은 헥사플루오로-1 3-부타디엔(hexafluoro-1, 3-Butadiene)과 같은 선형 C4F6를 사용하여 만족스러운 에칭 결과들을 달성하기 위해, 고밀도 플라즈마를 사용하여야 하고, 용량적으로 결합된 플라즈마 소스를 사용하여 달성된 낮은 밀도이거나 중간 밀도인 플라즈마를 사용하면 안된다.
그러나, 본 발명자들은 본 발명의 용량적으로 결합된 플라즈마 소스 내의 선형 C4F6를 사용한 에칭의 우수한 결과들을 제시했다. 본 발명자들은 고밀도 플라즈마 챔버들에 의해 생성된 높은 에너지가 선형 C4F6의 과도한 분해를 야기한다고 믿는다. 그러므로, 그들은 분자들의 분해를 제한하도록, 개선된 결과들은 용량적으로 결합된 챔버를 사용하여 달성될 수 있다고 믿는다. 또한, 본 발명자들은 본 발명의 에칭 챔버에 의해 가능하게 되는 높은 펌핑 용량을 사용함으로써 분해를 더욱 제한한다.
이론에 의해 속박되길 원하지 않지만, 예를 들어 선형 C4F6와 같은 에천트 가스가 프로세싱 챔버의 플라즈마 영역에 들어가서 플라즈마에 노출되기 때문에, 가스는 더 작게 쪼개지거나 분해된다. 일반적으로, 플루오르화 탄소 가스에 대하여, 더 긴 잔류 시간이 플루오르 래디칼 F*의 증가된 단편을 생산하는 반면, 더 짧은 잔류 시간이 바람직한 플루오르화 탄소 래디칼 CFx *의 증가된 퍼센티지를 생산하기 위한 성능을 제공한다. 너무 많은 플루오르 래디칼 생산은 포토레지스트 선택도를 감소시키거/감소시키거나 측벽 제어 프로파일을 감소시킬 수 있다. 출원인들은 포토레지스트 선택도가 일반적으로 약 70 ms 이하의 잔류 시간으로 개선되고, 바람직하게는 약 50 ms 이하의 잔류 시간으로 개선된다는 것을 발견하였다. 출원인들은 산화물 에칭 속도가 약 40 ms의 잔류 시간으로 개선된다는 것을 발견하였다. 잔류 시간은 본 발명의 프로세싱 반응기에 의해 가능해지고 용량적으로 결합된 RIE 모드 내에서 선형 C4F6를 사용하여 에칭을 가능하게 한다.
가스 혼합물 내의 래디칼 형성의 정도를 제어하는 또 다른 유용한 방법은 불활성 가스를 반응성 가스 혼합물로 혼합하는 것에 의한다. 반응성/불활성 가스 혼합물이 플라즈마에 노출될 때 반응성 가스 혼합물 내의 불활성 가스의 양을 증가시키는 것은 반응성 가스로부터 형성된 래디칼들의 양을 감소시킨다고 믿어진다. 약 5:1 내지 약 20:1의 반응성 가스 유량 대 불활성 가스 유량의 비율이 바람직하다. 전체 가스는 약 12:1 내지 보다 바람직한 약 16:1의 반응성 가스 흐름 대 불활성 가스 흐름 비율로 약 50 sccm 내지 약 1,000 sccm의 범위 내에서 흐른다.
본 발명의 실시예들을 포함하는 유전체 에칭 챔버들은 2,500W에 달하는 RF 전력, 약 0 가우스 내지 약 150 가우스의 자기장, 약 40 sccm 내지 약 1,000 sccm의 전체 가스 흐름, 약 20 mT 내지 약 250 mT의 챔버 압력 및 약 20℃ 내지 약 50℃의 범위 내에 있는 라이너 온도를 포함하는 유전체 에칭 프로세스 윈도우를 가능하게 한다. 도 33 내지 도 38에 관하여 아래에 설명된 바와 같이, 본 발명의 실시예들을 포함하는 에칭 반응기들에 의해 가능해진 확대된 프로세스 윈도우는 개선된 유전체 에칭 프로세스 성능, 신뢰도 및 매우 다양한 중요한 유전체 및 산화물 에칭 응용들을 위한 프로세스 전환 융통성을 제공한다.
대표적인 자기 정렬된 콘택 피쳐는 도 33A와 도 33B에서 도시되는데, 스케일링되지 않는다. 도 33A는 예비-에칭 자기 정렬된 콘택 구조(3300)를 도시한다. 도 33B는 에칭 후 자기 정렬된 콘택 구조(3305)를 도시한다. 자기 정렬된 콘택 구조(3300 및 3305) 모두 실리콘 기판(3310) 상에 형성된다. 일반적으로, 워드라인(3315)는 대개 산화물층(3316), WSix층(3317) 및 폴리실리콘층(3318)을 포함한다. 워드라인(3315)은 대개 실리콘 질화물로 형성되는 라이너층(3320)에 의해 덮여진다. 대표적인 비트라인(bitline) 영역(3325)은 인접한 워드라인(3315) 사이에 도시된다. 유전체층(3330)은 라이너층(3320) 위에 형성되고 대개는 예를 들어 O3-TEOS 기반 프로세스로 형성된 산화물층과 같은 실리콘 산화물로 형성된다. 대안적으로, 유전체층(3330)은 예를 들어 붕소 및 인 도핑된 실리콘 유리(BPSG)와 같은 도핑된 실리콘 산화물 막으로 형성될 수 있다. 자기 정렬된 콘택 피쳐(3300)는 예를 들어 반사방지 코팅과 같은 다른 층들을 포함할 수 있고 패턴층(3335)과 유전체층(3330) 사이에서 사용될 수 있다.
또한 마스크 패턴층(3335)은 도 33A의 예비-에칭 자기 정렬된 콘택 피쳐(3300)에서 도시된다. 예비-에칭 자기 정렬된 콘택 피쳐(3300)가 적절한 에칭 프로세스에 노출될 때, 유전체층(3330)이 에칭되어 유전체층(3330) 상에 마스크층(3335)의 패턴이 전사된다. 도 33B에서 도시된 바와 같이, 콘택 영역(3340)은 콘택 영역(3325)에 인접한 유전체층(3330)의 일부가 제거될 때 형성된다.
자기 정렬된 콘택 구조(3300 및 3305)의 정확한 치수들은 콘택 영역(3340)의 룰들과 선폭들을 설계하기 위해, 예를 들어 소자 응용과 같은 많은 고려사항들에 의해 변화할 것이다. 예를 들어, 제한이 아닌 예증의 목적으로, 자기 정렬된 콘택 구조(3300)는 약 6,000 옹스트롬의 두께의 전체 유전체층(3300), 약 650 옹스트롬의 두께의 라이너층(3320) 및 약 0.25 마이크론의 패턴 개구부를 갖는 약 7,000 옹스트롬 이상의 두께의 마스크층(3335)을 갖는 0.25 마이크론 설계 룰 소자일 수 있다. 본 발명에 의해 가능해진 자기 정렬된 콘택 에칭 프로세스들은 약 0.25 마이크론 이하의 선폭들을 갖고 바람직하게는 약 0.1 마이크론 내지 약 0.18 마이크론 이하의 선폭들을 갖는 설계 룰에 따라 자기 정렬된 콘택 에칭을 가능케한다.
자기 정렬된 콘택 피쳐의 에칭은 워드라인 측벽에서의 에칭 중단이나 잔류 산화물을 피하기 위해 필요하기 때문에 일부분 중요한 유전체 에칭 응용이다. 부가적으로, 적절한 자기 정렬된 콘택 에칭 프로세스는 질화물 쇼울더(3345) (nitride shoulder)에 대한 선택도를 최대화시켜야 한다. 바람직하게는, 질화물 쇼울더 선택도는 약 20:1보다 크다.
적절한 자기 정렬된 콘택 에칭 프로세스 화학 물질은 전체 가스 흐름이 약 700 sccm 이상이고 불활성 가스가 전체 가스 흐름의 약 90% 이상을 포함하는 플루오르화 탄소 가스, 산소 포함 가스 및 불활성 가스를 포함한다. 반응성 가스 비율은 반응성 가스 흐름 대 불활성 가스 흐름의 비율을 언급한다. 이 예에서, 반응성 가스 비율은 플루오르화 탄소 가스와 산소 포함 가스의 결합된 가스 유량 대 불활성 가스 유량의 비율일 것이다. 적절한 자기 정렬된 콘택 에칭 프로세스는 약 12:1 내지 약 16:1의 반응성 가스 비율을 갖고, 바람직하게는 약 14.5:1의 반응성 가스 비율을 갖는다. 특정한 실시예에서, 산소 포함 가스의 유량 대 플루오르화 가스의 유량의 비율은 약 1.5:1 내지 약 2:1이다. 챔버 압력은 약 30 mT 내지 약 40 mT로 유지되고, RF 전력은 약 1,800 W 내지 약 2,000 W로 유지되며, 자기장은 약 50 G이고 에칭 챔버는 약 1,600 1/s 내지 약 2,000 1/s의 속도로 배출된다. 특정한 바람직한 실시예에서, 에칭 챔버는 초당 약 48 챔버 볼륨 내지 초당 약 80 챔버 볼륨의 속도로 배출된다. 또 다른 바람직한 실시예에서, 벽 또는 바람직하게는 기판에 인접한 온도 제어 라이너의 온도가 약 50℃로 유지되는 동안, 기판 지지부 또는 캐소드는 약 15℃ 내지 약 20℃로 유지된다. 특정한 바람직한 실시예에서, 플루오르화 탄소 가스는 C4F6이고, 산소 포함 가스는 O2이며, 불활성 가스는 Ar이다.
대표적인 높은 애스펙트비 유전체 에칭 프로세스는 도 34A 및 도 34B를 참조하여 설명될 것이다. 도 34A는 예비-에칭 높은 애스펙트비 구조(3400)를 도시하고 도 34B는 에칭 후 높은 애스펙트비 구조(3405)를 도시한다. 구조(3400)와 구조(3405)는 모두 스케일되어 도시되지 않는다. 이러한 관계에 있어서, 높은 애스펙트비 유전체 에칭 프로세스는 약 5:1 내지 약 6:1보다 큰 애스펙트비을 갖는 피쳐들의 에칭으로 정의되는 반면, 매우 높은 애스펙트비 프로세스는 약 10:1 내지 약 20:1의 범위 내의 애스펙트비를 갖는 피쳐들의 에칭으로 정의된다. 예를 들면, 도 34B의 피쳐(3430)의 애스펙트비는 피쳐 폭(3426)에 대한 유전체층 두께(3422)의 비율이다. 본 발명의 실시예들을 포함하는 자기적으로 강화된 반응성 이온 에칭 챔버들은 높은 애스펙트비 피쳐들과 매우 높은 애스펙트비 피쳐들 모두를 에칭 가능하다.
이제 도 34A를 살펴보면, 실리콘 기판(3410) 위에 형성된 정지층(3415)을 포함하는 대표적인 예비-에칭 높은 애스펙트비 구조(3400)가 도시된다. 두께(3422)를 갖는 유전체층(3420)은 정지층(3415) 위에 형성된다. 마스크층(3425)은 유전체층(3420) 위에 형성된다. 정지층(3415)은 예를 들어 실리콘 질화물과 같은 적절한 정지층 재료로 형성될 수 있다. 물론, 정지층 재료의 특정한 유형은 소자 유형과 특정한 소자의 설계 룰들에 의할 것이다.
도 34B는 높은 애스펙트비 피쳐(3430)를 포함하는 에칭 후 높은 애스펙트비 구조(3405)를 도시한다. 높은 애스펙트비 피쳐(3430)는 유전체층(3420) 상에 마스크층(3430)의 패턴을 전달함으로써 유전체층(3420) 내에 형성된다. 마스크층(3425)의 패턴은 아래에서 보다 상세히 설명되는 본 발명의 실시예들을 포함하는 에칭 프로세싱 반응기 내에서 적절한 높은 애스펙트비 유전체 에칭 프로세스들을 수행함으로써 유전체층(3420) 상으로 전달된다. 특정한 피쳐 폭(3426)이 설계 룰들에 의해 변화할 동안, 일반적으로, 피쳐 폭(3426)은 약 0.25 마이크로미터로부터 약 0.1 마이크로미터로 변화한다. 피쳐 깊이는 유전체층(3420)의 두께에 대응한다.
유전체층 두께(3422)가 증가함에 따라, 마스크층(3425) 포토레지스트 재료에의 높은 애스펙트비 유전체 에칭 프로세스의 선택도는 보다 중요해진다. 에칭 중단의 가능성은 또한 유전체층 두께(3422)의 증가와 함께 증가한다. 감소하는 피쳐 폭(3426)은 또한 콘택(3430)의 적절한 측벽 프로파일을 유지하기 위한 과제이다. 콘택(3430)의 굽은 또는 요각의 측벽 프로파일은 정지층(34115)에 인접한 콘택(3430)의 바닥에 허용불가능한 정도의 작은 직경을 야기할 수 있다. 높은 애스펙트비 콘택 에칭은 피쳐 폭의 감소, 콘택 깊이의 증가, 포토레지스트 재료들에 대한 선택도 및 측벽 프로파일 제어로 인한 과제들 때문에 중요한 유전체 에칭 프로세스이다.
높은 애스펙트비 피쳐 에칭은 또한 예를 들어 BPSG와 같은 도핑된 실리콘 산화물을 포함하는 유전체층(3420)에 의해 복잡해질 수 있다. 다단계 구조를 형성하는 다수의 유전체 재료들을 포함하는 유전체층들(3420)은 또한 높은 애스펙트비 피쳐 에칭에 많은 과제들을 제시한다. 다단계 구조의 하나의 예는 예를 들어 스택 축전기 DRAM 구조들의 둘레의 영역들에서 주로 관찰되는 피쳐들과 같은 서로 다른 깊이에서 다수의 중간 정지층들을 포함하는 유전체층(3420)을 갖는 피쳐 구조이다.
높은 애스펙트비 구조(3400)의 정확한 치수들은 예를 들어 특정한 소자의 소자 응용 및 설계 룰들과 같은 많은 고려사항들에 의해 변화할 것이다. 예를 들면, 대표적인 높은 애스펙트비 구조(3400)는 약 0.25 마이크론의 마스크(3426), 약 7,000 옹스트롬의 두께의 마스크층(3425), 약 15,000 옹스트롬의 유전체층 두께(3422) 그리고 약 500 옹스트롬의 정지층(3415) 두께를 가질 수 있다. 이러한 특정한 치수들은 제한의 목적이 아닌 예증의 목적임이 인식되어야 할 것이다. 본 발명의 실시예들을 포함하는 자기적으로 강화된 반응성 이온 에칭 챔버들은 높은 애스펙트비과 약 0.25 마이크론 내지 약 0.1 마이크론의 선폭들(즉, 예를 들어 콘택(3426))을 갖는 약 5:1 내지 약 20:1의 애스펙트비을 갖는 매우 높은 애스펙트비 피쳐들을 에칭할 수 있다.
과제들을 만족시키는 적절한 높은 애스펙트비 유전체 피쳐 에칭 프로세스 윈도우는 약 100 G에 달하는 높은 자기장, 약 2,000 W에 달하는 높은 RF 전력 및 약 500 sccm 내지 약 1,000 sccm 사이의 높은 불활성 가스 흐름을 포함한다. 증가된 자기장은 마스크층 내의 포토마스크 재료에 대한 증가된 선택도를 제공하고 측벽 휨(bowing)을 감소시킨다. 증가된 불활성 가스 흐름은 더 넓은 범위의 반응성 가스 희석을 제공하고 이로써 잔류 시간과 번갈아 포토레지스트 선택도를 더욱 개선하는 반응성 종 형성을 감소시킨다. 게다가, 자기 정렬된 콘택 에칭에 대해 위에서 설명된 본 발명의 증가된 펌프 속도는 잔류 시간과 반응성 종 형성의 제어를 더욱 개선하기 위하여 높은 애스펙트비 에칭에서 채택될 수 있다.
적절한 높은 애스펙트비 유전체 에칭 프로세스는 플루오르화 탄소, 산소 포함 가스, 및 불활성 가스를 포함하는데, 여기서 전체 가스 흐름은 약 700 sccm 이상이고 불활성 가스는 전체 가스 흐름의 약 90 퍼센트 이상을 포함한다. 적절한 높은 애스펙트비 유전체 에칭 프로세스는 약 10:1 내지 약 15:1의 반응성 가스 비율을 갖는다. 특정한 실시예에서, 산소 포함 가스의 유량 대 플루오르화 탄소의 유량의 비율은 약 1.5:1이다. 특정한 실시예에서, 에칭을 위해 사용된 가스 혼합물은 전체 가스 흐름의 약 3 퍼센트 내지 약 6 퍼센트를 제공하는 플루오르화 가스 흐름, 전체 가스 흐름의 약 1 퍼센트 내지 약 4 퍼센트를 포함하는 산소 포함 가스 그리고 전체 가스 혼합물 흐름의 90 퍼센트 이상을 차지하는 불활성 가스를 포함한다.
특정한 실시예에서, 챔버 압력은 약 20 mT 내지 약 60 mT 사이에서 유지되고, RF 전력은 약 1,000 W 내지 약 2,000 W 사이에 있으며, 자기장은 약 100 G에서 유지되고, 에칭 챔버는 초당 약 48 챔버 볼륨 내지 초당 약 80 챔버 볼륨의 속도로 배출된다. 또 다른 바람직한 실시예에서, 기판 지지부는 약 -20도에서 유지되는 반면, 벽 또는 바람직하게는 직접적인 온도 제어 라이너는 약 15도에서 유지된다. 특정한 바람직한 실시예에서, 플루오르화 탄소 가스는 C4F6이고, 산소 포함 가스는 O2이며, 불활성 가스는 Ar이다.
도 35A 및 도 35B는 각각 대표적인 금속 비아 예비-에칭 및 금속 비아 에칭 후 구조들(3500 및 3505)을 도시한다. 일반적으로, 금속 비아 에칭 프로세스들은 전자 소자 내의 금속층들 사이에 상호 연결 구조들을 형성할 때 중요하다. 대개는, 금속 비아 에칭 동안 유전체 재료 내에 형성된 비아는 예를 들어 알루미늄 기초 금속화 설계에서 일반적으로 사용되는 텅스텐 플러그와 같은 금속에 의해 나중에 충전된다. 적절한 금속 비아 에칭 프로세스들은 장벽층(3515)에 대해 선택적이거나 대안적으로, 밑에 있는 금속층(3510)에 대해 선택적이다.
도 35A는 금속층(3510) 위에 형성된 예비-에칭 금속 비아 충전 구조(3500)를 도시한다. 예를 들어 티타늄과 티타늄 질화물을 포함하는 층과 같은 장벽층(3515)이 금속층(3515) 위에 형성되어 유전체층(3520)과 금속층(3510)을 분리한다. 유전체층(3520)은 대개는 TEOS 기반 실리콘 이산화물이고 선택적으로 HDP-CVD 실리콘 이산화물막일 수 있다. 도 35A는 또한 마스킹층(3530) 아래의 반사방지 코팅층(3525)의 사용을 도시한다.
유전체층(3520)의 두께 및 콘택 비아(3535)의 폭과 같은 금속 비아 에칭 구조들(3500 및 3505)의 특정 치수들은 비아 구조의 유형과 특정 소자 내에서 사용되는 설계 룰에 따라 변화한다. 예를 들면, 0.25 마이크론 피쳐 소자는 약 10,000 옴스트롱 두께의 유전체층(3520)을 포함하며 약 500 옴스트롱 두께의 배리어층(3515) 및 티타늄 질화물로 형성된다. 본 발명의 실시예들을 포함하는 에칭 반응기들은 약 0.25 마이크론 내지 약 0.1 마이크론의 선폭들을 갖는 콘택 비아와 약 5:1에 달하는 애스펙트비를 갖는 비아를 에칭할 수 있다.
적절한 금속 비아 에칭 가스 조성물의 화학물질은 플루오르화 탄소 가스, 산소 포함 가스 및 불활성 가스를 포함하는데, 여기서 전체 가스 흐름은 약 500 sccm 미만이다. 특정 실시예에서, 불활성 가스 유량은 전체 가스 조성물 흐름의 약 85 퍼센트를 제공하고 불활성 가스 대 반응성 가스들의 비율(즉, 불활성 가스 유량 대 플루오르화 탄소 가스와 산소 포함 가스의 조합된 유량의 비율)은 약 4:1 내지 약 6:1 사이에 있다. 특정한 바람직한 실시예에서, 플루오르화 탄소 가스는 전체 가스 조성물 흐름의 약 9.5 퍼센트를 제공하고, 챔버는 약 20mT에서 유지되며, RF 전력은 약 1,500W이고, 자기장은 약 50가우스이며 기판 지지부와 벽, 또는 바람직하게는 기판 지지부에 인접한 온도 제어 라이너는 거의 동일한 온도에서 유지된다.
선택적인 실시예에서, 금속 비아 에칭 프로세스를 위한 가스 조성물은 1:3의 탄소 대 불소 비율을 갖는 제 1 플루오르화 탄소 가스, 약 2:1의 탄소 대 불소 비율을 갖는 제 2 플루오르화 탄소 가스 및 임의의 불활성 가스를 포함하며, 가스 조성물의 전체 가스 흐름은 약 200 sccm 내지 약 300 sccm 이다. 특정한 바람직한 실시예에서, 제 1 플루오르화 탄소 가스는 전체 가스 조성물 흐름의 약 14 퍼센트 내지 약 18 퍼센트를 포함하고, 제 2 플루오르화 탄소 가스는 가스 조성물 전체 흐름의 약 13 퍼센트 내지 약 16 퍼센트를 포함한다. 특정한 바람직한 실시예에서, 제 1 플루오르화 탄소 가스 유량 대 불활성 가스 유량의 비율과 제 2 플루오루화 탄소 가스 유량 대 불활성 가스 유량의 비율은 약 0.2 내지 약 0.25 사이이다. 또 다른 특정한 실시예에서, 제 1 플루오르화 탄소 가스는 C2F6이고, 제 2 플루오르화 탄소 가스는 C4F8이며, 불활성 가스는 Ar이고, 챔버는 약 200 mT 이하에서 유지되며, RF 전력은 약 1,800W이고, 자기장은 약 30G이며, 챔버는 초당 약 1,600 리터 내지 초당 약 2,000 리터의 속도로 배출된다.
도 36A 및 도 36B는 마스크 개방 응용의 대표적인 피쳐 구조들을 도시한다. 도 36A 및 도 36B는 스케일대로 그려지지 않았다. 예를 들면 실리콘 질화물과 같은 임의의 마스크 재료들은 다른 마스크 재료들보다 에칭되기 훨씬 어려워서 "하드 마스크"로 언급된다. 도 36A는 예비-하드 마스크 에칭 구조(3600)를 도시한다. 하드 마스크층(3615)은 매우 다양한 다른 층들 및 재료들 위에서 형성될 수 있지만, 도 36A 및 도 36B는 예를 들어 실리콘 질화물과 같은 적절한 하드 마스크 재료로 형성된 실리콘 기판(3610) 상에 직접 증착된 하드 마스크층(3615)을 도시한다. 질화물 하드 마스크들은 예를 들면 능동 영역 하드 마스크 에칭과 디드 전도체(deed conductor) 하드 마스크 에칭을 포함한다. 도 36A는 또한 포토마스크 패턴층(3625) 아래에서의 반사방지 코팅층(3620)의 사용을 도시한다. 도 36B는 하드 마스크 에칭 후 구조(3605)를 도시하는데 여기서 포토마스크층(3625)의 패턴은 본 발명의 실시예들을 포함하는 에칭 프로세싱 챔버에서 수행되는 적절한 하드 마스크 에칭 프로세스에 의해 하드 마스크층(3615)으로 전사된다.
적절한 하드 마스크 개방 프로세스 화학 물질은 수소화 플루오르화 탄소(hydrofluorocarbon) 가스, 플루오르화 탄소 가스 및 산소 포함 가스를 포함하는 가스 조성물을 포함하는데, 여기서 가스 조성물의 전체 가스 흐름은 약 50 sccm 내지 약 200 sccm 이다. 특정한 실시예에서, 수소화 플루오르화 탄소 가스는 전체 가스 조성물 유량의 약 절반 이상을 포함하고 산소 포함 가스 유량은 전체 가스 조성물 유량의 약 15 퍼센트 미만을 포함한다. 또 다른 특정한 실시예에서, 수소화 플루오르화 탄소 가스의 유량 대 플루오르화 탄소 가스의 유량의 비율은 약 1.5:1이다. 또 다른 특정한 실시예에서, 결합된 수소화 플루오르화 탄소 가스 유량과 플루오르화 탄소 가스 유량 대 산소 포함 가스의 유량의 비율은 약 5.5:1이다.
특정한 바람직한 실시예에서, 수소화 플루오르화 탄소 가스는 CHF3이고, 플루오르화 탄소 가스는 CF4이며, 산소 포함 가스는 O2이고, 프로세스 챔버 내의 압력은 약 20 mT 내지 약 80 mT 사이에서 유지되며, RF 전력은 약 500 W이다. 또 다른 특정한 실시예에서, 기판 지지부는 인접한 벽 또는 바람직하게는 온도 제어 라이너의 온도보다 섭씨 약 15도 정도 높게 유지된다.
도 37A 및 도 37B는 각각 예비-에칭 스페이서 구조(3700) 및 에칭 후 스페이서 구조(3705)를 도시한다. 도 37A 및 도 37B는 스케일되어 도시되지 않았다. 예비-에칭 스페이서 구조(3700)는 실리콘 기판(3710) 상부의 하부층(3720) 위에 형성된 피쳐(3715)를 도시한다. 유전체층(3725)은 피쳐(3715)와 하부층(3720) 모두 위에 형성된다. 도 37B의 에칭 후 스페이서 구조(3705)는 아래에 상세히 설명되는 적절한 스페이서 에칭 프로세스를 수행한 후에 형성된다. 스페이서 에칭 후 구조(3705)에서, 스페이서 피쳐(3725)는 피쳐(3715)의 상부 부분을 노출시키고 하부층(3720)의 대부분을 제거시키도록 유전체층(3725)을 에칭함으로써 형성된다. 대표적인 스페이서 구조에서, 피쳐(3715)는 폴리실리콘으로 형성될 수 있고 하부층(3720)은 실리콘 이산화물로 형성될 수 있다.
일반적으로, 스페이서 에칭 프로세스들은 하부층(3720)에 대한 선택도에 기초한 두 개의 카테고리로 나뉠 수 있다. 예를 들면, 위에서 설명된 스페이서 구조에서, 스페이서 에칭 프로세스는 밑에 있는 실리콘 이산화물층에 대해 선택적이다. 대안적으로, 유전체층(3725)과 하부층(3720) 모두의 제거가 바람직할 때, 실리콘 기판(3710)에 대해 선택적인 스페이서 에칭 프로세스는 실리콘 기판(3710)에 도달 시 중단하기 전에 유전체층(3725)과 하부층(3720) 모두를 에칭하기 위해 사용될 수 있다.
적절한 스페이서 에칭 프로세스 화학 물질을 형성하기 위해 사용되는 가스 조성물은 수소화 플루오르화 탄소 가스, 플루오르화 탄소 가스, 산소 포함 가스 및 불활성 가스를 포함하고, 가스 조성물의 전체 가스 흐름은 약 50 sccm 내지 약 200 sccm이다. 특정한 실시예에서, 수소화 플루오르화 탄소 가스 흐름은 전체 가스 흐름의 약 40 퍼센트 이상을 포함하고 산소 포함 가스는 전체 가스 혼합물 유량의 약 5 퍼센트 이하를 포함한다. 또 다른 특정한 실시예에서, 수소화 플루오르화 탄소 가스 흐름 대 플루오르화 탄소 가스 흐름의 비율은 약 2.5:1이다. 또 다른 특정한 실시예에서, 수소화 플루오르화 탄소 가스와 플루오르화 탄소 가스의 결합된 유량대 불활성 가스의 유량은 약 1.75:1이다.
특정한 바람직한 실시예에서, 플루오르화 탄소는 CF4이고, 수소화 플루오르화 탄소 가스는 CHF3이며, 산소 포함 가스는 O2이고, 불활성 가스는 Ar이며, 에칭 챔버의 압력은 약 20 mT 내지 약 80 mT 사이에서 유지되고, RF 전력은 약 400 W이며, 기판 지지부는 인접한 벽 또는 바람직하게는 인접한 직접 온도 제어 라이너 보다 섭씨 약 25도 높은 온도에서 유지된다.
도 38A 및 도 38B는 이중 다마신 피쳐들을 에칭하기 위한 대표적인 구조들을 도시한다. 도 38A는 이중 다마신 유전체 예비-에칭 구조(3800)를 도시하고 도 38B는 이중 다마신 유전체 에칭 후 구조(3805)를 도시한다. 도 38A 및 도 38B는 스케일대로 도시되지 않았다.
도 38A는 예를 들어 구리층(3810)과 같은 금속층 위에서 형성된 기본적인 이중 다마신 구조를 도시한다. 소위 트렌치 유전체층(3830)과 비아 유전체층(3820)의 두 유전체층들은 하기에 보다 상세히 논의되는 바와 같이 적절한 이중 다마신 유전체 에칭 프로세스 동안 에칭된다. 바닥 질화물층(3815)은 비아 유전체층(3820)과 구리층(3810)을 분리한다. 중간 질화물층(3825)은 비아 유전체층(3820)과 트렌치 유전체층(3830)을 분리한다. 임의의 이중 다마신 에칭 프로세스들에서, 중간 질화물층(3825)은 트렌치 유전체층(3830)을 에칭하기 위한 정지층으로서 사용되고 바닥 질화물층(3815)은 비아 유전체층(3820)을 에칭하기 위한 정지층으로서 사용된다. 도 38B는 비아 피쳐(3850)와 상호접속 피쳐(3855)를 포함하는 이중 다마신 에칭 후 구조(3805)를 도시한다. 대개는, 비아 피쳐(3850)와 상호접속 피쳐(3855)는 차후 금속배선 프로세스에 의해 충전된다.
이중 다마신 피쳐들을 형성하기 위해 사용되는 적어도 세 가지의 기본적인 프로세스 흐름은 다음과 같다: 자기 정렬, 트렌치 퍼스트, 및 비아 퍼스트. 다른 구조들이 사용될 수 있고 사용되지만, 일반적으로 전형적인 이중 다마신 에칭 프로세스들은 도 38A의 구조(3800)와 같은 예비-에칭 구조로 시작하고, 도 38B에 도시된 바와 같은 비아 피쳐(3850)와 상호접속 피쳐(3855)를 갖는 구조로 종료된다.
자기 정렬된 이중 다마신 프로세스에서, 비아 패턴은 중간 질화물층(3825)을 개방함으로써 먼저 에칭된다. 에칭 정지층으로서 바닥 질화물층(3815)을 사용하는 순차적 에칭 단계 동안, 비아 피쳐(3850)와 상호접속 피쳐(3855) 모두가 형성된다. 결국, 바닥 질화물층(3815)은 구리층(3810)을 노출시키도록 제거된다.
트렌치 퍼스트 이중 다마신 프로세스에서, 마스크 패턴층(3835)은 상호접속 피쳐(3855)와 비아 피쳐(3850)의 상부부분(3860)을 위한 패턴을 형성한다. 결과적인 중간 구조는 상호접속 피쳐(3855)와 비아 피쳐(3860)의 상부 부분을 포함한다. 그 다음에 중간 구조는 에칭 정지층으로서 바닥 질화물층(3815)을 사용하여 비아 피쳐(3865)의 하부 부분을 형성하기 위해 패터닝되고 에칭된다. 그 다음에 순차적인 에칭 단계는 바닥 질화물층(3815)을 제거하고 구리층(3810)을 노출시키기 위해 사용된다.
비아 퍼스트 이중 다마신 프로세스에서, 비아 패턴은 마스크 패턴에 의해 형성된다. 비아 패턴은 유전체층들(3830)과 중간 질화물층(3825) 모두에 순차적으로 전달된다. 이 단계는 비아 구조(3850)의 하부 부분(3865)을 포함하는 중간 구조를 형성한다. 다음에, 트렌치 마스크 패턴은 트렌치들, 즉 상호접속 피쳐(3855)와 콘택 피쳐(3860)의 상부부분을 패터닝하기 위해 중간 구조 위에서 형성된다. 바닥 질화물층(3815)은 구리층(3810)을 노출시키면서 순차적으로 제거된다.
이중 다마신 구조들(3800 및 3805)의 정확한 치수들은 예를 들어 이중 다마신 프로세스 반복의 유형과 특정한 소자의 설계 룰들과 같은 많은 고려사항들에 의해 변화할 것이다. 특정한 설계 룰들은 트렌치 피쳐(3855), 비아 피쳐(3850)의 치수들과 더욱 중요하게는 콘택 영역(3865)의 선폭을 결정한다. 본 발명의 실시예들을 포함하는 에칭 프로세스 챔버들은 약 0.3 마이크론 내지 약 0.25 마이크론의 선폭들을 갖는 이중 다마신 구조들과 약 0.1 마이크론 내지 약 0.2 마이크론의 선폭들을 갖는 구조들까지 에칭할 수 있다.
적절한 이중 다마신 트렌치 에칭 프로세스 화학물질은 약 1:3의 탄소 대 불소의 비율을 갖는 플루오르화 탄소 가스와 탄소와 산소를 포함하는 가스를 포함한다. 바람직한 실시예에서, 가스 조성물의 대부분은 탄소 및 산소를 포함하는 가스를 포함하며, 가스 조성물의 전체 흐름은 약 200 sccm 내지 약 400 sccm 이다. 특정한 바람직한 실시예에서, 가스 조성물의 적어도 대략 60 퍼센트는 산소와 탄소를 포함하는 가스를 포함한다. 또 다른 특정한 실시예에서, 탄소 및 산소 포함 가스 유량 대 플루오르화 탄소 가스의 유량의 비율은 약 1.67:1이다. 또 다른 특정한 바람직한 실시예에서, 플루오르화 탄소 가스는 C2F6이고, 산소와 탄소를 포함하는 가스는 CO이며, 프로세싱 챔버의 압력은 약 100 mT 내지 약 200mT 사이에서 유지되고, 프로세싱 영역 내의 자기장은 약 30G이며, RF 전력은 약 1,500 W이다.
이중 다마신 구조가 질화물 정지층을 포함하는 선택적인 실시예에서, 적절한 이중 다마신 에칭 프로세스 화학물질은 약 1:2의 C:F 비율을 갖는 중합화 플루오르화 탄소, 산소 포함 가스, 및 불활성 가스를 포함하는 가스 조성물을 포함한다. 특정한 바람직한 실시예에서, 불활성 가스는 전체 가스 혼합물의 약 90 퍼센트 이상을 포함하고, 산소 포함 가스는 전체 가스 혼합물 흐름의 약 1 퍼센트 미만을 포함한다. 또 다른 특정한 바람직한 실시예에서, 불활성 가스 유량 대 중합화 플루오르화 탄소 가스와 산소 포함 가스의 결합된 유량의 비율이 약 20:1 내지 약 22:1이다. 특정한 바람직한 실시예에서 중합화 플루오르화 탄소 가스는 C4F8이고, 산소 포함 가스는 O2이며, C4F8 유량 대 O2 유량의 비율은 약 3:1 내지 4:1이다. 특정한 바람직한 실시예에서, 가스 혼합물은 C4F8, O2, 및 Ar을 포함하는데, Ar 유량은 전체 가스 혼합물 유량의 약 95 퍼센트 이상이고, C4F8 유량은 전체 가스 조성물 유량의 약 3 퍼센트 이상을 포함하며, 챔버는 약 80mT에 유지되고, RF 전력 레벨은 약 1,800W이며, 프로세싱 영역 내의 자기장은 약 20G이며, 기판 지지부는 인접한 벽 또는 바람직하게는 온도 제어 라이너의 온도보다 섭씨 약 10도 높게 유지된다.
하나의 적절한 이중 다마신 비아 에칭 화학물질은 약 2:3의 C:F 비율을 갖는 플루오르화 가스, 및 산소 포함 가스 및 불활성 가스를 포함하는 가스 조성물을 포함한다. 특정한 바람직한 실시예에서, 에칭 챔버는 약 30 mT 내지 약 80 mT 사이의 범위 내에 유지되고, 전체 가스 혼합물 유량은 약 300 sccm 내지 약 500 sccm이며, 불활성 가스 유량 대 2:3의 C:F 비율을 갖는 플루오르화 탄소 가스와 산소 포함 가스의 결합된 유량의 비율은 약 5:1 내지 약 7:1이고, 더욱 바람직하게는 약 6:1이다. 특정한 바람직한 실시예에서, 2:3의 C:F 비율을 갖는 플루오르화 탄소 가스는 C4F6이고, 산소 포함 가스는 O2이며, 불활성 가스는 Ar이고, C4F6은 전체 가스 혼합물 흐름의 약 5% 내지 9%를 포함하고, 불활성 가스 흐름은 가스 혼합물 흐름의 약 80 퍼센트 이상을 포함하며, 챔버는 약 50mT에서 유지되고, RF 전력은 약 1,800W이며, 프로세싱 영역 내의 자기장은 약 50G이다.
또 다른 선택적인 실시예에서, 2 단계 이중 다마신 비아 에칭 프로세스가 사용될 수 있다. 2 단계 이중 다마신 비아 에칭 프로세스에 적절한 화학물질은 중합화 플루오르화 탄소 가스, 수소화 플루오르화 탄소 가스, 산소 포함 가스 및 불활성 가스를 포함하는 가스 조성물을 포함하는데, 불활성 가스 흐름 대 중합화 플루오르화 탄소 가스, 수소화 플루오르화 탄소 가스, 및 산소 포함 가스의 결합된 가스 흐름들의 비율은 약 4:1 내지 약 6:1이고 제 2 단계에서 사용된 중합화 플루오르화 탄소 가스는 제 1 단계의 가스보다 더 많다. 적절한 2 단계 이중 다마신 비아 프로세스의 특정한 바람직한 실시예에서, 제 1 단계 가스 혼합물은 약 3 퍼센트 미만의 중합화 플루오르화 탄소 가스, 약 4 퍼센트 내지 약 5 퍼센트의 산소 포함 가스, 약 7 퍼센트 내지 약 9 퍼센트의 수소화 플루오르화 탄소 가스 및 약 80 퍼센트 이상의 불활성 가스를 포함하는 반면, 제 2 단계는 약 4 퍼센트 이상의 중합화 플루오르화 탄소 가스, 약 4 퍼센트 내지 약 5 퍼센트의 산소 포함 가스, 약 7 퍼센트 내지 약 8 퍼센트의 수소화 플루오르화 탄소 가스 및 약 80 퍼센트 이상의 불활성 가스를 포함한다. 특정한 바람직한 실시예에서, 각 에칭 단계에서의 전체 가스 조성물 유량은 약 500 sccm 내지 약 1,000 sccm이고, 압력은 약 50mT이며, RF 전력 레벨은 약 2,000 W이고, 프로세싱 영역에 인가된 자기장은 약 15G이다. 또 다른 특정한 바람직한 실시예에서, 중합화 플루오르화 탄소 가스는 C4F6이고, 수소화 플루오르화 탄소 가스는 CHF3이며, 산소 포함 가스는 O2이고 불활성 가스는 Ar이다.
선택적인 에칭 프로세스 챔버 실시예들과 위에서 설명된 중요한 에칭 프로세스들은 본 발명의 높은 배출 펌프 속도와 감소된 반응성 종 잔류 시간 제어 피쳐의 관점들을 구현할 수 있다는 것이 인식되어야 한다.
상기 용어들 "아래에", "위에", "하부", "상부", "아래로", "제 1", "제 2" 및 다른 위치를 나타내는 용어들은 도면의 실시예들의 관점에서 보여진 것이고 상기 프로세싱 시스템의 상대적인 방향에 의해 변화될 수 있다.
더욱이, 특히 청구항들을 포함하는 본 명세서에서, "a" 또는 "the"와 함께 "포함하는"을 사용하는 것과, 그 변형들은 상기 참조된 항목(들) 또는 목록(들)이 적어도 열거된 항목(들) 및 목록(들)을 포함하고, 더욱이 언급되지 않은 다수의 항목(들) 또는 목록(들)을 포함할 수 있음을 의미한다.
본 발명의 내용들을 구현하는 본 발명의 실시예가 여기서 상세히 도시되고 설명되지만, 당업자라면 본 발명의 본질에서 벗어나지 않고 상기 내용들을 여전히 구현하는 다른 변화된 실시예들을 쉽게 고안할 수 있을 것이다.

Claims (34)

  1. 대기압 이하 압력에서 기판들의 플라즈마 에칭 프로세싱을 위해 열적으로 제어되는 반응기로서,
    (a) 가스 입구, 가스 출구 및 내부 표면을 가지며 프로세싱 볼륨을 한정하는 진공 챔버;
    (b) 내부 유체 통로를 가지며 상기 내부 표면에 인접하게 배치된 열적으로 제어되는 라이너;
    (c) 상기 진공 챔버 내에 배치된 열적으로 제어되는 기판 지지부; 및
    (d) 상기 진공 챔버의 내부와 접속되는 펌프
    를 포함하며, 상기 열적으로 제어되는 라이너는 플라즈마 제한 자석을 포함하는 것을 특징으로 하는 열적으로 제어되는 반응기.
  2. 제 1 항에 있어서,
    상기 열적으로 제어되는 기판 지지부는 세라믹 재료로 형성되는 것을 특징으로 하는 열적으로 제어되는 반응기.
  3. 제 2 항에 있어서,
    상기 세라믹의 고유 저항은 5e10Ω-cm 내지 5e13Ω-cm 사이인 것을 특징으로 하는 열적으로 제어되는 반응기.
  4. 제 1 항에 있어서, 상기 열적으로 제어되는 기판 지지부는
    (a) 세라믹 기판 지지부;
    (b) 유체 채널을 갖는 지지 판; 및
    (c) 상기 세라믹 기판 지지부 및 상기 지지 판 사이에 배치된 열전도성층
    을 더 포함하는 것을 특징으로 하는 열적으로 제어되는 반응기.
  5. 제 4 항에 있어서,
    상기 열전도성층은 감압 아크릴 접착제로 형성되는 것을 특징으로 하는 열적으로 제어되는 반응기.
  6. 제 5 항에 있어서,
    상기 감압 아크릴 접착제는 금속 또는 금속 합금을 포함하는 것을 특징으로 하는 열적으로 제어되는 반응기.
  7. 제 6 항에 있어서,
    상기 금속 합금은 2티타늄 디보라이트(titanium diborite)를 포함하는 것을 특징으로 하는 열적으로 제어되는 반응기.
  8. 삭제
  9. 제 1 항에 있어서,
    상기 프로세싱 볼륨과 상기 펌프 사이에 흐름 변류기를 더 포함하는 것을 특징으로 하는 열적으로 제어되는 반응기.
  10. 제 9 항에 있어서,
    상기 챔버 내부에 형성된 플라즈마가 상기 변류기를 지나 배출 채널로 연장되는 것을 방지하도록 구성되며 상기 변류기와 인접하게 배치되는 자석 시스템을 더 포함하는 것을 특징으로 하는 열적으로 제어되는 반응기.
  11. 자기적으로 강화된 열적으로 제어되는 플라즈마 에칭 챔버 내에 배치된 기판 상의 유전체층 상에 피쳐들을 플라즈마 에칭하는 방법으로서,
    (a) 열적으로 제어되는 플라즈마 에칭 챔버의 프로세싱 영역 내에 기판을 배치하는 단계;
    (b) 상기 열적으로 제어되는 플라즈마 에칭 챔버의 프로세싱 영역에 인접하게 배치된 벽의 온도를 상기 벽 상에서 중합체 부산물의 접착이 이루어지는 50℃의 온도가 생성되도록 제어하는 단계;
    (c) 기판 지지부의 온도를 제어하는 단계;
    (d) 상기 프로세싱 영역의 압력을 유지하는 단계;
    (e) 상기 프로세싱 영역으로 노즐을 통해 가스 조성물을 유입시키는 단계 - 상기 노즐은 상기 노즐 상에서 중합체 부산물의 접착이 방지되도록 상기 벽 보다 높은 온도임 - ;
    (f) 상기 가스 조성물로부터 플라즈마를 형성하기 위해 상기 프로세싱 영역 에 RF 에너지를 결합하는 단계; 및
    (g) 상기 프로세싱 영역에 상기 기판을 횡단하는 자기장을 제공하는 단계
    를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  12. 제 11 항에 있어서,
    상기 단계(d)는 분당 1,400 리터 내지 1,800 리터로 상기 프로세싱 영역을 배기시키는 단계를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  13. 제 11 항에 있어서,
    상기 단계(c)는 상기 프로세싱 영역에 인접하게 배치된 라이너내에 형성된 채널에서 유체를 순환시킴으로써 수행되는 것을 특징으로 하는 플라즈마 에칭 방법.
  14. 제 11 항에 있어서,
    상기 단계(f)는 RF 에너지를 용량성 결합시키는 단계를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  15. 제 11 항에 있어서,
    상기 단계(g)는 자기장을 회전시키는 단계를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  16. 제 11 항에 있어서,
    자기적으로 강화된 열적으로 제어되는 플라즈마 에칭 챔버의 실린더형 펌핑 채널을 횡단하는 자기장을 형성하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  17. 제 11 항에 있어서,
    상기 가스 조성물은 플루오르화 탄소, 산소 포함 가스 및 불활성 가스를 포함하고, 상기 가스 조성물의 전체 흐름은 400 sccm 내지 500 sccm 사이인 것을 특징으로 하는 플라즈마 에칭 방법.
  18. 제 11 항에 있어서,
    상기 가스 조성물은 플루오르화 탄소, 산소 포함 가스 및 불활성 가스를 포함하고, 상기 가스 조성물의 전체 흐름은 300 sccm 내지 500 sccm 사이인 것을 특징으로 하는 플라즈마 에칭 방법.
  19. 제 17 항에 있어서,
    상기 플루오르화 탄소는 상기 가스 조성물의 전체 흐름의 3 퍼센트 내지 5 퍼센트를 포함하고, 상기 산소 포함 가스는 상기 가스 조성물의 전체 흐름의 1 퍼센트 내지 4 퍼센트를 포함하며, 상기 불활성 가스는 상기 가스 조성물의 90 퍼센트 이상을 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  20. 제 17 항에 있어서,
    상기 플루오르화 탄소 가스 흐름 대 상기 불활성 가스 흐름의 비율은 0.5이고, 상기 산소 포함 가스 흐름 대 상기 불활성 가스 흐름의 비율은 0.03인 것을 특징으로 하는 플라즈마 에칭 방법.
  21. 제 17 항 또는 제 20 항에 있어서,
    상기 플루오르화 탄소 가스는 C4F6이고, 상기 산소 포함 가스는 O2인 것을 특징으로 하는 플라즈마 에칭 방법.
  22. 제 17 항에 있어서,
    상기 플루오르화 탄소는 상기 가스 조성물의 전체 흐름의 7 퍼센트 내지 10 퍼센트를 포함하고, 상기 산소 포함 가스는 상기 가스 조성물의 전체 흐름의 4 퍼센트 내지 6 퍼센트를 포함하며, 상기 불활성 가스는 상기 가스 조성물의 80 퍼센트 이상을 포함하고, 상기 플루오르화 탄소는 헥사플루오로-1, 3-부타디엔(hexafluoro-1 3-butadiene)을 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  23. 제 17 항에 있어서,
    상기 가스 조성물은 1:3의 C:F의 비율을 갖는 제 1 플루오르화 탄소, 2:1의 C:F 비율을 갖는 제 2 플루오르화 탄소, 및 불활성 가스를 포함하고, 상기 가스 조성물의 전체 흐름은 200 sccm 내지 300 sccm 사이인 것을 특징으로 하는 플라즈마 에칭 방법.
  24. 자기적으로 강화된 플라즈마 에칭 챔버 내에 배치된 기판 상의 유전체층 상에서 피쳐들을 플라즈마 에칭하는 방법으로서,
    (a) 자기적으로 강화된 플라즈마 에칭 챔버의 프로세싱 영역 내에 기판을 배치시키는 단계;
    (b) 기판 지지부의 온도를 제어하는 단계;
    (c) 상기 프로세싱 영역에서 20mTorr 내지 250mTorr의 압력을 유지하는 단계;
    (d) 상기 프로세싱 영역으로, 헥사플루오로-1, 3-부타디엔(hexafluoro-1 3-butadiene), 산소 및 아르곤을 포함하는 가스 조성물을 유입시키는 단계;
    (e) 상기 가스 조성물로부터 플라즈마를 형성하기 위해 상기 프로세싱 영역 에 RF 에너지를 용량성 결합시키는 단계; 및
    (f) 상기 프로세싱 영역 내에 회전 자기장을 제공하는 단계
    를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  25. 제 24 항에 있어서,
    상기 가스 조성물을 유입시키는 단계는 40 sccm 내지 1,000 sccm의 전체 가스 흐름으로 수행되는 것을 특징으로 하는 플라즈마 에칭 방법.
  26. 제 24 항에 있어서,
    상기 압력을 유지하는 단계는 분당 적어도 1,600 리터의 용량을 갖는 진공 펌프 시스템을 사용하여 챔버를 배기시키는 단계를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  27. 제 24 항에 있어서,
    아르곤 대 헥사플루오로-1, 3-부타디엔(hexafluoro-1 3-butadiene)의 흐름 비율이 5:1 내지 20:1인 것을 특징으로 하는 플라즈마 에칭 방법.
  28. 삭제
  29. 제 24 항에 있어서,
    상기 압력을 유지하는 단계는 70 ms 미만의 라디컬 잔류 시간을 제공하기 위해 진공 펌프 시스템을 사용하여 상기 챔버를 배기시키는 단계를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  30. 용량적으로 결합된 플라즈마 에칭 챔버 내에 배치된 기판 상의 유전체층 상에서 피쳐들을 플라즈마 에칭하는 방법으로서,
    (g) 용량적으로 결합된 플라즈마 에칭 챔버의 프로세싱 영역에 기판을 배치시키는 단계;
    (h) 기판 지지부의 온도를 제어하는 단계;
    (i) 분당 적어도 1,600 리터의 용량을 갖는 진공 펌프 시스템을 사용하여 상기 챔버를 배기시킴으로써 상기 프로세싱 영역을 20mTorr 내지 250 mTorr의 압력으로 유지하는 단계;
    (j) 상기 프로세싱 영역으로, 선형 C4F6, 산소 및 아르곤을 포함하는 가스 조성물을 유입시키는 단계;
    (k) 상기 가스 조성물로부터 플라즈마를 형성하기 위해 상기 프로세싱 영역 에 RF 에너지를 용량성 결합시키는 단계; 및
    (l) 상기 플라즈마에 의해 에칭되는 동안 기판을 냉각시키는 단계
    를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  31. 제 30 항에 있어서,
    상기 가스 조성물을 유입시키는 단계는 40 sccm 내지 1,000 sccm의 전체 가스 흐름으로 수행되는 것을 특징으로 하는 플라즈마 에칭 방법.
  32. 제 30 항에 있어서,
    아르곤 대 C4F6의 흐름 비율은 5:1 내지 20:1인 것을 특징으로 하는 플라즈마 에칭 방법.
  33. 삭제
  34. 제 30 항에 있어서,
    상기 압력을 유지하는 단계는 70 ms 미만의 라디칼 잔류 시간을 제공하기 위해 진공 펌프 시스템을 사용하여 상기 챔버를 배기시키는 단계를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
KR1020027008559A 2000-11-01 2001-11-01 확대된 프로세스 윈도우를 갖는 유전체 에칭 챔버 KR100887014B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US70497200A 2000-11-01 2000-11-01
US09/704,972 2000-11-01
US09/704,867 US6403491B1 (en) 2000-11-01 2000-11-01 Etch method using a dielectric etch chamber with expanded process window
US09/704,867 2000-11-01

Publications (2)

Publication Number Publication Date
KR20020081240A KR20020081240A (ko) 2002-10-26
KR100887014B1 true KR100887014B1 (ko) 2009-03-04

Family

ID=27107394

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027008559A KR100887014B1 (ko) 2000-11-01 2001-11-01 확대된 프로세스 윈도우를 갖는 유전체 에칭 챔버

Country Status (5)

Country Link
EP (1) EP1334514A2 (ko)
JP (1) JP2004513516A (ko)
KR (1) KR100887014B1 (ko)
TW (1) TW588401B (ko)
WO (1) WO2002037541A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130115099A (ko) * 2010-05-21 2013-10-21 램 리써치 코포레이션 플라즈마 프로세싱 장치들을 위한 이동가능 챔버 라이너 플라즈마 한정 스크린 조합
KR20140145567A (ko) * 2013-06-13 2014-12-23 램 리써치 코포레이션 고 rf 전력 도전체 에칭 시스템용 헤머헤드 tcp 코일 지지체
KR101542905B1 (ko) * 2013-04-26 2015-08-07 (주)얼라이드 테크 파인더즈 반도체 장치

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100468203B1 (ko) * 2002-08-16 2005-01-26 어댑티브프라즈마테크놀로지 주식회사 플라즈마 에칭시스템에 구비된 돔의 온도제어장치 및 그방법
US7140374B2 (en) 2003-03-14 2006-11-28 Lam Research Corporation System, method and apparatus for self-cleaning dry etch
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7959984B2 (en) * 2004-12-22 2011-06-14 Lam Research Corporation Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
WO2008068567A2 (en) * 2006-05-01 2008-06-12 Beijert Engineering Integrated circuit probe card analyzer
US7718029B2 (en) * 2006-08-01 2010-05-18 Applied Materials, Inc. Self-passivating plasma resistant material for joining chamber components
US20130105085A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
US20140116622A1 (en) * 2012-10-31 2014-05-01 Semes Co. Ltd. Electrostatic chuck and substrate processing apparatus
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US10741425B2 (en) * 2017-02-22 2020-08-11 Lam Research Corporation Helium plug design to reduce arcing
US10381200B2 (en) 2017-03-08 2019-08-13 Applied Materials, Inc. Plasma chamber with tandem processing regions
CN111370281B (zh) 2018-12-26 2023-04-28 中微半导体设备(上海)股份有限公司 等离子体刻蚀装置
CN111446144B (zh) * 2019-01-17 2024-04-19 东京毅力科创株式会社 静电吸附部的控制方法和等离子体处理装置
KR102217452B1 (ko) * 2019-07-05 2021-02-22 세메스 주식회사 상부 모듈 온도 제어 장치 및 이를 구비하는 기판 처리 시스템
JP7370228B2 (ja) * 2019-11-22 2023-10-27 東京エレクトロン株式会社 プラズマ処理装置
US11686208B2 (en) 2020-02-06 2023-06-27 Rolls-Royce Corporation Abrasive coating for high-temperature mechanical systems
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
KR102646591B1 (ko) * 2022-05-13 2024-03-12 세메스 주식회사 기판 처리 장치

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
JPH08507196A (ja) * 1994-01-31 1996-07-30 アプライド マテリアルズ インコーポレイテッド 共形な絶縁体フィルムを有する静電チャック
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
EP0942060B1 (en) * 1996-12-04 2006-01-11 Nitto Denko Corporation Thermally conductive pressure-sensitive adhesive, adhesive sheet containing the same, and method for fixing electronic part to heat-radiating member with the same
JP3979694B2 (ja) * 1997-01-22 2007-09-19 株式会社巴川製紙所 静電チャック装置およびその製造方法
US6166897A (en) * 1997-01-22 2000-12-26 Tomoegawa Paper Co., Ltd. Static chuck apparatus and its manufacture
JPH11176920A (ja) * 1997-12-12 1999-07-02 Shin Etsu Chem Co Ltd 静電吸着装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130115099A (ko) * 2010-05-21 2013-10-21 램 리써치 코포레이션 플라즈마 프로세싱 장치들을 위한 이동가능 챔버 라이너 플라즈마 한정 스크린 조합
KR101976852B1 (ko) * 2010-05-21 2019-05-10 램 리써치 코포레이션 플라즈마 프로세싱 장치들을 위한 이동가능 챔버 라이너 플라즈마 한정 스크린 조합
KR101542905B1 (ko) * 2013-04-26 2015-08-07 (주)얼라이드 테크 파인더즈 반도체 장치
KR20140145567A (ko) * 2013-06-13 2014-12-23 램 리써치 코포레이션 고 rf 전력 도전체 에칭 시스템용 헤머헤드 tcp 코일 지지체
KR102245575B1 (ko) 2013-06-13 2021-04-28 램 리써치 코포레이션 고 rf 전력 도전체 에칭 시스템용 헤머헤드 tcp 코일 지지체

Also Published As

Publication number Publication date
WO2002037541A2 (en) 2002-05-10
JP2004513516A (ja) 2004-04-30
KR20020081240A (ko) 2002-10-26
EP1334514A2 (en) 2003-08-13
WO2002037541A3 (en) 2002-10-10
TW588401B (en) 2004-05-21

Similar Documents

Publication Publication Date Title
KR100887014B1 (ko) 확대된 프로세스 윈도우를 갖는 유전체 에칭 챔버
US6403491B1 (en) Etch method using a dielectric etch chamber with expanded process window
US6797639B2 (en) Dielectric etch chamber with expanded process window
KR102589536B1 (ko) 개선된 프로파일을 갖는 듀얼 채널 샤워헤드
US10964512B2 (en) Semiconductor processing chamber multistage mixing apparatus and methods
US6624082B2 (en) Systems and methods for two-sided etch of a semiconductor substrate
KR102467659B1 (ko) 유통 소스를 구비하는 챔버
US9287095B2 (en) Semiconductor system assemblies and methods of operation
KR100665649B1 (ko) 입자조절방법 및 플라스마 처리 챔버
JP3166974U (ja) プラズマエッチングチャンバ用エッジリング組立体
US7316761B2 (en) Apparatus for uniformly etching a dielectric layer
US20150170943A1 (en) Semiconductor system assemblies and methods of operation
EP0819780A2 (en) Inductively coupled HDP-CVD reactor
KR20220042082A (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
WO2016196073A1 (en) Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
KR20080015779A (ko) 고 종횡비 피쳐를 식각하기에 적당한 진공 처리 챔버 및 그구성 부품
US11056406B2 (en) Stack of multiple deposited semiconductor layers
CN110565071A (zh) 用于等离子体过滤的系统和方法
KR102343265B1 (ko) 자가-센터링 페데스탈 가열기
US20010009177A1 (en) Systems and methods for two-sided etch of a semiconductor substrate
Pu Plasma Etch Equipment
US20220238349A1 (en) Polymerization protective liner for reactive ion etch in patterning
US20210183620A1 (en) Chamber with inductive power source
WO2024020152A1 (en) High aspect ratio carbon etch with simulated bosch process

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130130

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140129

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee