KR20080015779A - 고 종횡비 피쳐를 식각하기에 적당한 진공 처리 챔버 및 그구성 부품 - Google Patents

고 종횡비 피쳐를 식각하기에 적당한 진공 처리 챔버 및 그구성 부품 Download PDF

Info

Publication number
KR20080015779A
KR20080015779A KR1020077024143A KR20077024143A KR20080015779A KR 20080015779 A KR20080015779 A KR 20080015779A KR 1020077024143 A KR1020077024143 A KR 1020077024143A KR 20077024143 A KR20077024143 A KR 20077024143A KR 20080015779 A KR20080015779 A KR 20080015779A
Authority
KR
South Korea
Prior art keywords
liner
disposed
substrate support
processing chamber
gas
Prior art date
Application number
KR1020077024143A
Other languages
English (en)
Other versions
KR100900596B1 (ko
Inventor
샤르마 파말티
휴트리 다오
지오핑 죠우
켈리 에이. 맥도노우그
지브코 디네브
파리드 아부아메리
데이비드 이. 구티에레즈
짐 죵이 히
로버트 에스. 클라크
데니즈 엠. 쿠사우
제프리 윌리엄 디이츠
데클린 스캔랜
서브하시 데시무크
존 피. 홀랜드
알렉산더 페터슨
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/381,523 external-priority patent/US8475625B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080015779A publication Critical patent/KR20080015779A/ko
Application granted granted Critical
Publication of KR100900596B1 publication Critical patent/KR100900596B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본원발명의 실시예에서는 고 종횡비 피쳐의 식각에 적합한, 처리 챔버와 같은 장치를 제공한다. 다른 실시예에서, 고 종횡비 식각 과정 동안에 양호한 결과를 낼 수 있는 다양한 챔버 구성요소가 개시된다. 예를 들어, 일 실시예에서, 샤워헤드 조립체 및 그 내부에 배치되는 기판 지지 조립체를 갖는 챔버 몸체를 포함하는 처리 챔버가 제공된다. 샤워헤드 조립체는 두 개 이상의 유체소통에 있어 격리된 플레넘, 광학 메트롤로지 신호를 전달할 수 있는 영역, 샤워헤드 조립체를 통해 형성되어 플레넘을 챔버 몸체의 내부 용적에 유체소통적으로 연결하는 다수의 가스 통로를 포함한다. 다른 실시예에서는, 고 종횡비 피쳐의 플라즈마 식각에 유리한 새로운 캐소드 라이너, 상부 외부 라이너, 하부 외부 라이너, 기판 지지 조립체, 리드 조립체, 샤워헤드 조립체 및 석영 링 중 하나 이상이 제공된다.
고 종횡비, 처리 챔버, 기판, 식각

Description

고 종횡비 피쳐를 식각하기에 적당한 진공 처리 챔버 및 그 구성 부품 {VACUUM PROCESSING CHAMBER SUITABLE FOR ETCHING HIGH ASPECT RATIO FEATURES AND COMPONENTS OF SAME}
본원발명의 실시예는 일반적으로 반도체 기판 등에 고 종횡비(high aspect ratio)의 피쳐(feature)를 식각(etching)하기 위한 진공 처리 챔버 및 이에 사용되는 구성 부품에 관한 것이다.
보다 빠르고 보다 강력한 집적 회로(IC) 장치에 대한 요구로 인해서, 반도체 웨이퍼 등과 같은 기판 상에 트렌치(trench)나 비아(via)와 같은 고 종횡비의 피쳐를 식각해야 하는 필요성과 같이 IC 제조 기술에 대한 새로운 도전이 시작되고 있다. 예를 들어, 일부 동적 램(dynamic random access memory) 장치에 사용되는 깊은 트랜치 저장 구조는 반도체 기판에 식각되는 깊은 고 종횡비 트랜치를 필요로 한다. 딥 실리콘 트렌치 식각(deep silicone trench etching)은 통상적으로 산화 실리콘 마스크를 활용하는 반응성 이온 식각(reactive ion etching; RIE) 과정에서 이루어진다.
고 종횡비 피쳐의 식각에 있어서 강력한 성능을 나타내는 종래의 시스템 중 하나는 캘리포니아 산타 클라라에 위치하는 어플라이드 머티리얼스 사의 CENTURA HARTTM 식각 시스템이다. HARTTM 식각 시스템은 중심으로부터 가장자리까지 5% 의 트렌치 깊이 균일성을 유지하면서도 70:1 까지의 종횡비를 갖는 트렌치를 식각할 수 있는 MERIE 반응기를 사용한다. 그러나, 90 nm 이하(sub-90nm)의 임계 치수를 갖는 집적회로를 제조할 수 있기 위해서, 회로 설계자들은 고 종횡비에서 트렌치 균일성을 향상시킬 것을 요구해 왔다. 따라서, 차세대 장치의 실현을 가능하게 하도록 식각 성능을 향상시키는 것이 바람직하다.
그러므로, 고 종횡비 피쳐를 식각하기 위한 향상된 장치가 요구된다.
본원발명의 실시예에서는 고 종횡비 피쳐의 식각에 적합한, 처리 챔버와 같은 장치를 제공한다. 다른 실시예에서, 고 종횡비 식각 과정 동안에 양호한 결과를 낼 수 있는 다양한 챔버 구성요소가 개시된다. 예를 들어, 일 실시예에서, 샤워헤드 조립체 및 그 내부에 배치되는 기판 지지 조립체를 갖는 챔버 몸체를 포함하는 처리 챔버가 제공된다. 샤워헤드 조립체는 두 개 이상의 유체소통에 있어 격리된 플레넘, 광학 메트롤로지 신호를 전달할 수 있는 영역, 샤워헤드 조립체를 통해 형성되어 플레넘을 챔버 몸체의 내부 용적에 유체소통적으로 연결하는 다수의 가스 통로를 포함한다. 다른 실시예에서는, 고 종횡비 피쳐의 플라즈마 식각에 유리한 새로운 캐소드 라이너, 상부 외부 라이너, 하부 외부 라이너, 기판 지지 조립체, 리드 조립체, 샤워헤드 조립체 및 석영 링 중 하나 이상이 제공된다.
일 실시예에서, 처리 챔버는 샤워헤드 조립체 및 그 내부에 배치되는 기판 지지 조립체를 갖는 챔버 몸체를 포함한다. 샤워헤드 조립체는 두 개 이상의 유체소통적으로 고립된 플레넘, 광학 메트롤로지 신호를 전달할 수 있는 영역, 샤워헤드 조립체를 통해 형성되어 플레넘을 챔버 몸체의 내부 용적에 유체소통적으로 연결하는 다수의 가스 통로를 포함한다.
다른 실시예에서, 처리 챔버는 샤워헤드 조립체 및 그 내부에 배치되는 기판 지지 조립체를 갖는 챔버 몸체를 포함한다. 샤워헤드 조립체는 내부 가스 유동 영역, 외부 가스 유동 영역, 및 광학 메트롤로지 신호를 전달할 수 있는 영역을 포함한다. 내부 및 외부 영역은 서로에 대해 유체소통에 있어 격리되어 있다. 기판 지지 조립체는 독립적으로 제어될 수 있으며 측방향으로 이격된 온도 영역을 두 개 이상 포함한다. 광학 메트롤로지 시스템은 샤워헤드 조립체의 전달 영역을 통해 챔버 몸체의 내부 용적을 관찰하도록 배치된다. 기판 지지 조립체는 바이어스 전력원 및 이에 연결되는 두 개 이상의 플라즈마 전력원을 구비한다.
다른 실시예에서, 처리 챔버는 가스 분배 플레이트 및 그 내부에 배치되는 기판 지지 조립체를 갖는 챔버 몸체를 포함한다. 가스 분배 플레이트는 가스 유동 구멍의 외부 세트, 가스 유동 구멍의 내부 세트, 및 광학 메트롤로지 구멍 세트를 구비한다. 내부 가스 유동 영역은 가스 유동 구멍의 제1 세트를 통해서 챔버 몸체의 내부 용적에 유체소통적으로 연결된다. 외부 가스 유동 영역은 가스 유동 구멍의 제2 세트를 통해서 챔버 몸체의 내부 용적에 유체소통적으로 연결되며 내부 영역으로부터 유체소통에 있어서 격리된다. 다수의 구멍을 갖는 세라믹 플러그 광학 메트롤로지 구멍 및 창과 정렬된다. 기판 지지 조립체는 챔버 몸체 내에 배치되며 독립적으로 제어될 수 있으며 측방향으로 이격된 온도 영역을 두 개 이상 구비한다. 광학 메트롤로지 시스템은 광학 메트롤로지 구멍 및 플러그 내의 구멍과 창에 의해 형성되는 광학 통로를 통해 챔버 몸체의 내부 용적을 관찰하도록 배치된다. 기판 지지 조립체는 바이어스 전력원 및 이에 연결되는 두 개 이상의 플라즈마 전력원을 구비한다.
다른 실시예에서, 고 종횡비 피쳐를 식각하기 위한 방법이 제공되는데, 이러한 방법은 혼합 매니폴드에 다수의 가스를 제공하는 단계, 혼합 매니폴드로부터 처리 챔버의 다른 영역으로 유동하는 혼합된 가스의 비율을 제어하는 단계, 및 혼합 매니폴드를 바이패스하는 처리 챔버의 영역 중 하나 이상에 하나 이상의 직접 분사 가스를 제공하는 단계를 포함한다.
또 다른 실시예에서, 상부 섹션에 연결되는 가스 분배 플레이트를 포함하는 샤워헤드 조립체가 제공된다. 가스 분배 플레이트는 가스 유동 구멍의 외부 세트, 가스 유동 구멍의 내부 세트, 및 광학 메트롤로지 구멍 세트를 구비한다. 상부 섹션은 가스 유동 구멍의 외부 세트에 유체소통적으로 연결되는 제1 플레넘 및 가스 유동 구멍의 내부 세트에 유체소통적으로 연결되는 제2 플레넘을 구비한다. 플레넘은 상부 섹션으로부터 유체소통에 있어서 격리되어 있다. 세라믹 플러그가 상부 섹션을 통해 배치되어 광학 메트롤로지 구멍과 정렬되는 광학적 전달 영역(optically transmissive region)을 갖는다.
본원발명의 상술한 특징이 상세하게 이해되도록 하기 위하여, 위에서 간략히 요약한 본원발명의 보다 구체적인 설명이 실시예를 참조하여 이루어지는데, 이들 실시예 중 일부는 첨부된 도면에 도시되어 있다. 그러나 첨부된 도면은 본원발명의 통상적인 실시예만을 도시할 뿐이며, 따라서 본원발명에서는 이외의 동등한 효력의 실시예들도 상정할 수 있으므로 이러한 도면이 그 범위를 한정하는 것으로 고려되어서는 안된다.
도 1은 본원발명의 처리 챔버의 일 실시예에 대한 단면도이다.
도 2는 샤워헤드의 일 실시예에 대한 단면도이다.
도 3은 도 2의 샤워헤드의 플러그의 일 실시예에 대한 사시도이다.
도 4는 도 2의 샤워헤드의 단면도이다.
도 5는 도 2의 샤워헤드의 다른 단면도이다.
도 6은 도 5의 선 6-6 을 따라 절개된 샤워헤드의 부분 단면도이다.
도 7은 샤워헤드의 다른 실시예에 대한 단면도이다.
도 8은 도 1의 처리 챔버에 대한 가스의 제어 및 경로를 설명하는 개략적인 다이어그램이다.
도 9 및 도 10A-B는 라이너의 일 실시예에 대한 사시도 및 부분 단면도이다.
도 11A는 캐소드 라이너의 일 실시예 및 커버 링의 일 실시예를 지지하는 기판 지지 조립체의 부분 단면도이다.
도 11B는 도 11A의 절개선 11B -- 11B 를 따라 절개된 캐소드 라이너의 단면도이다.
도 11C는 도 11A의 절개선 11C -- 11C 를 따라 절개된 캐소드 라이너의 저면도이다.
도 11D는 냉각 채널의 일 실시예를 도시하는, 도 11A의 절개선 11C -- 11C 를 따라 절개된 캐소드 라이너의 저면도이다.
도 11E는 도 11D의 절개선 11E -- 11E 를 따라 절개된 캐소드 라이너의 부분 단면도이다.
도 11F는 냉각 채널을 도시하는 캐소드 라이너의 부분 단면도이다.
도 12A-B는 리프트 핀 가이드 조립체의 두 실시예를 도시하는 기판 지지 조립체의 부분 단면도이다.
도 13A-B는 기판 지지 조립체의 일 실시예를 도시하는 부분 저면도이다.
도 13C는 도 13A-B의 기판 지지 조립체의 기부에 대한 저면도이다.
도 14는 기부와 캡을 도시하는 리드 조립체의 다른 실시예에 대한 등척도이다.
도 15A는 도 14의 기부에 대한 단면도이다.
도 15B-C는 도 14의 기부에 대한 부분 단면도이다.
도 16은 도 14의 기부에 대한 평면도이다.
도 17은 도 14의 리드 조립체의 캡에 대한 등척도이다.
도 18은 샤워헤드 조립체의 일 실시예에 대한 등척도이다.
도 19는 도 18의 샤워헤드 조립체에 대한 단면도이다.
도 20은 도 18의 샤워헤드 조립체에 대한 부분 단면도이다.
이해를 용이하게 하기 위하여, 도면에서 공통적인 동일한 부재를 표시하는데에는 가능하다면 동일한 참조 부호를 사용하였다. 일 실시예의 부재가 다른 설명 없이도 다른 실시예에 유리하게 사용될 수 있다.
도 1은 기판(144) 상에 고 종횡비 피쳐를 식각하기에 적당한 처리 챔버(100)의 일 실시예에 대한 단면도이다. 비록 처리 챔버(100)가 뛰어난 식각 실행을 가능하게 하는 다수의 특징을 포함하여 도시되어 있지만, 다른 처리 챔버도 본 명세서에 개시된 본원발명의 특징들 중 하나 이상을 취하도록 개조될 수 있음을 이해해야 한다.
처리 챔버(100)는 챔버 몸체(102) 및 리드(104)를 포함하며, 이들은 내부 용적(106)을 둘러싼다. 챔버 몸체(102)는 통상적으로 알루미늄, 스테인레스 스틸, 또는 다른 적당한 재료로 제조된다. 챔버 몸체(102)는 일반적으로 측벽(108) 및 하부(110)를 포함한다. 기판 접근 포트(도시되지 않음)는 일반적으로 측벽(108) 내에 형성되며, 처리 챔버(100)로부터 기판(144)의 출입을 용이하게 하기 위하여 선택적으로 슬릿 밸브에 의해 밀봉된다. 배출 포트(126)는 챔버 몸체(102) 내에 형성되며 내부 용적(106)을 펌프 시스템(128)에 연결한다. 펌프 시스템(128)은 일반적으로 처리 챔버(100)의 내부 용적(106)의 압력의 조절 및 진공화시키기 위해 사용되는 하나 이상의 펌프 및 스로틀 밸브를 포함한다. 일 실시예에서, 펌프 시스템(128)은 통상적으로 약 10 mTorr 내지 약 20 Torr 사이의 작동 압력으로 내부 용적(106) 내부의 압력을 유지한다.
라이너(liner; 118, 178)는 챔버 몸체(102)의 측벽(108)을 보호하기 위해 사용된다. 라이너(118, 178)는 낸각 유체를 위한 채널이나 저항성 히터와 같은 온도 조절부를 포함할 수 있다. 일 실시예에서, 캐소드(cathode) 라이너(118)는 챔버 하부(110) 상에 라이너(118)를 지지하는 플랜지(121) 내에 형성되는 도관(120)을 포함한다. 도관(120)은 챔버 몸체(102)의 하부(110)를 통해 형성된 통로(122)를 통해서 유체원(fluid source; 124)에 유체소통적(fluidly)으로 연결된다.
리드(104)는 챔버 몸체(102)의 측벽(108) 상에 밀봉되게 지지된다. 리드(104)는 처리 챔버(100)의 내부 용적(106)을 증가시킬 수 있도록 개방될 수 있다. 리드(104)는 광학적인 프로세스 모니터링을 용이하게 하기 위하여 창(142)을 포함한다. 일 실시예에서, 창(142)은 석영 또는 광학 모니터링 시스템(140)에 의하여 사용되는 신호에 대해 통과성(transmissive)을 갖는 적당한 물질로 구성될 수 있다.
광학 모니터링 시스템(140)은 창(142)을 통하여 기판 지지 조립체(148) 상에 위치하는 기판(144) 및/또는 챔버 몸체(102)의 내부 용적(106) 중 하나 이상을 관찰하도록 배치된다. 일 실시예에서, 광학 모니터링 시스템(140)은 리드(104)에 연력되어, 여러가지 중에서도 특히 프로세스 조정을 통해 (CD, 두께 등과 같은) 유입 패턴 불일치(incoming pattern inconsistencies)를 보상하게 하는 정보의 제공, (플라즈마 모니터링, 온도 모니터링 등과 같은) 프로세스 상태 모니터링의 제공, 및/또는 엔드 포인트 탐지(end point detection)의 제공을 위해 광학 메트롤로 지(optical metrology)를 사용하는 통합된 식각 프로세스를 용이하게 한다. 본원발명에 유리하게 사용될 수 있는 광학 모니터링 시스템 중 하나는 캘리포니아 산타 클라라에 위치하는 어플라이드 머티리얼스사의 EyeD® 풀-스펙트럼(full-spectrum), 인터페로메트릭 메트롤로지 모듈(interferometric metrology module)이 있다.
일 실시예에서, 광학 모니터링 시스템(140)은 CD, 막 두께, 및 플라즈마 특성을 측정할 수 있다. 광학 모니터링 시스템(140)은 분광학, 간섭법(interferometry), 스케테로메트리(scatterometry), 반사 측정법(reflectometry) 등과 같은 비파괴 광학 측정 기술들 중 하나 이상을 사용할 수 있다. 광학 모니터링 시스템(140)은, 예를 들어, 실시간으로 기판(144) 상에 형성되는 구조체의 식각 깊이 프로파일을 측정하기 위하여 (예를 들어, 시간 도메인에서 간섭하는 줄무늬(interference fringe)를 집계하거나, 주파수 도메인에서 줄무늬의 위치를 측정하는 등과 같이) 간섭적(interferometric) 모니터링 기술을 실행하도록 구성된다. 광학 모니터링의 예를 어떻게 사용하는가에 대한 세부적인 사항은 공동으로 양도되고 2003년 6월 18일 출원된 미국 출원 번호 60/479,601 "식각 프로세스를 모니터링 하기 위한 방법 및 시스템", 2002년 7월 2일 등록된 미국 특허 번호 6,413,837 "스펙트럼 간섭법을 사용하는 막 두께 제어", 2003년 4월 11일에 출원된 미국 출원 번호 60/462,493 "다중 패스 웨이퍼 프로세싱에서 데이터 복구 및 인시츄와 엑스시츄 메트롤로지를 사용하는 결함 탐지 및 프로세스 제어 강화"에 개시되어 있다.
가스 패널(158)은 처리 챔버(100)에 연결되어 내부 용적(106)에 프로세스 및 /또는 정화 가스를 제공한다. 도 1에 도시된 실시예에서, 유입 포트(132', 132")가 리드(104) 내에 제공되어 가스 패널(158)로부터 처리 챔버(100)의 내부 용적(106)으로 가스가 전달되도록 한다.
샤워헤드 조립체(130)가 리드(104)의 내부 표면(114)에 연결된다. 샤워헤드 조립체(130)는 다수의 개구를 포함하는데, 이는 가스가 유입 포트(132)로부터 샤워헤드 조립체(130)를 통해서, 챔버(100) 내에서 처리되는 기판(144)의 표면에 걸쳐 미리 예정된 방식으로 분포되도록 처리 챔버(100)의 내부 용적(106)으로 유동하게 한다.
샤워헤드 조립체(130)는 추가적으로 광학 메트롤로지 신호를 전하는 영역을 포함한다. 광학적 전송 영역 또는 통로(138)는 광학 모니터링 시스템(140)이 내부 용적(106) 및/또는 기판 지지 조립체(148) 상에 배치된 기판(144)을 관찰할 수 있기에 적합하다. 통로(138)는, 광학 측정 시스템(140)에 의해 생성되고, 반사되어 되돌아오는 에너지 파장을 실질적으로 전달할 수 있는 재료, 샤워헤드 조립체(130) 내에 배치되거나 형성되는 개구 또는 개구들일 수 있다. 일 실시예에서, 통로(130)는 통로를 통한 가스 누출을 방지하기 위하여 창(142)을 포함한다. 창(142)은 사파이어 플레이트, 석영 플레이트, 또는 적당한 재료일 수 있다. 대안적으로 창(42)은 리드(104) 내에 배치될 수도 있다.
일 실시예에서, 샤워헤드 조립체(130)는 처리 챔버(100)의 내부 용적(106)으로 유동하는 가스의 개별적인 제어를 가능하게 하는 다수의 영역을 구비하여 구성된다. 도 1의 실시예에서, 샤워헤드 조립체(130)는 별도의 유입구(132)를 통하여 가스 패널(158)에 개별적으로 연결되는 내부 영역(134) 및 외부 영역(136)으로 분리되어 있다.
도 2는 샤워헤드 조립체(130)의 일 실시예에 대한 단면도이다. 샤워헤드 조립체(130)는 일반적으로 기부(202), 상부 및 하부 플레넘 플레이트(plenum plate)(204, 206), 플러그(208) 및 가스 분배 플레이트(210)를 포함한다. 상부 및 하부 플레넘 플레이트(204, 206)는 간격을 두고 서로 연결되어 있으며 기부(202) 내에 형성된 요부(recess; 270) 내에 배치되어 샤워헤드 조립체(130)의 상부 구조를 형성한다. 상부 및 하부 플레넘 플레이트(204, 206) 사이에 형성되는 플레넘 영역은 장벽(236)에 의하여 두 개 이상의 영역으로 유체소통적(fluidly)으로 분리되어 있다. 도 2에 도시된 실시예에서, 장벽(236)은 외부 플레넘(220)으로부터 내부 플레넘(218)을 분리시킨다. 플레넘(218, 220)은 기부(202) 및 상부 플레넘 플레이트(204)를 통해 형성된 가스 급송부(222, 224)를 통해 기부(202) 내에 형성된 유입구 포트(132', 132")에 의해 각각 공급된다. 이하에서 상세히 기술되는 가스 통로(242)는 하부 플레넘 플레이트(204)를 통하여 형성되며, 가스 분배 플레이트(210)는 플레넘(218, 220)에 있는 가스가 챔버(100)의 내부 용적(106)으로 유입되도록 한다. 통로(242)의 개수 및 분포는 미리 결정된 분포 방식으로 가스를 챔버(100) 내부로 제공하도록 선택된다.
기부(202) 내에 형성된 요부(270)는 플레이트(204, 206)를 위치시키기 위한 하나 이상의 단(step)을 포함할 수 있다. 도 2에 도시된 실시예에서, 요부(270)는 내부 단(240) 및 외부 단(284)을 포함한다. 내부 단(240)은 하부 플레넘 플레이 트(206)가 배치되는 표면을 제공한다. 도면부호로 표시되지 않은 시일(seal)이내부 단(240)과 하부 플레넘 플레이트(206) 사이에 제공되어 가스의 누출을 방지한다. 외부 단(284)은 기부(202)로 홈을 제공하여 가스 분배 플레이트(210)가 기부(202)와 하부 플레넘 플레이트(206) 사이에 형성되는 간격을 덮도록 한다.
기부(202)는 일반적으로 내부 외경(286)으로부터 외부로 연장하는 립(lip; 216)을 포함한다. 립(216)과 내부 외경(286) 사이에 형성되는 턱(ledge; 288)은 샤워헤드 조립체(130)를 리드(104) 및/또는 외부 라이너(116) 상에 지지한다. 턱(288)은 챔버 몸체의 중심성에 일반적으로 평행하며 동심인 립(216) 및 내부 외경(286)에 대해 일반적으로 수직하다.
내부 외경(286)은 기부(202)의 하부 표면(290)에서 종료된다. 하부 표면(290)은 일반적으로 처리 영역과 마주하며, 이 때문에 Y2O3 또는 다른 이트륨(yttrium) 함유 재료와 같은 보호성 물질로 코팅될 수 있다. 내부 외경(286)도 Y2O3 또는 다른 이트륨(yttrium) 함유 재료와 같은 보호성 물질로 코팅될 수 있다.
기부(202)는 또한 그 사이에 형성되는 다수의 채널(212)을 포함하며, 이들은 유체원(214)에 연결된다. 유체원(214)은 공기나 물과 같은 열 전달 유체를 제공하며, 이들은 채널(212)을 통해 순환하여 샤워헤드 조립체(130) 및 기부(202)의 온도를 조절한다.
통로(138)는 샤워헤드 조립체(130)를 통해 형성되어 광학 모니터링 시스템(140)에 의하여 기판의 특성 및/또는 챔버 프로세스의 모니터링을 용이하게 한 다. 통로(138)는 동축적으로(coaxially) 정렬된 개구(226, 264, 254, 262)를 포함한다. 제1 개구(226)는 기부(202) 내에 형성된다. 제2 개구(264)는 상부 플레넘 플레이트(204) 내에 형성된다. 제3 개구는 하부 플레넘 플레이트(206) 내에 형성되며, 개구(262)는 가스 분배 플레이트(210) 내에 형성된다. 창(142)은 통로(138) 내에 밀봉적으로 배치되어 샤워헤드 조립체(130)를 통하여 광학 모니터링 시스템(140)으로 가스가 누출되는 것을 방지한다. 도 2에 도시된 실시예에서, 상부 플레넘 플레이트(204)에 요부(258)가 제공되어 창(142)을 수용한다. 도 2에 도면부호로 표시되지 않은 O-링은 창(142)을 상부 플레넘 플레이트(204) 및 기부(202)에 대해 밀봉하기 위하여 제공된다.
플러그(208)는 적어도 상부 플레넘 플레이트(204) 내에 형성된 제2 개구(264) 내에 배치된다. 플러그(208)는 광학 모니터링 시스템(140)에 의해 사용되는 신호를 전달할 수 있도록 형성된다. 일 실시예에서, 플러그(208)는 다수의 고 종횡비 통로(260)를 포함하는데, 이는 통로(260) 내에 플라즈마가 형성되는 것을 방지하면서도 광학 모니터링 시스템(140)이 챔버(100)의 내부 용적과 접속(interface)하도록 한다. 일 실시예에서, 통로(260)는 약 10:1 이상, 예를 들어 14:1의 종횡비(지름에 대한 높이의 비)를 갖는다. 다른 실시예에서, 통로(260)는 예를 들어 약 1.5mm 보다 작은, 예를 들자면 약 0.9mm 정도의 전자 평균 자유 경로(electron mean free path) 및/또는 DEBYE 길이 보다 작거나 이와 동등한 정도의 지름을 갖는다. 다른 실시예에서는, 통로(260)가 약 60% 개방 영역까지의 개방 영역을 형성한다. 또 다른 실시예에서는, 통로(260)의 약 37이 플러그(208)를 통해 형성된다.
플러그(208) 내에 형성된 통로(260)는 가스 분배 플레이트(210) 내에 형성된 메트롤로지 개구(262)와 정렬된다. 메트롤로지 개구(262)는 가스 분배 플레이트(210)의 중심에 밀집되며, 가스 분배 플레이트(210)를 통한 메트롤로지 신호의 효율적인 전달을 용이하게 하기에 적합한 밀도, 직경(또는 폭), 프로파일, 및 개방면적을 갖는다. 일 실시예에서, 개구(262)의 개수 및 단면 프로파일은 통로(260)의 그것과 유사하다. 창(142)은 광학적인 전달을 허용하면서도, 가스 유동의 측면에서는 통로(260, 262)가 작용하지 못하도록 한다. 따라서 통로(260, 262) 및 창(142)은 광학 관찰 경로를 형성하는 구조에 플라즈마 손상이나 진공 손실을 주지 않고도 챔버(100) 내의 광학 모니터링 시스템(140)에 의해 광학 모니터링을 용이하게 한다.
경사진 시트(256)가 제2 개구(264)를 요부(258)에 연결하는 상부 플레넘 플레이트(204)에 형성된다. 경사진 시트(256)는 도 3에 도시된 바와 같이 플러그(208)의 플레어 부분(flared section; 304)과 정합(mate)되도록 구성된다. 플레어 부분(304)은 플러그(208)의 연장된 굴대(206)와 헤드(302) 사이에 위치된다.
플러그(208)는 일반적으로 화학 프로세스와 반응하지 않는 재료로 제조된다. 일 실시예에서, 플러그(208)는 세라믹과 같은 절연 물질로 제조된다. 다른 실시예에서는 플러그(208)가 알루미늄이다.
상부 및 하부 플레넘 플레이트(204, 206)는 기부(202)에 결합된다. 상부 플레넘 플레이트(204)는 또한 하부 플레넘 플레이트(206)에도 결합된다. 일 실시예 에서, 상부 플레넘 플레이트(204)는 다수의 핀(228)에 의하여 하부 플레넘 플레이트(206)에 결합된다. 핀(228)의 단부는 각각 상부 및 하부 플레넘 플레이트(204, 206)에 형성된 구멍(230, 232)에 삽입된다. 핀(228)은 고정용 화합물(locking compound) 또는 접착제에 의하여 고정될 수 있거나 마찰 결합(friction fit)을 위해 압착될 수 있다. 도 4의 단면도는 하부 플레넘 플레이트(206)에 형성되는 구멍(232)으로부터 연장되는 핀(228)을 도시하고 있다. 구멍(230, 232)이 각각의 플레이트(204, 206)를 통해 연장하지 않으므로, 핀(228) 주위에서의 가스 누설이 방지된다.
추가적으로 도 5-6의 단면도를 참조하면, 가스 분배 플레이트(210)가 기부(202) 또는 하부 플레넘 플레이트(206) 중 하나 이상에 연결되어 있다. 일 실시예에서, 접착제층(502)은 그 사이에 다수의 환형 플레넘(508)이 형성되는 방식으로 하부 플레넘 플레이트(206)에 가스 분배 플레이트(210)를 결합한다. 플레넘(508)은 공동의 반경들이나 반경 범위를 따라 위치하는 통로(242)들이 소정의 반경 위치에서 샤워헤드 조립체(130)를 통과하는 가스의 유동 균일성를 강화하도록 유체소통적으로 결합될 수 있게 한다.
일 실시예에서, 접착제층(502)은 다수의 접착성 링(504)과 다수의 접착성 비드(adhesive bead; 506)를 포함한다. 다수의 접착성 링(504)은 플레넘(508)의 경계를 한정하기 위하여 동심적으로 배치된다. 다수의 접착성 비드(506)도 역시 링(504) 사이에 동심적으로 배치된다. 비드(506)는 링(504)으로부터 분리되어 공통 플레넘(508)을 공유하는 통로(242)들 사이에서 비드(506) 주위로 가스가 유동하 도록 한다.
도 2를 다시 참조하면, 하부 플레넘 플레이트(206)에 형성된 통로(242)의 부분은 일반적으로 제1 보어 구멍(244), 오리피스 구멍(246) 및 제2 보어 구멍(248)을 포함한다. 제1 보어 구멍(244)은 플레넘(220 (또는 218))으로 개방되어 통로(242)로 가스가 유입되도록 한다. 제2 보어 구멍(248)은 챔버(100)의 내부 용적(106)으로 가스를 전달하기 위하여 가스 분배 플레이트(210)를 통해 형성된 구멍(250)과 정렬된다.
일 실시예에서, 보어 구멍(244, 248)은 오리피스 구멍(246)에 비하여 직경이나 깊이 모두가 실질적으로 커서 통로(242)의 효율적인 제조를 용이하게 한다. 도 2에 도시된 실시예에서, 플레넘(220 (또는 218))에 대해 개방되어 있는 제1 구멍(244)은 챔버(100)의 내부 용적(106)에 대해 개방된 제2 보어 구멍(248)에 비하여 더 큰 직경과 더 적은 종횡비를 갖는다.
가스 분배 플레이트(210)는 평평한 디스크일 수 있다. 구멍(250)은 가스 분배 플레이트(210)의 중심 영역의 바깥쪽에 배치되는 패턴으로 공간적으로 분포된다. 한 세트의 구멍(250)은 외부 플레넘(136)에 유체소통적으로 결합되며, 다른 세트의 구멍(250)은 내부 플레넘(134)에 유체소통적으로 결합된다. 구멍(250)은, 통로(242)의 일부이므로, 가스가 가스 분배 플레이트(210)를 통과하여 챔버(100)의 내부 용적(106)으로 들어가게 한다.
샤워헤드 조립체(130)의 사용 수명을 늘리기 위하여, 가스 분배 플레이트(210)는 이트륨 또는 그 산화물로 코팅 또는 제조되거나 코팅되어 제조된다. 일 실시예에서, 가스 분배 플레이트(210)는 벌크(bulk) 이트륨이나 그 산화물로 제조되어 플루오르화 화학작용(fluorinated chemistry)들에 저항성을 제공한다. 다른 실시예에서, 가스 분배 플레이트(210)는 벌크 Y2O3 로 제조된다.
도 7은 샤워헤드 조립체(700)의 다른 실시예이다. 샤워헤드 조립체(700)는 샤워헤드 조립체(130)와 실질적으로 유사하며, 광학 메트롤로지를 용이하게 하기 위하여 샤워헤드 조립체(700)를 통해 형성된 통로(726)에서 플라즈마 점화(light-up)를 방지하기 위한 플러그(708)를 구비한다. 창(142)은 가스 장벽으로서 통로(726) 내에 제공된다.
샤워헤드 조립체(700)는 플레넘 플레이트(704)에 연결되는 기부 플레이트(702)를 포함한다. 플레넘 플레이트(704)는 그 안에 형성되는 한 쌍의 환형 홈(712, 714)을 구비하는데, 이는 내부 및 외부 플레넘(716, 718)을 형성하기 위하여 기부 플레이트(702)에 의해 경계가 형성된다. 가스는 가스 패널(158)로부터 각각의 포트(132', 132")를 통하여 플레넘(716, 718)에 공급되며, 이로써 샤워헤드 조립체(700)으로부터 챔버(100)의 내부 용적(106)으로 연장하는 각각의 영역(134, 136)에서 가스가 독립적으로 제어되도록 한다.
플레넘 플레이트(704)는 플러그(708)를 수용하기 위한 플러그 구멍(720)을 포함한다. 플러그 홀(720)은 기부(702)에 형성된 개구(706) 및 가스 분배 플레이트(710)에 형성된 메트롤로지 구멍(728)과 정렬하여 통로(726)를 형성한다. 플러그 구멍(720)은 일반적으로 경사진 시트(724) 및 창(142)를 수용하기 위한 요 부(722)를 포함한다. 경사진 시트(724)는 플러그(708)의 플레어 여역과 맞물려서 플러그(708)를 플레넘 플레이트(704) 내에 위치시킨다.
도 8은 가스 패널(158)로부터 처리 챔버(100)로 전달된 가스의 제어 및 경로를 도시하는 개략적인 다이어그램이다. 가스 패널(158)은 일반적으로 유동 제어기(814) 및 혼합 매니폴드(810)에 결합된 다수의 가스원을 포함한다.
일반적으로, 각각의 가스원으로부터의 유동은 제어 밸브(808)에 의하여 제어된다. 제어 밸브(808)는 가스원으로부터 제공되는 유체의 유동, 속도, 압력등에서 하나 이상을 제어한다. 제어 밸브(808)는 하나 이상의 밸브, 조절기 및/또는 유동 제어 장치를 포함한다.
일 실시예에서, 가스 패널(158)은 하나 이상의 다이렉트 가스원(direct gas source; 802), 하나 이상의 처리 가스원(804) 및 하나 이상의 캐리어 가스원(carrier gas source; 806)을 포함한다. 처리 가스원(804) 및 운반 가스원(806)은 개별적인 가스 라인에 의하여 혼합 매니폴드(810)에 유체소통적으로 연결된다. 가스원(804, 806)으로부터의 다양한 가스는 혼합 매니폴드(810)에서 예비-전달(pre-delivery) 가스 혼합물로 혼합된다. 이 때문에, 혼합 매니폴드(810) 내의 예비 전달 가스 혼합물의 성분은 각각의 밸브(808)를 선택적으로 개방함으로써 선택될 수 있으며, 이로써 캐리어 및 처리 가스(806, 804)의 예정된 조합이 혼합될 수 있다. 예를 들어, 처리 가스원(804)으로부터의 하나 이상의 처리 가스 및, 선택적으로, 캐리어 가스원(806)으로부터의 하나 이상의 캐리어 가스는 임의의 조합 으로 혼합 매니폴드(810) 내에서 혼합될 수 있다. 처리 가스의 예로는 특히 SiCl4, HBr, NF3, O2, SiF4 가 있다. 캐리어 가스의 예로는 N2, He, Ar, 프로세스에 불활성인 기타의 가스 및 비 반응성 가스가 있다.
유동 제어기(814)는 주 가스 급송장치(812)에 의하여 혼합 매니폴드(810)에 연결된다. 유동 제어기(814)는 혼합 매니폴드(810)로부터 유동된 예비-전달 가스 혼합물을 개별적인 가스 공급 라인을 통해 챔버(100)로 전달되는 부-혼합물(sub-mixture)로 분리하도록 구성된다. 일반적으로, 가스 공급 라인의 개수는 샤워헤드 조립체(130) 내에 형성되는 영역(또는 독립된 플레넘)의 개수에 상응한다. 도 8에 도시된 실시예에서, 두 개의 가스 공급 라인(816, 818)은 유동 제어기(814)를 각각의 유입 포트(132', 132")에 연결한다.
유동 제어기(814)는 일반적으로 각각의 공급 라인(816, 818) 내에서의 부-혼합물의 유동의 비율을 제어하도록 구성된다. 이러한 방식으로, 각각의 영역, 최종적으로는 기판(144)의 각 영역으로 유동하는 가스 부-혼합물의 비율이 제어될 수 있다. 유동 제어기(814)는 전자 또는 기계 장치를 사용하여 예비-전달 가스 혼합물을 분리할 수 있다. 일 실시예에서, 유동 제어기(814)는 제어기(150)로부터의 신호에 응답하여 비율을 동적으로 제어할 수 있으며, 이로써 기판의 배치(batch) 사이에서, 기판 사이에서, 및/또는 단일 기판의 인-시츄 프로세싱에서 비율이 변화될 수 있게 한다. 다른 실시예에서, 유동 제어기(814)는 비율이 라인(816,818) 사이에서 고정되도록 설정된다. 비율을 유동 제어기(814) 내에 배치되는 하나 이상 의 오리피스에 의하여 설정될 수 있으며, 이로써 주 가스 급송장치(812)로부터의 유동은 가스 공급 라인(816, 818) 사이에서 우선적으로 분리된다.
일 실시예에서, 유동 제어기(814)는 외부 영역(136)보다 내부 영역(134)에 보다 많은 가스를 제공한다. 또 다른 실시예에서, 유동 제어기(814)는 내부 영역(134)보다 외부 영역(136)에 보다 많은 가스를 공급한다. 또 다른 실시예에서, 유동 제어기(814)는 기판 프로세싱의 제1 기간 동안은 외부 영역(136)보다 내부 영역(134)에 보다 많은 가스를 제공하다가, 기판의 인-시츄 프로세싱에서 기판 프로세싱의 제2 기간 동안 내부 영역(134)보다 외부 영역(136)에 보다 많은 가스를 공급하도록 비율을 변화시킨다. 유동 제어기(814)는 다른 순서나 비율로 처리 챔버(100) 내의 서로 다른 영역에 전달되는 유동 사이의 비율을 제어하도록 구성될 수 있다.
직접적으로 분사된 가스도 가스 플레이트(158)의 직접 분사 가스원으로부터 처리 챔버(100)의 내부 용적(106)으로 제공될 수 있다. 직접 분사 가스원(802)으로부터 유동하는 직접 분사된 가스의 양은 밸브(808)에 의해 제어된다.
일 실시예에서, 직접 분사된 가스는 가스 급송장치(816, 818) 중 하나 이상에 제공된다. 다른 실시예에서, 직접적으로 분사된 가스는 가스 공급 라인(816, 818)으로 각각 공급된 두 개의 직접 공급 라인(820, 822)으로 공급된다. 또 다른 실시예에서, 직접적으로 분사된 가스는 유입 포트(132', 132")에 연결된 가스 급송장치 중 하나 이상에 제공된다. 또 다른 실시예에서, 직접적으로 분사된 가스는 샤워헤드 조립체(130 (700))의 플레넘(218, 220 (716, 718)) 중 하나 이상에 제공 된다.
도 8에 도시된 실시예에서, 직접적으로 분사된 가스가 동일한 양으로 각각의 영역(134, 136)에 제공된다. 선택적으로, (점선으로 도시되어 있으며 유동 제어기(814)와 유사한) 제2 유동 제어기(824)가 직접적으로 분사된 가스를 서로 다른 비율로 각각의 영역(134, 136)으로 제공하도록 활용된다.
도 1을 다시 참조하면, 기판 지지 조립체(148)가 샤워헤드 조립체(130)의 아래에서 처리 챔버(100)의 내부 용적(106) 내에 배치된다. 기판 지지 조립체(148)는 프로세싱 동안에 기판(144)을 유지한다. 기판 지지 조립체(148)는 일반적으로 기판 지지 조립체(148)로부터 기판을 들어올리도록 구성되고 통상의 방식으로 (도시되지 않은) 로봇으로 기판(144)의 교체를 용이하게 하는 다수의 리프트 핀(도시되지 않음) - 내부를 통해 배치됨- 을 포함한다.
일 실시예에서, 기판 지지 조립체(148)는 장착 플레이트(162), 기부(164), 및 정전기 척(electrostatic chuck; 166)을 포함한다. 장착 플레이트(162)는 챔버 몸체(102)의 하부(110)에 연결되며, 특히 유체, 전력선 및 센서 리드(lead)와 같은 설비들을 기부(164) 및 척(166)으로 연결시키기 위한 통로를 포함한다.
기부(164)나 척(166) 중 하나 이상은 지지 조립체(148)의 측면 온도 프로파일을 제어하기 위하여 다수의 도관 및 하나 이상의 선택적 내장(embeded) 히터(176), 하나 이상의 선택적 내장 단열체(174)를 포함할 수 있다. 도 1에 도시된 실시예에서, 하나의 환형 단열체(174) 및 두 개의 도관(168, 170)이 기부(164) 내에 배치되는 반면, 저항성 히터(176)는 척(166) 내에 배치된다. 도관은 유체 원(172)에 유체소통적으로 연결되어 그 내부를 통해 온도 조절 유체를 순환시킨다. 히터(176)는 전력원(178)에 의하여 조절된다. 도관(168, 170) 및 히터(176)는 기부(164)의 온도를 제어하도록 사용되며, 이로써 정전기 척(166)을 가열 및/또는 냉각시키며, 이로써 적어도 부분적으로는 정전기 척(166) 상에 배치되는 기판(144)의 온도를 제어한다.
기부(164)에 형성되는 두 개의 개별적인 냉각 통로(168, 170)는 두 개 이상의 독립적으로 제어가능한 온도 영역을 형성한다. 추가적인 냉각 통로 및/또는 통로의 레이아웃이 추가적인 온도 제어 영역을 형성하도록 배치될 수 있다. 일 실시예에서, 온도 제어 영역이 동심이 되도록 제1 냉각 통로(168)는 제2 냉각 통로(170)의 반경방향 내부에 배치된다. 통로(168, 170)가 반경 방향을 향하거나, 다른 기하학적 형상을 가질 수 있다. 냉각 통로(168, 170)는 온도 제어식 열 전달 유체의 단일한 공급원(172)에 연결되거나, 개별적인 열 전달 유체원에 각각 연결될 수도 있다.
단열체(174)는 기부(164)의 인근 영역의 물질과 비교하여 다른 열전도 계수를 갖는 물질로 형성된다. 일 실시예에서, 단열체(174)는 기부(164)보다 작은 열전도 계수를 갖는다. 다른 실시예에서, 단열체(174)는 이방성의(즉, 방향에 좌우되는) 열 전도 계수를 갖는 재료로 제조된다. 단열체(174)는 열 전달 경로에 단열체를 갖지 않는 기부(164)의 인근 부분을 통한 열 전달율에 대하여 도관(168, 170)까지 기부(164)를 통하여 지지 조립체(148) 사이의 열 전달율을 국부적으로 변화시키는 기능을 한다. 단열체(174)는 제1 및 제2 냉각 통로(168, 170) 사이에서 측방 향으로 배치되어 기판 지지 조립체(148)를 통해 형성되는 온도 제어 영역 사이에서 강화된 단열을 제공한다.
도 1에 도시된 실시예에서, 단열체(174)는 도관(168, 170) 사이에 배치되며, 이로써 측면 열전달을 방해하고 기판 지지 조립체(148)를 가로질러 측면 온도 제어 영역을 넓힌다. 따라서, 삽입물의 열전달 계수, 개수, 형상, 크기, 위치를 제어함으로써 정전기 척(166) 및 그 위에 위치하는 기판(144)의 온도 프로파일이 제어될 수 있다. 비록 절연체(174)가 도 1에서 환형 링의 형상으로 도시되어 있기는 하나, 절연체(174)의 형상은 다수의 형태를 취할 수 있다.
선택적인 열 전도성 페이스트(paste) 또는 접착제(도시되지 않음)가 정전기 척(166)과 기부(164) 사이에 배치될 수 있다. 전도성 페이스트는 정전기 척(166)과 기부(164) 사이의 열 교환을 촉진시킨다. 일 실시예에서, 접착제는 정전기 척(166)을 기부(164)에 기계적으로 결합시킨다. 대안적으로(이는 도시되지 않음), 기판 지지 조립체(148)가 정전기 척(166)을 기부(164)에 고정시키도록 구성된 하드웨어(예를 들어 클램프(clamp), 스크류 등등)를 포함할 수 있다.
정전기 척(166) 및 기부(164)의 온도는 다수의 센서를 이용하여 모니터된다. 도 1에 도시된 실시예에서, 제1 온도 센서(190) 및 제2 온도 센서(192)는 반경방향으로 이격되어 도시되어 있으며, 이로써 제1 온도 센서(190)는 지지 조립체(148)의 중앙 영역의 온도를 나타내는 값을 제어기(150)에 제공하는 반면 제2 온도 센서(192)는 지지 조립체(148)의 주변 영역의 온도를 나타내는 값을 제어기(150)에 제공하게 된다.
정전기 척(166)은 기부(164) 상에 배치되어 커버 링(146)에 의해 둘러싸여 진다. 정전기 척(166)은 알루미늄이나 세라믹 또는 기타 프로세싱 중에 기판을 지지하기에 적합한 재료로 제조될 수 있다. 일 실시예에서, 정전기 척(166)은 세라믹으로 제조된다. 대안적으로 정전기 척(166)은 진공 척, 기계 척(mechanical chuck), 또는 기타 적장한 기판 지지부로 대체될 수 있다.
정전기 척(166)은 일반적으로 세라믹이나 유사한 절연 재료로 형성되며 처킹 전력원(chucking power source; 182)를 사용하여 제어되는 클램핑 전극(180)을 하나 이상 포함한다. 전극(180) (또는 척(166)이나 기부(164) 내에 배치되는 다른 전극)은 처리 챔버(100) 내에서 프로세스 및/또는 다른 가스로부터 형성된 플라즈마를 유지하기 위하여 하나 이상의 RF 전력원에 추가로 연결될 수 있다.
도 1에 도시된 실시예에서, 전극(180)은 정합 회로(matching circuit; 188)를 통하여 제1 RF 전력원(184) 및 제2 RF 전력원(186)에 연결된다. 전력원(184, 186)은 일반적으로 약 50kHz 내지 약 3GHz의 주파수 및 약 10,000 Watts 까지의 전력을 갖는 RF 신호를 발생시킬 수 있다. 정합 네트워크(188)는 전력원(184, 186)의 임피던스를 플라즈마 임피던스에 맞춘다. 단일한 급송장치(feed)가 양 전력원(184, 186)으로부터의 에너지를 전극(180)에 연결한다. 대안적으로, 각각의 전력원(184, 186)은 별도의 급송장치(feed)를 통해 전극(180)에 연결될 수 있다.
정전기 척(166)은 또한 전력 공급부(178)에 의해 제어되는 내장 히터(176)를 하나 이상 포함할 수도 있다. 정전기 척(166)은 계속해서 홈(groove)들과 같은 다수의 가스 통로(도시되지 않음)를 포함할 수 있는데, 이들은 척의 기판 지지 표면 내에 형성되어 열전달(또는 후면부) 가스원에 유체소통적으로 연결된다. 작동에 있어서, 후면부 가스(예를 들어 헬륨(He))가 제어된 압력에서 가스 통로로 제공되어 정전기 척(166)과 기판(144) 사이의 열 전달을 강화시킨다. 통상적으로, 적어도 정전기 척의 기판 지지 표면(176)에는 기판의 처리과정 동안에 사용되는 화학작용 및 온도에 저항성을 갖는 코팅이 제공된다.
도 12A는 리프트 핀 가이드 조립체(1200A)의 일 실시예를 도시하는 기판 지지 조립체(148)의 부분 단면도를 도시한다. 통상적으로, 세 개 이상의 리프트 핀 가이드 조립체(1200A)가 기판 지지 조립체(148) 내에 사용되며 라이너(118)를 통해 형성된 절개부(cut-out)과 정렬되도록 배치된다.
리프트 핀 가이드 조립체(1200A)는 주로 기판 지지 조립체(148)의 기부(164) 내에 형성된 계단형 구멍(1204) 내에 배치된다. 계단형 구멍(1204)은 정전기 척(166)을 통해 형성된 구멍(1202)과 정렬된다. 리프트 핀 가이드 조립체(1200A)의 일부분은 구멍(1202) 내부로 연장하여 기부(164) 및 척(166) 사이의 정렬 형상부를 제공한다.
리프트 핀 가이드 조립체(1200A)는 일반적으로 가이드(1210) 및 리테이너(retainer; 1218)를 포함한다. 탄성 부재(1220)가 가이드(1210)와 리테이너(1218) 사이에 배치되어 리프트 핀 가이드 조립체(1200A)를 기판 지지 조립체(148) 내부로 조립시 쿠션을 제공하며, 조립체(148, 1200A)의 열적 팽창 및 수축을 수용한다. 일 실시예에서, 탄성 부재(1220)는 프로세스의 화학작용과 프로세스 환경을 견딜 수 있는 탄성 재료로 이루어진 O-링이다.
가이드(1210)는 상부 보스(upper boss; 1240) 및 하부 보스(128)를 갖는 몸체(1216)를 포함한다. 가이드(1210)는 또한 동심의 제1 및 제2 보어(1224, 1226)도 포함하는데, 이들은 리프트 핀 가이드 조립체(1200A)를 통하여 (도시되지 않은) 리프트 핀의 통로를 수용한다. 상부 보스(1240)은 기부(164)를 향하는 정전기 척(166)의 표면 내에 형성된 요부(1238)로 연장하여 맞물린다. 도 2B에 도시된 리프트 핀 가이드 조립체(1200B)에 대한 대안적인 실시예에서, 상부보스(1240)는 언더컷(undercut; 1250)을 포함하여 상부 보스(1240)와 정전기 척(166)과의 우선적인 정렬을 보장한다.
하부 보스(1228)는 리테이너(1218)로부터 연장하는 슬리브(1230)와 맞물려서 이에 의해 둘러싸여 진다. 하부 보스(1228)와 몸체(1216) 사이에는 언더컷(1222)이 배치되어 탄성 부재(1220)를 유지시킨다.
리테이너(1218)는 슬리브(1230)로부터 연장하는 나사부(threaded portion; 1232)를 포함한다. 나사부(1232)는 구동 형상부(drive feature; 1234)를 포함하여리테이너(1218)를 기부(164)로 나사식으로 조이는 것(screwing)을 용이하게 한다. 구동 형상부(1234)는 슬롯, 필립스 드라이브(Phillips drive), 헥스(hex), 스패너 구멍 또는 기타 리테이너(1218)를 회전시키기 위한 형상부일 수 있다. 리테이너(1218)를 통해 형성된 통로(1236)는 가이드(1210)의 보어(1224, 1226)와 정렬되어 리프트 핀을 수용한다.
기부(164)에 형성된 계단형 구멍(1204)은 주 보어(main bore; 1208), 단(step; 1206) 및 유입 구멍(1212)을 포함한다. 단(1208)은 주 보어(1208) 내부 로 연장하며, 유입 구멍(1212)은 리테이너(1218)과 맞물리기 위한 나사부(1214)를 포함한다. 리테이너(1218)를 기부(164)의 나사부(1232)로 조이기 시작하면, 리테이너(1218)는 탄성 부재(1220)와 접촉하고, 이러한 탄성부재는 몸체(1216)를 기부(164) 내에 형성된 계단형 구멍(1204)의 단(1206)에 대하여 편향시키고, 이로써 리프트 핀 가이드 조립체(1200A)를 기판 지지 조립체(148)의 기부(164) 내에 고정시키게 된다.
도 9-10A는 외부 라이너(116)의 실시예에 대한 부분 단면도 및 전개 사시도를 도시한다. 외부 라이너(116)는 플라즈마나 플로오르 저항성 재료로 코팅 및/또는 제조될 수 있다. 일 실시예에서, 외부 라이너(116)는 알루미늄으로 제조된다. 다른 실시예에서, 외부 라이너(116)는 이트륨, 이트륨 합금, 또는 이들의 산화물로 코팅되거나 제조된다. 또 다른 실시예에서, 외부 라이너(116)는 벌크 Y2O3 로 제조된다. 캐소드 라이너(118)는 동일한 재료로 제조될 수 있다.
도 9-10에 도시된 실시예에서, 외부 라이너(116)는 상부 라이너(902) 및 하부 라이너(904)를 포함한다. 하부 라이너(904)의 상부 엣지(908)는, 예를 들어 사개맞춤(rabbet joint)(예를 들어 리베이트(rebate))으로, 상부 라이너(902)의 하부 엣지(910)와 정합되도록 구성된다.
도 10B는 상부 및 하부 라이너(902, 904) 사이의 조인트에 대한 다른 실시예를 도시한다. 이 실시예에서, 하부 라이너(904)는 단지 상부 라이너(9020의 하부 엣지(910)에 형성된 단(step)과 맞물리는 직선 엣지(990) 만을 갖는다.
도 9-10A를 다시 참조하면, 하부 라이너(904)는 일반적으로 측벽(108)의 내부 표면(112)에 대하여 꼭 맞게 결합되도록 구성된 중공 실린더이다. 하부 라이너(904)는 노치(notch) 또는 포트(906)를 포함하는데, 이는 챔버 몸체(102)의 배기 포트(126)와 정렬되어 내부 용적(106)의 배기 및 펌프다운(pump down)을 용이하게 한다.
상부 라이너(902)는 일반적으로 그 상부 부분으로부터 연장하는 플랜지(912)를 갖는 몸체(914)를 포함한다. 플랜지(912)는 일반적으로 다각형 형태이며, 여기에 도시된 실시예에서, 다각형 플랜지(912)의 구석부(indices)는 약 45도의 각도로 잘려져 있다.
몸체(914)는 일반적으로 원통형 형태이며 내부벽(916) 및 외부벽(934)을 갖는다. 립(918)은 내부벽(916)으로부터 내부로 연장하며, 챔버(100)에 장착되면 샤워헤드 조립체(130)에 대한 지지부를 제공한다. O-링 홈(920)은 립(918) 내에 형성되어 샤워헤드 조립체(130)에 가스 밀봉을 제공한다.
상부 라이너(902)의 몸체(914)에 개구(928)가 제공되어 챔버 몸체(102) 내에 형성된 창(도시되지 않음)을 통해 내부 용적(106)을 시각적으로 검사할 수 있게 한다. 개구(928)를 둘러싸는 상부 라이너(902)의 외부벽(934)의 일부는 제거가능한 창 삽입물(window insert; 924)에 의해 덮혀질 수 있다. 창 삽입물(924)은, 삽입물(924) 및 외부벽(934)은 동일 높이에 있게 되도록, 다수의 파스너(926)에 의하여 상부 라이너(902) 내의 함몰부(도시되지 않음) 내에 고정된다. 따라서, 창 삽입물(924)의 보호 코팅이 창/챔버 몸체 인터페이스와 접촉에 의해 마모됨에 따라, 보 호 코팅이 파손되어 외부 라이너(116)의 기부 재료가 노출되기 전에 창 삽입물(924)이 교체될 수 있다.
슬롯(938)은 실린더(914) 내에 형성되어 기판이 챔버(100)로 들어오고 나가게 한다. 요부(932)는 슬롯(938)을 둘러싸는 상부 라이너(902)의 외부벽(934) 내에 형성된다. 제거 가능한 도어 삽입물(door insert; 930)이 슬롯(938) 위에 배치되어 라이너(902)가 슬릿 밸브 포트와의 접촉에 의해 마모되는 것을 방지한다. 삽입물(930)은 상부 라이너(902)에 형성된 슬롯(938)과 정렬하는 슬롯(940)을 구비하여 외부 라이너(116)를 통한 기판의 출입을 용이하게 한다. 삽입물(930)은, 삽입물(930) 및 외부벽(934)가 동일 높이에 있게 되도록, 다수의 패스너(936)에 의하여 요부(932) 내에 고정된다. 따라서, 삽입물(930)의 보호 코팅이 슬릿 밸브 포트/챔버 몸체 인터페이스와 접촉에 의해 마모됨에 따라, 보호 코팅이 파손되어 외부 라이너(116)의 기부 재료가 노출되기 전에 삽입물(930)이 교체될 수 있다. 삽입물(924, 930)은 일반적으로 라이너와 동일한 재료로 제조 및/또는 코팅된다.
도 11A-F는 기판 지지 조립체(148)의 외부 상부 표면을 덮는 커버 링(146)과 맞물리는 캐소드 라이너(118)의 일 실시예를 도시한다. 캐소드 라이너(118)는 일반적으로 더 큰 직경의 상부 섹션(1140) 및 더 작은 직경의 하부 섹션(1142)을 포함한다. 경사 섹션(sloped section)이 라이너(118)의 외부 직경 상에 형성되어 더 큰 직경의 상부 섹션(1140)과 더 작은 직경의 하부 섹션(1142)을 연결한다.
라이너(118)는 플랜지(121)에 의하여 챔버의 하부(110)위에 지지된다. 플랜지(121)는 하부 섹션(1142)으로부터 상부 섹션(1140)의 직경보다 더 큰 직경까지 바깥쪽으로 연장한다. 플랜지(121)는 그 내부에 형성되는 단(1097)을 포함하는 외부 엣지(1094)를 구비한다. 라이너(118)의 외부 표면을 덮는 Y2O3 와 같은 보호코팅이, 도 11F의 점선(1092)로 도시된 바와 같이, 단(1097)에서 종료될 수 있다.
플랜지(121)는 추가적으로 환형 캡(annular cap; 1099)에 의해 밀폐되는 채널(1010)을 포함하여 도관(120)을 형성한다. 캡(1099)은 도관(120)으로부터의 누설을 방지하기에 적합한 다른 방식으로 홈(1008) 내에서 라이너(118)에 용접 또는 고정될 수 있다. 캡(1099)은 나사형 포트(1095)를 갖는 보스(1096)를 포함하여 도관(120)을 유체원(124)에 연결하는 것을 용이하게 한다. 채널(1010)은 라이너(118)의 플랜지(121)를 통하는 경로를 가져서 채널(1010)의 제1 및 제2 단부(1012, 1014)가 캡(1099) 내에 형성된 보스(1096)와 정렬된다. 채널(1010)은 선택적으로 하나 이상의 굽힘부(1016)를 포함하는데, 이는 채널이 약 180도로 방향을 바꿀 수 있게 하여 냉각 유체의 바람직한 분포를 가능하게 한다.
플랜지(1132)는 섹션(1140, 1142)의 접합점으로부터 내부로 연장하여 라이너(18)를 두 갈래로 가른다. 플랜지(1132)는 하부 표면(1134)을 구비하는데, 이는 캐소드 라이너(118)를 기판 지지 조립체(148)와 함께 위치시킨다. O-링 홈(1136)은 플랜지(1132)의 상부 표면에 형성되어 캐소드 라이너(118)를 밀봉한다.
플랜지(1132)는 다수의 리프트 핀 절개부(cut-out; 1002)을 포함하여 리프트 핀(도시되지 않음)이 작동하도록 한다. 플랜지(1132)는 또한 다수의 설비 절개부(utility cut-out; 1004)를 포함한다. 절개부(1004)는 전기, 가스, 냉각 유체, 센서 리드 또는 기타 설비물을 기부(164) 및/또는 정전기 척(166)으로 연결시킨다.
커버 링(146)은 기판 지지 조립체(148) 상에 배치되어 캐소드 라이너(118)의 상부 단부(1128)와 교차(interleave)된다. 커버 링(146)은 일반적으로 플라즈마 및/또는 화학반응 저항 물질로 코팅 및/또는 형성된 환형 몸체(1102)를 구비한다. 일 실시예에서, 커버 링(146)은 이트륨 또는 그 산화물로 코팅 및/또는 제조된다. 일 실시예에서, 가스 커버 링(146)은 벌크 이트륨으로 제조되어 플루오르화 화학작용(fluorinated chemistry)들에 저항성을 제공한다. 다른 실시예에서, 커버 링(146)은 석영으로 제조된다.
몸체(1102)는 일반적으로 상부 표면(1104) 및 하부 표면(1126)을 구비한다. 제1 융기부(first ridge; 1118), 제2 융기부(1122) 및 제3 융기부(1120)는 몸체(1102)의 하부 표면(1126)으로부터 아래방향으로 연장한다. 도 11A에 도시된 실시예에서, 융기부(1118, 1122, 1120)는 동심의 링이다.
제1 및 제2 융기부(1118, 1122)는 커버 링(146)의 내부 부분 상에 배치되며, 그 내부에 캐소드 라이너(118)의 상부 단부(1128)를 수용하는 슬롯을 그 사이에 형성한다. 제1 융기부(1118)는 제2 융기부(1122)보다 몸체(1102)로부터 더 연장한다. 제3 융기부(1120)도 역시 제2 융기부(1122)보다 몸체(1102)로부터 더 연장한다. 제3 융기부(1120)는 기판 지지 조립체(148) 내에 형성된 슬롯(1180) 내부로 연장하여 커버 링(146)과 지지 조립체(148) 사이에서 배향을 고정시킨다.
탭(1116)은 제3 융기부(1120) 근방에서 몸체(1102)로부터 내부를 향해 반경방향으로 연장한다. 탭(1116)은 상부 표면(1150)을 포함하는데, 이는 정전기 척(166)의 상부 표면(1152)과 실질적으로 동일한 평면상에 있다. 기판의 주변부(도 11A에는 도시되지 않음)는 기판이 기판 지지 조립체(148) 상에 배치될 때 탭(1116)의 상부 표면(1150)과 정전기 척(166) 사이의 인터페이스를 덮는다.
내부벽(1114)은 몸체(1102)의 상부 표면(1104)과 탭(1116) 사이에 배치된다. 내부벽(1114)은 탭(1116)의 내부 직경보다 더 큰 직경을 갖는다. 통상적으로 내부벽(1114)의 직경은 기판과의 적당한 틈을 제공하도록 선택된다.
몸체(1102)의 상부 표면(1104)은 일반적으로 내부 영역(1110) 및 외부 영역(1108)을 포함한다. 내부 영역(1110)은 외부 영역(1108)에 비하여 상승되어 있다. 내부 영역(1110)은 상부 표면(1104)의 외부 영역(1108)에 평행하게 배향된다. 도 11A에 도시된 실시예에서, 경사진 영역(1112)은 상부 표면(1104)의 내부 및 외부 영역(1110, 1108) 사이의 전이부를 형성한다.
도 11A는 또한 정전기 척(166)에 대한 일 실시예를 상세히 도시하고 있다. 정전기 척(166)은 척의 하부 표면(1198)과 상부 표면(1152) 사이에 형성된 계단형 외부 직경 표면을 포함한다. 계단형 외부 직경은 일반적으로 상부 벽(1188), 중간 벽(1192), 및 하부 벽(1196)을 포함한다. 벽(1188, 1192, 1196)은 일반적으로 수직이며, 상부 벽(1188)이 중간 벽(1192)보다 짧다. 중간 벽(1192)은 하부 벽(1196)보다 짧다. 상부 벽(1188)은 상부 표면(1152)에서 시작하며, 상부 선반부(upper ledge; 1190)를 향해 아래로 연장한다. 상부 선반부(1190)는 상부 벽(1188)을 중간 벽(1192)에 연결한다. 하부 선반부(1194)는 중간 벽(1192) 및 하부 벽(1196)을 연결한다. 하부 벽(1196)은 하부 표면(1198)에 연결된다. 선반 부(1190, 1194)는 일반적으로 수평이며, 하부 선반부(1194)가 상부 선반부(1190)보다 더 크다. 벽(1188, 1192, 1196) 및 선반부(1190, 1194)에 의해 형성된 계단형 외부 직경은 커버 링(146)을 미리 결정된 위치로 기판 지지 조립체(148) 상에 정합시켜 유지하는 형상을 가진 프로파일을 형성한다.
도 13은 기판 지지 조립체(1300)의 부분 단면도를 도시한다. 기판 지지 조립체(1300)는 일반적으로 앞서 기술된 기판 지지 조립체(148)와 유사하며 캡(1306) 및 기부(1304)에 장착된 정전기 척(1302)을 포함한다. 정전기 척(1302) 및 기부(1304)은 각각 계단형 외부 직경(1308, 1310)을 포함한다. 기부(1304)의 계단형 외부 직경(1310)은 다수의 장착 구멍(1328)을 포함한다. 장착 구멍(1328)은 O-링 마개(gland)(1330)의 외부에 배치되며, 카운터 보어(counter bore)를 포함하여 기판지지 조립체(1300)를 캐소드 라이너(118)에 고정시키기 위해 사용되는 패스너의 헤드가 기부의 표면 아래로 들어가도록 할 수 있다.
기부(1304)는 또한 내부 채널(1312) 및 외부 채널(1314)과 같은 여러 온도 제어 형상부를 포함할 수도 있다. 채널(1312, 1314)은 일반적으로 기부(1304) 내에 형성되지만 케이스(1306)에 의해 둘러싸여 진다. 채널(1312, 1314)은 기부(1304)를 통해 냉각 유체를 유동시키도록 사용되며 개별적으로 유체원에 연결되어 채널(1312, 1314) 내의 유체의 온도가 독립적으로 제어되게 할 수 있다.
도 13C에 도시된 실시예에서, 내부 채널(1312)은, 채널이 이중 루프(loop)로 구성되도록, 서로의 근방에 위치하는 단부(1320, 1322)를 포함한다. 마찬가지로, 외부 채널(1314)은, 채널이 이중 루프로 구성되도록, 서로의 근방에 위치하는 단 부(1324, 1326)를 포함한다. 이중 루프 구성은 기부(1304) 및 도관을 통해 유동하는 유체 사이에 보다 효율적인 열 전달을 제공한다.
기부(1304)는 또한 기부(1304)의 평면을 가로지르는 측면 열전도를 최소화하기 위해 사용되는 열적 초크(thermal choke; 1316)를 하나 이상 포함할 수 있으며, 이로써 기부(1304)에 대하여, 그리고 결과적으로, 정전기 척(1302) 상에 지지되는 기판에 대하여 보다 정확한 온도 프로파일의 제어를 가능하게 한다. 열적 초크(1316)는 실질적으로 기부(1304)를 통해 연장하는 홈이나 슬롯일 수 있으며 이로써 기부(1304) 내에 공기 갭을 형성한다. 대안적으로, 열적 초크(1316)는 기부(1304)의 열 전도 계수보다 작은 열 전도 계수를 갖는 재료로 채워질 수 있다. 도 13C에 도시된 실시예에서, 3 개의 열적 초크(1316)가 볼트 써클(bolt circle) 상에 배치된다. 또한 도 13C 에 도시된 실시예에서, 열적 초크(1316)는 도 13A-B에 도시된 바와 같이 리프트 핀 가이드를 수용하는 리프트 핀 구멍(1318)에 의해 분리된다.
도 14는 리드 조립체(1400)의 다른 실시예의 상부 등척도(isometric view)이다. 리드 조립체(1400)는 일반적으로 앞서 기술된 리드(104)와 유사하다. 리드 조립체(1400)는 리드(1402) 및 캡(1404)을 포함한다. 캡(1404)은 리드(1402) 내에 냉각 부재를 유지시키기 위해 사용된다. 캡(1404)에는 두 개의 보스(1406)가 제공되어 냉각 유체원(도시되지 않음)에 대한 리드(1402) 내에 배치된 냉각 부재의 결합을 용이하게 한다.
도 15A-C 에서, 리드(1402)는 일반적으로 알루미늄이나 기타 적당한 재료로 제조된다. 리드는 또한 외부 직경(1410) 내에 노치(1408)를 포함하여 리드를 처리 챔버(100)로 배향시킬 수도 있다.
도 15A는 도 14의 리드(1402)의 횡단면도를 도시한다. 리드(1402)는 상부측면(1412) 및 하부 측면(1414)을 포함한다. 하부 측면(1414)은 내측 외부 직경(1416)을 포함한다. 하부 측면(1414)과 내측 외부 직경(1416) 중 적어도 하나는 점선(1418)으로 도시된 바와 같이 Y2O3 로 코팅될 수 있다.
하부 측면(1414)은 또한 오목부(pocket; 1420)도 포함한다. 오목부(1420)는 샤워헤드 조립체(130 또는 1800)와 같은 샤워헤드 조립체를 수용하도록 단이 형성되어 있다.
중심 개구(1430)는 일반적으로 리드(1402)를 통해 연장하여 메트롤로지 유닛(140)이 처리 챔버 내에 위치하는 기판과 접속되도록 한다. O-링 마개(1432)는 오목부(1420)의 하부(1426) 내에 형성되어 샤워헤드와 리드 사이에서 중심 개구(1430)를 통한 누설을 방지한다.
리드(1402)는 또한 하나 이상의 외부 가스 통로(1434) 및 하나 이상의 내부 가스 통로(1440)도 포함한다. 도 14 및 15A에 도시된 실시예에는, 9개의 외부 가스 통로와 4개의 내부 가스 통로가 도시되어 있다. 도 15C 의 단면도에 도시된 바와 같이, 외부 가스 통로는 일반적으로 오목부(1420)의 하부(1426) 상에 카운터 보어(1436)를 포함하여, 리드 내의 가스 통로가 샤워헤드 내의 가스 통로와 더욱 양호하게 정렬될 수 있다. O-링 마개(1430)는 일반적으로 리드(1402)의 상부측 면(1412)에 제공되어 가스 통로(1434)의 밀봉을 용이하게 한다. 내부 가스 통로(1440)는 유사하게 구성될 수 있다.
리드(1402)의 상부 측면(1412)은 요부(1422)를 수용하는 캡의 하부에 형성된 다수의 채널(1424)을 포함한다. 캡(1404)은 채널(1424)들로부터 누설이 방지되도록 요부(1422)에 용접되거나 밀봉된다.
도 16은 채널(1424)에 배치된 냉각 코일(1444)을 갖는 리드(1402)의 평면도이다. 냉각 코일(1444)은 일반적으로 유입 단부(1446) 및 배출 단부(1448)를 포함하는데, 이는 캡(1404) 내에 형성된 보스(1406)를 연결하여 냉각 유체가 냉각 코일(1444)을 통하여 순환되도록 한다.
도 17은 캡(1404)의 일 실시예를 도시한다. 캡은 일반적으로 내부 링(1460) 및 외부 링(1458)을 포함하는데, 이는 탭(1462)에 의하여 연결된다. 내부 링(1460)은 일반적으로 중심 개구(1464) 및 다수의 구멍(1466)을 포함한다.
도 18-20은 상술한 리드(104, 1402)와 사용하기에 적당한 샤워헤드 조립체(1800)의 리드에 대한 일 실시예의 하부 등척도, 횡단면도 및 부분 횡단면도이다. 리드 조립체(1800)는 일반적으로 다수의 핀(1830)에 의하여 거로 이격된 상태로 연결되는 하부 플레넘 플레이트(1804) 및 상부 플레넘 플레이트(1802)를 포함한다. 상부 플레넘 플레이트(1802)는 일반적으로 그로부터 연장하는 다수의 위치설정용 핀(1806)을 포함하는데, 이는 샤워헤드 조립체(1800)를 리드 조립체에 대하여 배향시킨다. 상부 플레넘 플레이트(1802)의 상부 표면은 또한 다양한 가스 포트 및 다른 개구들을 단열시키기 위해 사용되는 다수의 O-링 마개(1812)를 포함한다. 다수의 외부 가스 포트(1816)는 상부 플레넘 플레이트(1802)를 통해서 반경방향 바깥쪽으로 형성되며 O-링 마개 중 하나에 의하여 다수의 내부 가스 포트(1818)로부터 분리된다.
중심 개구(1808)는 t-형상의 세라믹 플러그(1814)를 수용하도록 제공된다. 플러그(1814)는 플러그(1814)에 플라즈마가 형성되는 것을 방지하면서도 광학 메트롤로지를 용이하게 하도록 구성되는 다수의 통로(260)를 포함한다. 정화 가스 포트(1820)는 내부 가스 포트(1818)의 O-링 마개(1812)에 의하여 분리되어 그 반경방향 안쪽에 위치되어 정화 가스를 플러그(1814)로 공급한다.
환형 벽(1834)은 하부 플레넘 플레이트(1804)와 상부 플레넘 플레이트(1802) 사이에 배치된다. 벽(1834)은 일반적으로 실질적인 T-형상 단면을 가지며 플레넘 플레이트(1802, 1804)의 면하는 면에 형성된 홈(1836, 1838)에 맞물린다. 도 20에 도시된 실시예에서, O-링(1822)는 플레넘 플레이트(1802, 1804)와 벽(1834)의 단부 사이에 배치되어 내부 플레넘(1826)이 외부 플레넘(1828)로부터 분리된다.
가스 통로(1840)는 일반적으로 각각의 플레넘(1826, 1828)으로부터 연장하여 내부 가스 포트(1818) 및 외부 가스 포트(1816)에 제공된 가스를 가스 분배 플레이트(210)를 통하여 처리 챔버(100)의 내부 용적(106)으로 공급한다. 가스 통로(1840)는 카운터 보어(1842)를 포함하여 가스 분배 플레이트(210)에 형성된 구멍(250)과 하부 플레넘 플레이트(1804)의 통로(1840) 사이에 보다 양호한 정렬을 제공한다.
작동에 있어서, 처리 챔버(100)는 기판의 고 종횡비 피쳐(high aspect ratio feature)를 식각하도록 사용될 수 있다. 일 실시예에서, 기판 상에 배치된 실리콘 층에 고 종횡비의 트렌치(trench)를 식각하기 위한 방법이 챔버(100) 내에서 수행될 수 있다. 실리콘 층은 종래의 실시에서 처럼 패턴이 형성된 마스크(patterned mask)로 덮히게 된다. 이러한 방법은 챔버 압력을 약 0 내지 약 300 milliTorr(mT)로 조절함으로써 개시된다. 기판은 약 500 내지 약 2800 Watts (W)의 바이어스 전력으로 바이어스된다. 일 실시예에서, 바이어스 전력은 약 2MHz의 주파수에서 가해진다.
샤워헤드 조립체의 다중 가스 유동 영역을 통해 제공된 가스로부터 형성되 플라즈마는 약 500 내지 약 2800 W 의 전력을 기판 지지 조립체에 인가함으로써 유지된다. 일 실시예에서, 전력은 60 MHz 에서 인가된다. 자기 B-장(magnetic B-field)이 약 0 내지 약 140 가우스(G)를 갖는 챔버를 가로질러 인가된다. 실리콘 층은 마스크의 개구부를 통해 플라즈마 식각되어 적어도 80:1 까지의 종횡비를 갖는 트렌치를 형성한다.
프로세스의 혼합물, 직접 분사 및 불활성 가스는 플라즈마 식각을 위하여 챔버에 제공된다. 혼합물은 HBr, NF3, O2, SiF4, SiCl4, 및 Ar 중 하나 이상을 포함할 수 있다. 일 실시예에서, 혼합 매니폴드에 제공되는 프로세스 가스는 HBr 및 NF3 를 포함하는 반면, O2, SiF4, 및 SiCl4 는 선택적으로 제공될 수 있다. 예시적인 실시예에서, 약 50 내지 약 500 sccm 의 HBr, 약 10 내지 약 200 sccm 의 NF3, 약 0 내지 약 200 sccm 의 O2, 약 0 내지 약 200 sccm 의 SiF4, 약 0 내지 약 200 sccm 의 SiCl4, 약 0 내지 약 200 sccm 의 Ar 이 300 mm 기판의 식각에 적합한 프로세스를 위하여 혼합 매니폴드에 제공된다. 혼합된 가스는 피쳐의 밀도, 크기, 및 측면 위치에 상응하는 선택된 유동 비율로 플레넘에 공급된다. SiCl4 는 혼합 매니폴드를 바이패스하는 샤워헤드 조립체의 플레넘에 제공되는 직접 분사 가스로서 사용된다.
상술한 처리 챔버가 기판의 표면에 걸쳐 양호한 균일성과 함께 고 종횡비의 피쳐 챔버를 식각한다는 것이 설명되었다. 상술한 처리 챔버와 종래의 처리 챔버에서 수행되던 식각 실리콘 프로세스 사이의 비교 데이터는 가장자리로부터 중심까지의 종횡비 균일성의 향상을 설명한다. 종래의 시스템은 가장자리로부터 중심까지의 종횡비가 약 1.35인 반면, 상술한 처리 챔버는 가장자리로부터 중심까지의 종횡비가 약 1.04 이고, 따라서 본원발명의 챔버가 차세대 장치의 제조에 적합하게 된다.
전술한 내용은 본원발명의 실시예에 관한 것이지만, 다른 또는 추가적인 본원발명의 실시예가 그 기본 사상 내에서 안출될 수 있으며, 본원발명의 범위는 이하의 청구범위에 의해 결정된다.

Claims (146)

  1. 처리 챔버로서,
    내부 용적을 갖는 챔버 몸체 조립체;
    상기 챔버 몸체의 천장부에 연결되는 샤워헤드 조립체로서, 그 내부에 형성되며 유체소통에 있어 격리된 두 개 이상의 플레넘, 광학 메트롤로지 신호를 전달할 수 있는 영역, 및 상기 플레넘을 상기 챔버 몸체의 내부 용적에 유체소통적으로 연결하며 상기 샤워헤드 조립체를 통해 형성되는 다수의 가스 통로를 구비하는 샤워헤드 조립체; 및
    상기 챔버 몸체에 배치되는 기판 지지 조립체; 를 포함하는,
    처리 챔버.
  2. 제1항에 있어서,
    상기 기판 지지 조립체가,
    정전기 척; 및
    상기 정전기 척 아래에 배치되며 두 개 이상의 독립적으로 제어가능한 온도 영역을 갖는 열 조절 플레이트; 를 더 포함하는 것을 특징으로 하는,
    처리 챔버.
  3. 제1항에 있어서,
    상기 독립적으로 제어가능한 온도 영역의 두 개 이상이 측방향으로 이격되는 것을 특징으로 하는,
    처리 챔버.
  4. 제1항에 있어서,
    상기 기판 지지 조립체의 측면을 상기 챔버 몸체의 내부 용적으로부터 격리시키는 라이너로서, 더 큰 지름의 상부 섹션 및 더 작은 지름의 하부 섹션을 갖는 라이너를 더 포함하는 것을 특징으로 하는,
    처리 챔버.
  5. 제4항에 있어서,
    상기 라이너가 이트륨을 포함하는 것을 특징으로 하는,
    처리 챔버.
  6. 제4항에 있어서,
    상기 라이너가 Y2O3 를 포함하는 것을 특징으로 하는,
    처리 챔버.
  7. 제4항에 있어서,
    상기 기판 지지 조립체 상에 배치되고, 상기 라이너의 상부 단부를 수용하는 슬롯을 형성하는 두 개의 아래방향으로 배향된 융기부를 갖는 커버 링을 더 포함하는 것을 특징으로 하는,
    처리 챔버.
  8. 제1항에 있어서,
    상기 기판 지지 조립체에 연결되는 다수의 플라즈마 전력원을 더 포함하는 것을 특징으로 하는,
    처리 챔버.
  9. 제8항에 있어서,
    상기 챔버 몸체 주위에 배치되는 다수의 자석을 더 포함하는 것을 특징으로 하는,
    처리 챔버.
  10. 제1항에 있어서,
    혼합 매니폴드에 연결되는 다수의 가스원을 갖는 가스 패널로서, 상기 혼합 매니폴드가 상기 샤워헤드 조립체의 플레넘에 유체소통적으로 연결되는, 가스 패널; 및
    상기 혼합 매니폴드를 바이패스하고 하나 이상의 플레넘에 유체소통적으로 연결되는 하나 이상의 직겁 분사 가스; 를 더 포함하는 것을 특징으로 하는,
    처리 챔버.
  11. 제1항에 있어서,
    혼합 매니폴드;
    상기 혼합 매니폴드에 연결되는 다수의 제1 가스원; 및
    상기 샤워헤드 조립체의 플레넘과 상기 혼합 매니폴드 사이에 유체소통적으로 연결되며, 상기 플레넘으로의 가스 유동 비율을 제어하도록 구성되는 유동 제어기; 를 더 포함하는 것을 특징으로 하는,
    처리 챔버.
  12. 제11항에 있어서,
    상기 혼합 매니폴드를 바이패스하고 하나 이상의 플레넘에 유체소통적으로 연결되는 하나 이상의 직겁 분사 가스를 더 포함하는 것을 특징으로 하는,
    처리 챔버.
  13. 제11항에 있어서,
    상기 샤워헤드 조립체가,
    벌크 이트륨으로 제조되는 기판 지지 조립체를 향하는 가스 분배 플레이트를 더 포함하는 것을 특징으로 하는,
    처리 챔버.
  14. 처리 챔버로서,
    내부 용적을 갖는 챔버 몸체 조립체;
    상기 챔버 몸체의 천장부에 연결되며 유체소통에 있어 격리되어 있는 내부 가스 유동 영역과 가스 외부 유동 영역 및 광학 메트롤로지 신호의 전달 영역을 갖는 샤워헤드 조립체;
    상기 챔버 몸체에 배치되며, 독립적으로 제어가능하고 측방향으로 이격되어 있는 두 개 이상의 온도 영역을 갖는 기판 지지 조립체;
    상기 샤워헤드 조립체의 전달 영역을 통해 챔버 몸체의 내부 용적을 관찰하도록 배치되는 광학 메트롤로지 시스템;
    상기 기판 지지 조립체에 연결되는 바이어스 전력원; 및
    상기 기판 지지 조립체에 연결되는 두 개 이상의 플라즈마 전력원; 을 포함하는,
    처리 챔버.
  15. 제14항에 있어서,
    상기 챔버 몸체의 내부 벽을 내부 용적으로부터 격리시키는 벽 라이너; 및
    상기 기판 지지 조립체의 측면을 내부 용적으로부터 격리시키는 캐소드 라이너; 를 더 포함하며,
    상기 라이너들이 이트륨, 이트륨 합금 또는 이트륨 산화물로 형성되거나 코팅되는 것을 특징으로 하는,
    처리 챔버.
  16. 제15항에 있어서,
    상기 기판 지지 조립체 상에 배치되고, 상기 캐소드 라이너의 상부 단부를 수용하는 슬롯을 형성하는 두 개의 아래방향으로 배향된 융기부를 갖는 세라믹 커버 링을 더 포함하는 것을 특징으로 하는,
    처리 챔버.
  17. 제14항에 있어서,
    혼합 매니폴드에 연결되는 다수의 가스원을 갖는 가스 패널로서, 상기 혼합 매니폴드가 상기 샤워헤드 조립체의 상기 영역들에 유체소통적으로 연결되는, 가스 패널; 및
    상기 혼합 매니폴드를 바이패스하고 하나 이상의 상기 영역들에 유체소통적으로 연결되는 하나 이상의 직겁 분사 가스; 를 더 포함하는 것을 특징으로 하는,
    처리 챔버.
  18. 제14항에 있어서,
    혼합 매니폴드;
    상기 혼합 매니폴드에 연결되는 다수의 제1 가스원; 및
    상기 샤워헤드 조립체의 상기 영역들과 상기 혼합 매니폴드 사이에 유체소통적으로 연결되며, 상기 영역으로의 가스 유동 비율을 제어하도록 구성되는 유동 제 어기; 를 더 포함하는 것을 특징으로 하는,
    처리 챔버.
  19. 제18항에 있어서,
    상기 혼합 매니폴드를 바이패스하고 하나 이상의 플레넘에 유체소통적으로 연결되는 하나 이상의 직겁 분사 가스를 더 포함하는 것을 특징으로 하는,
    처리 챔버.
  20. 제14항에 있어서,
    상기 샤워헤드 조립체가,
    벌크 이트륨으로 제조되는 기판 지지 조립체를 향하는 가스 분배 플레이트;
    그 내부에 형성되는 영역들을 갖는 다수의 샤워헤드 플레이트; 및
    하나 이상의 샤워헤드 플레이트의 중심을 통해 배치되며 그 내부에 형성되는 전달 영역을 갖는 세라믹 플러그; 를 더 포함하는 것을 특징으로 하는,
    처리 챔버.
  21. 처리 챔버로서,
    내부 용적을 갖는 챔버 몸체 조립체;
    상기 내부 용적에 배치되며 외부 세트의 가스 유동 구멍, 내부 세트의 가스 유동 구멍, 및 광학 메트롤로지 구멍 세트를 갖는 가스 분배 플레이트;
    상기 제1 세트의 가스 유동 구멍을 통해 상기 내부 용적에 유체소통적으로 연결되는 내부 가스 유동 영역;
    상기 제2 세트의 가스 유동 구멍을 통해 상기 내부 용적에 연결되며, 상기 내부 용적으로부터 유체소통에 있어서 격리되는 외부 가스 유동 영역;
    상기 광학 메트롤로지 구멍과 정렬되는 다수의 구멍을 갖는 세라믹 플러그;
    상기 플러그와 정렬되는 창;
    상기 챔버 몸체에 배치되며, 독립적으로 제어가능하고 측방향으로 이격되어 있는 두 개 이상의 온도 영역을 갖는 기판 지지 조립체;
    상기 창, 상기 플러그 내의 구멍 및 광학 메트롤로지 구멍에 의해 형성되는 광학 통로를 통해 챔버 몸체의 내부 용적을 관찰하도록 배치되는 광학 메트롤로지 시스템;
    상기 기판 지지 조립체에 연결되는 바이어스 전력원; 및
    상기 기판 지지 조립체에 연결되는 두 개 이상의 플라즈마 전력원; 을 포함하는,
    처리 챔버.
  22. 제21항에 있어서,
    상기 가스 분배 플레이트가 이트륨을 포함하는 것을 특징으로 하는,
    처리 챔버.
  23. 제21항에 있어서,
    상기 가스 분배 플레이트가 Y2O3 를 포함하는 것을 특징으로 하는,것을 특징으로 하는,
    처리 챔버.
  24. 고 종횡비 피쳐를 식각하기 위한 방법으로서,
    혼합 매니폴드에 다수의 가스를 제공하는 단계;
    상기 혼합 매니폴드로부터 그 내부에 기판이 배치되는 처리 챔버의 다른 영역으로 제공되는 혼합 가스의 비율을 제어하는 단계;
    상기 혼합 매니폴드를 바이패스하는 상기 처리 챔버의 영역들 중 하나 이상에 하나 이상의 직접 분사 가스를 제공하는 단계; 및
    상기 혼합 가스 및 하나 이상의 직접 분사 가스로부터 형성되는 플라즈마를 사용하여 기판을 식각하는 단계; 를 포함하는 것을 특징으로 하는,
    고 종횡비 피쳐의 식각 방법.
  25. 제24항에 있어서,
    상기 기판 및 상기 기판을 지지하는 기판 지지부 사이에서 전달되는 열을 독립적으로 제어하는 단계를 더 포함하는 것을 특징으로 하는,
    고 종횡비 피쳐의 식각 방법.
  26. 반도체 처리 시스템용 프로세스 키트(kit)로서,
    환형 몸체;
    상기 몸체의 하부 표면으로부터 연장하는 제1 융기부;
    상기 제1 융기부의 안쪽에서 상기 몸체의 하부 표면으로부터 연장하는 제2 융기부; 및
    상기 몸체의 하부 표면으로부터 상기 제1 융기부보다 짧으면서 상기 제2 융기부보다 긴 거리로 연장하는 제3 융기부; 를 포함하는,
    반도체 처리 시스템용 프로세스 키트.
  27. 제26항에 있어서,
    내부 영역 및 외부 영역을 갖는 상부 표면을 더 포함하고, 상기 내부 영역이 상기 외부 영역보다 더 큰 높이를 갖는 것을 특징으로 하는,
    반도체 처리 시스템용 프로세스 키트.
  28. 제27항에 있어서,
    상기 내부 영역과 상기 외부 영역 사이에서 상기 상부 표면 상에 형성되는 경사진 영역을 더 포함하는 것을 특징으로 하는,
    반도체 처리 시스템용 프로세스 키트.
  29. 제26항에 있어서,
    상기 몸체로부터 반경방향 안쪽으로 연장하는 탭을 더 포함하는 것을 특징으로 하는,
    반도체 처리 시스템용 프로세스 키트.
  30. 제29항에 있어서,
    상기 탭이 환형인 것을 특징으로 하는,
    반도체 처리 시스템용 프로세스 키트.
  31. 제29항에 있어서,
    상기 탭이 상기 몸체의 상부 표면으로부터 함몰된 상부 표면을 더 포함하는 것을 특징으로 하는,
    반도체 처리 시스템용 프로세스 키트.
  32. 제26항에 있어서,
    상기 몸체가 석영을 더 포함하는 것을 특징으로 하는,
    반도체 처리 시스템용 프로세스 키트.
  33. 반도체 처리 시스템용 프로세스 키트로서,
    환형의 석영 몸체;
    상기 몸체의 하부 표면으로부터 연장하는 제1 융기부;
    상기 제1 융기부의 안쪽에서 상기 몸체의 하부 표면으로부터 연장하는 제2 융기부;
    상기 몸체의 하부 표면으로부터 상기 제1 융기부보다 짧으면서 상기 제2 융기부보다 긴 거리로 연장하는 제3 융기부; 및
    내부 영역 및 외부 영역을 갖는 상부 표면; 을 포함하고
    상기 내부 영역이 상기 외부 영역보다 더 큰 높이를 갖는 것을 특징으로 하는
    반도체 처리 시스템용 프로세스 키트.
  34. 제33항에 있어서,
    상기 내부 영역과 상기 외부 영역 사이에서 상기 상부 표면 상에 형성되는 경사진 영역을 더 포함하는 것을 특징으로 하는,
    반도체 처리 시스템용 프로세스 키트.
  35. 제33항에 있어서,
    상기 몸체로부터 반경방향 안쪽으로 연장하는 환형 탭을 더 포함하는 것을 특징으로 하는,
    반도체 처리 시스템용 프로세스 키트.
  36. 제35항에 있어서,
    상기 탭이 상기 몸체의 상부 표면으로부터 함몰된 상부 표면을 더 포함하는 것을 특징으로 하는,
    반도체 처리 시스템용 프로세스 키트.
  37. 반도체 처리 시스템용 프로세스 키트로서,
    환형의 석영 몸체;
    상기 몸체의 하부 표면으로부터 연장하는 제1 융기부;
    상기 제1 융기부의 안쪽에서 상기 몸체의 하부 표면으로부터 연장하는 제2 융기부;
    상기 몸체의 하부 표면으로부터 상기 제1 융기부보다 짧으면서 상기 제2 융기부보다 긴 거리로 연장하는 제3 융기부; 및
    내부 영역 및 외부 영역을 갖는 상부 표면으로서, 상기 내부 영역이 상기 외부 영역보다 더 큰 높이를 갖는 상부 표면;
    상기 내부 영역과 상기 외부 영역 사이에서 상기 상부 표면 상에 형성되는 경사진 영역; 및
    상기 몸체의 상부 표면 아래의 높이에서 상기 몸체로부터 반경방향 안쪽으로 연장하는 환형 탭; 을 포함하는 것을 특징으로 하는
    반도체 처리 시스템용 프로세스 키트.
  38. 반도체 처리 챔버의 내부 벽의 적어도 일부를 덮기에 적합한 라이너로서,
    상부 엣지 및 하부 엣지를 갖는 원통형 몸체;
    제2 라이너의 정합 형상부(mating feature)와 맞물리도록 구성되는 상기 상부 엣지 내에 형성되는 체결 형상부(engegement feature); 및
    상기 원통형 몸체의 라이너의 하부 엣지에 형성되는 노치; 를 포함하는,
    라이너.
  39. 제38항에 있어서,
    상기 몸체가 알루미늄을 더 포함하는 것을 특징으로 하는,
    라이너.
  40. 제39항에 있어서,
    상기 라이너가 Y2O3 로 코팅되는 것을 특징으로 하는,
    라이너.
  41. 제38항에 있어서,
    상기 몸체의 내부 표면이 Y2O3 로 코팅되는 것을 특징으로 하는,
    라이너.
  42. 제38항에 있어서,
    상기 몸체가 이트륨 또는 그 산화물로 코팅되거나 제조되거나 또는 코팅 및 제조되는 것을 특징으로 하는,
    라이너.
  43. 제38항에 있어서,
    상기 체결 형상부가 절반의 사개맞춤(rabbet joint)을 더 포함하는 것을 특징으로 하는,
    라이너.
  44. 반도체 처리 챔버의 내부 벽의 적어도 일부를 덮기에 적합한 라이너로서,
    상부 엣지 및 하부 엣지를 갖는 원통형 몸체로서, 상기 몸체가 이트륨 또는 그 산화물로 코팅되거나 제조되거나 또는 코팅 및 제조되는 원통형 몸체;
    제2 라이너의 정합 형상부와 맞물리도록 구성되는 상부 엣지; 및
    상기 원통형 몸체의 라이너의 하부 엣지에 형성되는 노치; 를 포함하는,
    라이너.
  45. 제44항에 있어서,
    상기 몸체가 알루미늄을 더 포함하는 것을 특징으로 하는,
    라이너.
  46. 제44항에 있어서,
    상기 몸체의 내부 표면이 Y2O3 로 코팅되는 것을 특징으로 하는,
    라이너.
  47. 제44항에 있어서,
    상기 체결 형상부가 절반의 사개맞춤을 더 포함하는 것을 특징으로 하는,
    라이너.
  48. 반도체 처리 챔버의 내부 벽의 적어도 일부를 덮기에 적합한 라이너로서,
    상부 엣지, 하부 엣지, 내부 벽 및 외부 벽을 갖는 원통형 알루미늄 몸체;
    상기 몸체의 내부 벽에 배치되는 이트륨 또는 그 산화물 중 하나 이상의 코팅; 및
    상기 원통형 몸체의 라이너의 하부 엣지에 형성되는 노치; 를 포함하는,
    라이너.
  49. 제48항에 있어서,
    상기 상부 엣지가 계단형(stepped) 체결 형상부를 더 포함하는 것을 특징으로 하는,
    라이너.
  50. 반도체 처리 챔버의 내부 벽의 적어도 일부를 덮기에 적합한 라이너로서,
    상부 엣지 및 하부 엣지를 갖는 원통형 몸체;
    상기 몸체의 상부 엣지로부터 반경방향 바깥쪽으로 연장하는 플랜지;
    상기 몸체의 외부 표면에 형성되는 요부; 및
    상기 몸체를 통해 형성되고 상기 요부 내에 배치되는 슬롯; 을 포함하는,
    라이너.
  51. 제50항에 있어서,
    상기 몸체의 내부 표면으로부터 반경방향 안쪽으로 연장하는 립(lip)을 더 포함하는 것을 특징으로 하는,
    라이너.
  52. 제51항에 있어서,
    상기 립이,
    그 내부에 O-링 홈이 형성되어 있는 상부 표면을 더 포함하는 것을 특징으로 하는,
    라이너.
  53. 제50항에 있어서,
    상기 요부가 다수의 장착 구멍을 더 포함하는 것을 특징으로 하는,
    라이너.
  54. 제50항에 있어서,
    상기 몸체의 하부 엣지가,
    제2 라이너와 정합하도록 구성되는 체결 형상부를 더 포함하는 것을 특징으로 하는,
    라이너.
  55. 제54항에 있어서,
    상기 체결 형상부가,
    절반의 사개맞춤을 더 포함하는 것을 특징으로 하는,
    라이너.
  56. 제50항에 있어서,
    상기 몸체를 통해 형성되는 개구를 더 포함하는 것을 특징으로 하는,
    라이너.
  57. 제56항에 있어서,
    상기 몸체가,
    그 내부에 개구가 배치되어 있는 함몰부를 더 포함하는 것을 특징으로 하는,
    라이너.
  58. 제50항에 있어서,
    상기 몸체가 알루미늄을 더 포함하는 것을 특징으로 하는,
    라이너.
  59. 제58항에 있어서,
    상기 라이너가 Y2O3 로 코팅되는 것을 특징으로 하는,
    라이너.
  60. 제50항에 있어서,
    상기 몸체의 내부 표면이 Y2O3 로 코팅되는 것을 특징으로 하는,
    라이너.
  61. 제50항에 있어서,
    상기 몸체가 이트륨 또는 그 산화물로 코팅되거나 제조되거나 또는 코팅 및 제조되는 것을 특징으로 하는,
    라이너.
  62. 제50항에 있어서,
    상기 체결 형상부가 절반의 사개맞춤을 더 포함하는 것을 특징으로 하는,
    라이너.
  63. 제50항에 있어서,
    상기 플랜지가 다각형상인 것을 특징으로 하는,
    라이너.
  64. 제63항에 있어서,
    상기 다각형 플랜지가 모따기된 구석부(chamfered indices)를 더 포함하는 것을 특징으로 하는,
    라이너.
  65. 반도체 처리 챔버의 내부 벽의 적어도 일부를 덮기에 적합한 라이너로서,
    상부 엣지 및 하부 엣지를 갖는 원통형 몸체로서, 상기 몸체가 이트륨 또는 그 산화물로 코팅되거나 제조되거나 또는 코팅 및 제조되는 원통형 몸체;
    상기 몸체의 상부 엣지로부터 반경방향 바깥쪽으로 연장하는 플랜지;
    상기 하부 엣지에 형성되며 제2 라이너와 정합하도록 구성되는 체결 형상부;
    상기 몸체의 외부 표면에 형성되는 요부; 및
    상기 몸체를 통해 형성되고 상기 요부 내에 배치되는 슬롯; 을 포함하는,
    라이너.
  66. 제65항에 있어서,
    상기 몸체의 내부 표면으로부터 반경방향 안쪽으로 연장하며 그 내부에 O-링 홈이 형성되어 있는 상부 표면을 갖는 립을 더 포함하는 것을 특징으로 하는,
    라이너.
  67. 제65항에 있어서,
    상기 요부가,
    다수의 장착 구멍을 더 포함하는 것을 특징으로 하는,
    라이너.
  68. 제65항에 있어서,
    상기 플랜지가 다각형상이며 두 개 이상의 모따기된 구석부를 포함하는 것을 특징으로 하는,
    라이너.
  69. 반도체 처리 챔버의 내부 벽의 적어도 일부를 덮기에 적합한 라이너로서,
    상부 엣지, 하부 엣지, 내부 벽 및 외부 벽을 갖는 원통형 알루미늄 몸체;
    상기 몸체의 내부 벽에 배치되는 이트륨 또는 그 산화물 중 하나 이상의 코팅;
    상기 몸체의 상부 엣지로부터 반경방향 바깥쪽으로 연장하는 플랜지;
    제2 라이너의 정합하도록 구성되는 상기 하부 엣지 내에 형성되는 계단형 체결 형상부;
    상기 몸체의 내부 표면으로부터 반경방향 안쪽으로 연장하며 그 내부에 O-링 홈이 형성되어 있는 상부 표면을 갖는 립;
    상기 몸체의 외부 표면에 형성되며 다수의 장착 구멍을 갖는 요부;
    상기 몸체의 외부 벽에 형성되는 함몰부;
    상기 몸체를 통해 형성되며 상기 함몰부 내에 배치되는 개구; 및
    상기 몸체를 통해 형성되고 상기 요부 내에 배치되는 슬롯; 을 포함하는,
    라이너.
  70. 반도체 처리 챔버의 기판 지지 조립체의 적어도 일부를 덮기에 적합한 라이너로서,
    더 큰 직경의 상부 섹션 및 더 작은 직경의 하부 섹션을 갖는 원통형 몸체;
    상기 몸체의 내부 직경을 가로질러 연장하는 플랜지; 및
    상기 플랜지를 통해 형성되는 세 개 이상의 리프트 핀 컷-아웃; 을 포함하는,
    라이너.
  71. 제70항에 있어서,
    상기 플랜지의 상부 표면에 형성되는 O-링 홈을 더 포함하는 것을 특징으로 하는,
    라이너.
  72. 제70항에 있어서,
    상기 더 큰 직경의 상부 섹션을 더 작은 직경의 하부 섹션으로 연결하는 경사진 외부 표면을 더 포함하는 것을 특징으로 하는,
    라이너.
  73. 제70항에 있어서,
    상기 플랜지가 상기 더 큰 직경의 상부 섹션으로부터 연장하는 것을 특징으로 하는,
    라이너.
  74. 제70항에 있어서,
    상기 몸체가 알루미늄을 더 포함하는 것을 특징으로 하는,
    라이너.
  75. 제74항에 있어서,
    상기 라이너가 Y2O3 로 코팅되는 것을 특징으로 하는,
    라이너.
  76. 제70항에 있어서,
    상기 몸체의 외부 직경 표면이 Y2O3 로 코팅되는 것을 특징으로 하는,
    라이너.
  77. 제70항에 있어서,
    상기 몸체가 이트륨 또는 그 산화물로 코팅되거나 제조되거나 또는 코팅 및 제조되는 것을 특징으로 하는,
    라이너.
  78. 반도체 처리 챔버의 기판 지지 조립체의 적어도 일부를 덮기에 적합한 라이너로서,
    더 큰 직경의 상부 섹션 및 더 작은 직경의 하부 섹션을 구비하는 원통형 알루미늄 몸체로서, 상기 몸체의 내부 직경을 가로질러 연장하는 플랜지를 갖는 원통 형 알루미늄 몸체; 및
    상기 몸체의 외부 직경 상에 배치되는 이트륨 또는 그 산화물 중 하나 이상의 코팅; 을 포함하는,
    라이너.
  79. 제78항에 있어서,
    상기 더 큰 직경의 상부 섹션을 더 작은 직경의 하부 섹션으로 연결하며 상기 외부 직경의 일부를 형성하는 경사진 외부 표면을 더 포함하는 것을 특징으로 하는,
    라이너.
  80. 제78항에 있어서,
    상기 플랜지가 상기 더 큰 직경의 상부 섹션으로부터 연장하는 것을 특징으로 하는,
    라이너.
  81. 반도체 처리 챔버의 기판 지지 조립체의 적어도 일부를 덮기에 적합한 라이 너로서,
    더 큰 직경의 상부 섹션 및 더 작은 직경의 하부 섹션을 갖는 원통형 알루미늄 몸체;
    상기 몸체의 외부 직경 상에 배치되는 이트륨 또는 그 산화물 중 하나 이상의 코팅;
    상기 더 큰 직경의 상부 섹션을 더 작은 직경의 하부 섹션으로 연결하며 상기 외부 직경의 일부를 형성하는 경사진 외부 표면;
    상부 표면을 가지며 상기 몸체의 더 큰 직경의 상부 섹션의 내부 직경으로부터 반경방향 안쪽으로 연장하는 플랜지; 및
    상기 플랜지의 상부 표면에 형성되는 O-링 홈; 을 포함하는,
    라이너.
  82. 반도체 처리 챔버의 적어도 일부를 덮기에 적합한 리드(lid)로서,
    하부 외부 직경, 상측면 및 하측면을 갖는 기부;
    상기 기부의 하측면 내에 형성되는 계단형 요부;
    상기 하부 외부 직경으로부터 연장하는 립;
    상기 상측면 및 요부 사이에서 상기 기부를 통해 형성되는 중심 개구;
    상기 상측면과 요부 사이에서 상기 중심 개구로부터 반경방향으로 기부를 통해 형성되는 제1 가스 유입부; 및
    상기 상측면과 요부 사이에서 상기 중심 개구로부터 반경방향으로 기부를 통해 형성되는 제2 가스 유입부; 를 포함하는,
    리드.
  83. 제82항에 있어서,
    상기 기부를 통해 형성되고 열 전달 유체를 통과시켜 순환시키도록 구성되는 하나 이상의 냉각 채널을 더 포함하는 것을 특징으로 하는,
    리드.
  84. 제82항에 있어서,
    상기 중심 요부가 두 개의 단을 더 포함하는 것을 특징으로 하는,
    리드.
  85. 제82항에 있어서,
    상기 기부가 알루미늄을 포함하는 것을 특징으로 하는,
    리드.
  86. 제82항에 있어서,
    상기 요부의 바깥쪽의 하측면 상에 형성되는 하부 표면의 적어도 일부가 이트륨으로 코팅되는 것을 특징으로 하는,
    리드.
  87. 제82항에 있어서,
    상기 요부의 바깥쪽의 하측면 상에 형성되는 하부 표면의 적어도 일부가 Y2O3 로 코팅되는 것을 특징으로 하는,
    리드.
  88. 제82항에 있어서,
    상기 요부의 바깥쪽의 하측면 상에 형성되는 하부 표면의 적어도 일부가 이트륨 산화물로 코팅되는 것을 특징으로 하는,것을 특징으로 하는,
    리드.
  89. 반도체 처리 챔버의 적어도 일부를 덮기에 적합한 리드로서,
    하부 외부 직경, 상측면 및 하측면을 갖는 기부;
    상기 기부의 하측면에 형성되며 내부 직경에 형성되는 다수의 단을 갖는 요부;
    상기 기부의 상측면에 형성되는 요부;
    상기 요부에 형성되고 열 전달 유체를 통과시켜 순환시키도록 구성되는 하나 이상의 냉각 채널;
    상기 기부의 요부에 배치되고 상기 냉각 채널을 둘러싸는 캡;
    상기 하부 외부 직경으로부터 연장하는 립;
    상기 상측면 및 요부 사이에서 상기 기부를 통해 형성되는 중심 개구;
    상기 상측면과 요부 사이에서 상기 중심 개구로부터 반경방향으로 기부를 통해 형성되는 제1 가스 유입부; 및
    상기 상측면과 요부 사이에서 상기 중심 개구로부터 반경방향으로 기부를 통해 형성되는 제2 가스 유입부; 를 포함하는,
    리드.
  90. 제89항에 있어서,
    상기 다수의 단이 두 개의 단을 포함하는 것을 특징으로 하는,
    리드.
  91. 제89항에 있어서,
    상기 기부가 알루미늄을 포함하는 것을 특징으로 하는,
    리드.
  92. 제89항에 있어서,
    상기 요부의 바깥쪽의 하측면 상에 형성되는 하부 표면의 적어도 일부가 이트륨으로 코팅되는 것을 특징으로 하는,
    리드.
  93. 제89항에 있어서,
    상기 요부의 바깥쪽의 하측면 상에 형성되는 하부 표면의 적어도 일부가 Y2O3 로 코팅되는 것을 특징으로 하는,
    리드.
  94. 제89항에 있어서,
    상기 요부의 바깥쪽의 하측면 상에 형성되는 하부 표면의 적어도 일부가 이트륨 산화물로 코팅되는 것을 특징으로 하는,
    리드.
  95. 반도체 처리 챔버의 적어도 일부를 덮기에 적합한 리드로서,
    하부 외부 직경, 상측면 및 하측면을 갖는 알루미늄 기부;
    상기 기부의 하측면에 형성되며 내부 직경에 형성되는 다수의 단을 갖는 요부;
    상기 기부를 통해 형성되고 열 전달 유체를 통과시켜 순환시키도록 구성되는 하나 이상의 냉각 채널;
    상기 하부 외부 직경으로부터 연장하는 립;
    상기 상측면 및 요부 사이에서 상기 기부를 통해 형성되는 중심 개구;
    상기 상측면과 요부 사이에서 상기 중심 개구로부터 반경방향으로 기부를 통해 형성되는 제1 가스 유입부; 및
    상기 상측면과 요부 사이에서 상기 중심 개구로부터 반경방향으로 기부를 통해 형성되는 제2 가스 유입부; 를 포함하고,
    상기 요부의 바깥쪽의 하측면 상에 형성되는 하부 표면의 적어도 일부가 이트륨 또는 그 산화물로 코팅되는 것을 특징으로 하는,
    리드.
  96. 제95항에 있어서,
    상기 다수의 단이 두 개의 단을 포함하는 것을 특징으로 하는,
    리드.
  97. 반도체 처리 챔버에 사용하기 위한 기판 지지 조립체로서,
    상부 벽, 중간 벽 및 하부 벽에 의해 형성되는 외부 직경을 갖는 몸체로서, 상기 중간 벽의 높이는 상기 하부 벽의 높이보다 작고 상기 상부 벽의 높이보다 큰, 몸체;
    상기 몸체에 내장되는 클램핑 전극; 및
    상기 몸체에 연결되며, 열적 초크에 의하여 반경방향으로 분리되는 두 개 이상의 냉각 채널을 갖는 기부; 를 포함하는,
    기판 지지 조립체.
  98. 제97항에 있어서,
    상기 몸체가 세라믹을 더 포함하는 것을 특징으로 하는,
    기판 지지 조립체.
  99. 제97항에 있어서,
    상기 상부 벽과 중간 벽 사이에 형성되는 상부 턱; 및
    상기 중간 벽과 하부 벽 사이에 형성되는 하부 턱을 더 포함하는 것을 특징으로 하는,
    기판 지지 조립체.
  100. 제99항에 있어서,
    상기 상부 턱이 하부 턱보다 짧은 것을 특징으로 하는,
    기판 지지 조립체.
  101. 제97항에 있어서,
    상기 몸체 내에 배치되는 저항성 히터를 더 포함하는 것을 특징으로 하는,
    기판 지지 조립체.
  102. 제97항에 있어서,
    상기 몸체 내에 배치되는 하나 이상의 온도 센서를 더 포함하는 것을 특징으로 하는,
    기판 지지 조립체.
  103. 제97항에 있어서,
    상기 몸체 내에 배치되는 제1 온도 센서; 및
    상기 제1 온도 센서의 반경방향 안쪽으로 상기 몸체 내에 배치되는 제2 온도 센서; 를 더 포함하는 것을 특징으로 하는,
    기판 지지 조립체.
  104. 반도체 처리 챔버에 사용하기에 적합한 기판 지지 조립체로서,
    클램핑 전극을 갖는 정전기 척;
    상기 정전기 척에 연결되며, 반격방향으로 분리되어 독립적으로 제어가능한 냉각 채널을 두 개 이상 구비하는 기부; 및
    상기 기부에 연결되어 상기 채널을 밀봉하는 캡; 을 포함하는,
    기판 지지 조립체.
  105. 제104항에 있어서,
    상기 몸체가 세라믹을 더 포함하는 것을 특징으로 하는,
    기판 지지 조립체.
  106. 제104항에 있어서,
    상기 기부가,
    상기 두 개 이상의 냉각 채널을 분리시키는 하나 이상의 열적 초크를 더 포함하는 것을 특징으로 하는,
    기판 지지 조립체.
  107. 제106항에 있어서,
    상기 하나 이상의 열적 초크가,
    상기 기부에 형성되는 세 개의 호(arc) 형상 홈을 더 포함하는 것을 특징으로 하는,
    기판 지지 조립체.
  108. 제104항에 있어서,
    상기 몸체에 배치되는 저항성 히터를 더 포함하는 것을 특징으로 하는,
    기판 지지 조립체.
  109. 제104항에 있어서,
    상기 몸체에 배치되는 하나 이상의 온도 센서를 더 포함하는 것을 특징으로 하는,
    기판 지지 조립체.
  110. 제104항에 있어서,
    상기 몸체에 배치되는 제1 온도 센서; 및
    상기 제1 온도 센서의 반경방향 안쪽으로 상기 몸체에 배치되는 제2 온도 센서를 더 포함하는 것을 특징으로 하는,
    기판 지지 조립체.
  111. 반도체 처리 챔버에 사용하기에 적합한 기판 지지 조립체로서,
    상부 벽과 중간 벽 사이에 배치되는 상부 턱 및 상기 중간 벽과 하부 벽 사 이에 배치되는 하부 턱에 의해 형성되는 계단형 외부 직경을 갖는 몸체로서, 상기 중간 벽의 높이는 상기 하부 벽의 높이보다 낮고 상기 상부 벽의 높이보다 높으며, 상기 상부 턱은 상기 하부 턱보다 짧으며, 그 내부에 내장되는 클램핑 전극을 갖는 몸체; 및
    정전기 척에 연결되는 기부로서, 반경방향으로 분리되어 독립적으로 제어가능한 냉각 채널을 두 개 이상 갖는 기부; 를 포함하는,
    기판 지지 조립체.
  112. 제111항에 있어서,
    상기 몸체가 세라믹을 더 포함하는 것을 특징으로 하는,
    기판 지지 조립체.
  113. 제111항에 있어서,
    상기 몸체 내에 배치되는 저항성 히터를 더 포함하는 것을 특징으로 하는,
    기판 지지 조립체.
  114. 제111항에 있어서,
    상기 기부가,
    상기 두 개 이상의 냉각 채널을 분리시키는 하나 이상의 열적 초크를 더 포함하는 것을 특징으로 하는,
    기판 지지 조립체.
  115. 제111항에 있어서,
    상기 몸체에 배치되는 제1 온도 센서; 및
    상기 제1 온도 센서의 반경방향 안쪽으로 상기 몸체에 배치되는 제2 온도 센서를 더 포함하는 것을 특징으로 하는,
    기판 지지 조립체.
  116. 샤워헤드 조립체로서,
    가스 유동 구멍의 외부 세트, 가스 유동 구멍의 내부 세트, 및 광학 메트롤로지 구멍 세트를 갖는 가스 분배 플레이트;
    상기 가스 분배 플레이트에 연결되며 상기 가스 유동 구멍의 외부 세트에 유체소통적으로 연결되는 제1 플레넘 및 상기 가스 유동 구멍의 내부 세트에 유체소통적으로 연결되는 제2 플레넘을 갖는 상부 섹션으로서, 상기 플레넘들이 상기 상부 섹션 내에서 유체소통에 있어 격리되는, 상부 섹션; 및
    상기 상부 섹션을 통해 배치되며 광학 메트롤로지 구멍과 정렬되는 광학 전달 영역을 갖는 세라믹 플러그; 를 포함하는,
    샤워헤드 조립체.
  117. 제116항에 있어서,
    상기 상부 섹션을 통해 배치되고 상기 플러그와 정렬되는 창을 더 포함하는 것을 특징으로 하는,
    샤워헤드 조립체.
  118. 제117항에 있어서,
    상기 창이,
    광학 모니터링 시스템에 의하여 사용되는 신호를 전달하는 물질을 더 포함하는 것을 특징으로 하는,
    샤워헤드 조립체.
  119. 제117항에 있어서,
    상기 창이 석영을 더 포함하는 것을 특징으로 하는,
    샤워헤드 조립체.
  120. 제117항에 있어서,
    상기 상부 섹션이,
    그 내부에 형성되는 요부를 갖는 기부, 상기 요부를 덮는 가스 분배 플레이트를 더 포함하고, 상기 창이 상기 요부에 배치되는 것을 특징으로 하는,
    샤워헤드 조립체.
  121. 제116항에 있어서,
    상기 가스 분배 플레이트가 벌크 이트륨으로 제조되는 것을 특징으로 하는,
    샤워헤드 조립체.
  122. 제116항에 있어서,
    상기 광학 전달 영역이 다수의 고 종횡비 구멍을 더 포함하는 것을 특징으로 하는,
    샤워헤드 조립체.
  123. 제116항에 있어서,
    상기 가스 분배 플레이트를 상기 상부 섹션에 연결하는 접착제의 동심 링(concentric ring)을 더 포함하고,
    상기 동심 링이 가스 분배 플레이트와 상부 섹션 사이에 형성되는 반경방향으로 격리된 동심의 플레넘을 형성하는 것을 특징으로 하는,
    샤워헤드 조립체.
  124. 제123항에 있어서,
    접착제의 동심 링 사이에 배치되는 접착제의 비드를 더 포함하고,
    상기 가스 분배 플레이트, 상부 섹션 및 한 쌍의 접착제 링 사이에 존재하는 가스가 상기 비드 주위로 유동할 수 있는 것을 특징으로 하는,
    샤워헤드 조립체.
  125. 제116항에 있어서,
    상기 상부 섹션이,
    계단형 요부를 갖는 기부;
    상기 요부 내에 배치되는 제1 플레이트;
    상기 제1 플레이트와 상기 기부 사이에서 상기 요부에 배치되는 제2 플레이트; 및
    상기 제1 및 제2 플레이트 사이에 배치되고 상기 제1 및 제2 플레넘을 분리시키는 장벽;을 더 포함하는 것을 특징으로 하는,
    샤워헤드 조립체.
  126. .
  127. 제126항에 있어서,
    상기 상부 섹션이,
    상기 제1 플레이트를 상기 제2 플레이트로부터 이격시키는 다수의 핀을 더 포함하는 것을 특징으로 하는,
    샤워헤드 조립체.
  128. 제127항에 있어서,
    상기 핀이 상기 제1 및 제2 플레이트로 강제 결합(press fit)되는 것을 특징으로 하는,
    샤워헤드 조립체.
  129. 제127항에 있어서,
    상기 제1 플레이트가 다수의 가스 통로를 포함하고,
    각각의 가스 통로가, 제1 보어 구멍과 제2 보어 구멍 사이에 배치되는 오리피스 구멍을 포함하고,
    상기 보어 구멍들이 상기 오리피스 구멍에 비하여 실질적으로 더 큰 직경 및 깊이를 갖는 것을 특징으로 하는,
    샤워헤드 조립체.
  130. 샤워헤드 조립체로서,
    상부 플레넘을 하부 플레넘으로부터 분리시키는 다수의 가스 유동 통로를 갖는 상부 섹션;
    상기 상부 섹션에 연결되며 상기 하부 플레넘에 유체 소통적으로 연결되는 다수의 가스 유동 구멍을 갖는 가스 분배 플레이트; 및
    상기 가스 분배 플레이트 및 상기 상부 섹션을 통해 형성되는 광학 전달 통로; 를 포함하는,
    샤워헤드 조립체.
  131. 제130항에 있어서,
    상기 광학 전달 통로가,
    밀봉된 창;
    상기 가스 분배 플레이트와 상기 창 사이에 배치되는 부재; 및
    상기 부재에 형성되는 다수의 고 종횡비 구멍; 을 더 포함하는 것을 특징으로 하는,
    샤워헤드 조립체.
  132. 제130항에 있어서,
    상기 고 종횡비 구멍이 약 10:1 이상의 종횡비(높이 대 직경)를 갖는 것을 특징으로 하는,
    샤워헤드 조립체.
  133. 제130항에 있어서,
    상기 고 종횡비 구멍이 DEBYE 길이 및/또는 전자 평균 자유 경로 중 적어도 하나와 상응하거나 이보다 작은 직경을 갖는 것을 특징으로 하는,
    샤워헤드 조립체.
  134. 제130항에 있어서,
    상기 고 종횡비 구멍이 약 1.5 mm 보다 작은 직경을 갖는 것을 특징으로 하는,
    샤워헤드 조립체.
  135. 제130항에 있어서,
    상기 고 종횡비 구멍이 약 60% 개방 면적까지의 개방 면적을 형성하는 것을 특징으로 하는,
    샤워헤드 조립체.
  136. 제130항에 있어서,
    상기 고 종횡비 구멍이 37 구멍을 갖는 것을 특징으로 하는,
    샤워헤드 조립체.
  137. 제130항에 있어서,
    상기 부재가 세라믹을 더 포함하는 것을 특징으로 하는,
    샤워헤드 조립체.
  138. 제130항에 있어서,
    상기 상부 섹션이,
    계단형 요부를 갖는 기부;
    상기 요부에 배치되는 제1 플레이트;
    상기 제1 플레이트와 상기 기부 사이에서 상기 요부에 배치되는 제2 플레이트; 및
    상기 제1 및 제2 플레이트 사이에 배치되며 상기 제1 및 제2 플레넘을 분리시키는 장벽; 을 더 포함하는 것을 특징으로 하는,
    샤워헤드 조립체.
  139. 제138항에 있어서,
    상기 상부 섹션이,
    상기 제1 플레이트를 상기 제2 플레이트로부터 이격시키는 다수의 핀을 더 포함하는 것을 특징으로 하는,
    샤워헤드 조립체.
  140. 제138항에 있어서,
    상기 핀이 상기 제1 및 제2 플레이트로 강제 결합되는 것을 특징으로 하는,
    샤워헤드 조립체.
  141. 제138항에 있어서,
    상기 제1 플레이트가 다수의 가스 통로를 포함하고,
    각각의 가스 통로가, 제1 보어 구멍과 제2 보어 구멍 사이에 배치되는 오리피스 구멍을 포함하고,
    상기 보어 구멍들이 상기 오리피스 구멍에 비하여 실질적으로 더 큰 직경 및 깊이를 갖는 것을 특징으로 하는,
    샤워헤드 조립체.
  142. 제130항에 있어서,
    상기 가스 분배 플레이트가 벌크 이트륨으로 제조되는 것을 특징으로 하는,
    샤워헤드 조립체.
  143. 제130항에 있어서,
    상기 광학 전달 영역이 다수의 고 종횡비 구멍을 포함하는 것을 특징으로 하는,
    샤워헤드 조립체.
  144. 제130항에 있어서,
    상기 가스 분배 플레이트를 상기 상부 섹션에 연결하는 접착제의 동심 링(concentric ring)을 더 포함하고,
    상기 동심 링이 가스 분배 플레이트와 상부 섹션 사이에 형성되는 반경방향으로 격리된 동심의 플레넘을 형성하는 것을 특징으로 하는,
    샤워헤드 조립체.
  145. 제144항에 있어서,
    접착제의 동심 링 사이에 배치되는 접착제의 비드를 더 포함하고,
    상기 가스 분배 플레이트, 상부 섹션 및 한 쌍의 접착제 링 사이에 존재하는 가스가 상기 비드 주위로 유동할 수 있는 것을 특징으로 하는,
    샤워헤드 조립체.
  146. 샤워헤드 조립체로서,
    계단형 요부를 갖는 기부;
    상기 요부 내에 배치되는 제1 플레이트;
    상기 제1 플레이트와 상기 기부 사이에서 상기 요부에 배치되는 제2 플레이트;
    내부를 통해 형성되는 다수의 고 종횡비 구멍을 갖는 세라믹 플러그로서, 상기 고 종횡비 구멍이 약 1.5 mm 보다 작은 직경 및 약 10:1 이상의 종횡비(높이 대 직경)을 갖는, 세라믹 플러그;
    상기 제1 및 제2 플레이트 사이에 배치되고, 상기 제1 및 제2 플레이트에 형성된 상기 제1 및 제2 플레넘을 분리시키는 장벽;
    다수의 동심 접착제 라인에 의해 상기 제1 플레이트에 연결되며, 접착제 라인 사이에 정렬되는 다수의 가스 유동 구멍을 갖는 가스 분배 플레이트; 및
    상기 가스 분배 플레이트 및 세라믹 플러그, 플레이트, 및 기부를 통해 형성되는 광학 전달 통로; 를 포함하는,
    샤워헤드 조립체.
KR1020077024143A 2006-05-03 2007-05-02 고 종횡비 피쳐를 식각하기에 적당한 진공 처리 챔버 및 그구성 부품 KR100900596B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11/381,523 2006-05-03
US11/381,523 US8475625B2 (en) 2006-05-03 2006-05-03 Apparatus for etching high aspect ratio features
US11/421,208 US8440049B2 (en) 2006-05-03 2006-05-31 Apparatus for etching high aspect ratio features
US11/421,208 2006-05-31

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020087031566A Division KR100898195B1 (ko) 2006-05-03 2007-05-02 캐소드 라이너 및 이를 구비하는 처리 챔버

Publications (2)

Publication Number Publication Date
KR20080015779A true KR20080015779A (ko) 2008-02-20
KR100900596B1 KR100900596B1 (ko) 2009-06-02

Family

ID=37296024

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020077024143A KR100900596B1 (ko) 2006-05-03 2007-05-02 고 종횡비 피쳐를 식각하기에 적당한 진공 처리 챔버 및 그구성 부품
KR1020087031566A KR100898195B1 (ko) 2006-05-03 2007-05-02 캐소드 라이너 및 이를 구비하는 처리 챔버

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020087031566A KR100898195B1 (ko) 2006-05-03 2007-05-02 캐소드 라이너 및 이를 구비하는 처리 챔버

Country Status (7)

Country Link
US (2) US8440049B2 (ko)
JP (3) JP3130205U (ko)
KR (2) KR100900596B1 (ko)
CN (2) CN103352201B (ko)
DE (2) DE202006011604U1 (ko)
TW (5) TWM318185U (ko)
WO (1) WO2007131057A2 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101522251B1 (ko) * 2008-09-22 2015-05-21 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 피쳐들을 식각하기에 적합한 식각 반응기
KR20150138974A (ko) * 2014-05-30 2015-12-11 세메스 주식회사 기판 처리 장치 및 방법
KR20160094424A (ko) * 2013-12-02 2016-08-09 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버의 인-시츄 세정을 위한 방법들 및 장치
WO2023182827A1 (ko) * 2022-03-24 2023-09-28 성균관대학교산학협력단 소모성 금속부재를 포함하는 식각용 플라즈마 처리 장치

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2601545Y2 (ja) * 1992-12-22 1999-11-22 富士重工業株式会社 塵芥収集車のホッパドア開閉装置
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8475625B2 (en) 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US8198567B2 (en) * 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
JP2009170648A (ja) * 2008-01-16 2009-07-30 Disco Abrasive Syst Ltd プラズマエッチング装置
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
US20110239940A1 (en) * 2008-10-08 2011-10-06 Giacomo Benvenuti Vapor phase deposition system
WO2010102125A2 (en) * 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
WO2011017060A2 (en) 2009-08-07 2011-02-10 Applied Materials, Inc. Dual temperature heater
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
DE102009044276A1 (de) * 2009-10-16 2011-05-05 Aixtron Ag CVD-Reaktor mit auf einem mehrere Zonen aufweisenden Gaspolster liegenden Substrathalter
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
DE102010027224A1 (de) * 2010-07-15 2012-01-19 Forschungszentrum Jülich GmbH Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US9068265B2 (en) * 2011-02-01 2015-06-30 Applied Materials, Inc. Gas distribution plate with discrete protective elements
US9337067B2 (en) 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9887071B2 (en) * 2011-12-16 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone EPD detectors
JP5829509B2 (ja) * 2011-12-20 2015-12-09 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US20130273239A1 (en) 2012-03-13 2013-10-17 Universal Display Corporation Nozzle design for organic vapor jet printing
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
WO2014150234A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc Processing systems, apparatus, and methods adapted to process substrates in electronic device manufacturing
US9666466B2 (en) * 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US20150001180A1 (en) * 2013-06-28 2015-01-01 Applied Materials, Inc. Process kit for edge critical dimension uniformity control
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
TWI674620B (zh) * 2013-12-13 2019-10-11 日商荏原製作所股份有限公司 真空磁性遮蔽容器的構造
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
CN105321838B (zh) * 2014-06-25 2018-02-13 沈阳芯源微电子设备有限公司 一种热盘工艺密闭腔自动调整装置
US9840777B2 (en) * 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
JP6335341B2 (ja) * 2014-07-23 2018-05-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 可変型温度制御式基板支持アセンブリ
US10431435B2 (en) * 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
DE102014014070A1 (de) * 2014-09-29 2016-03-31 Forschungszentrum Jülich GmbH Vorrichtung zum geregelten Wärmeübergang auf und von einem Bauteil
CN105779932B (zh) * 2014-12-26 2018-08-24 北京北方华创微电子装备有限公司 用于处理腔室的工艺内衬和物理气相沉积设备
TWI613753B (zh) * 2015-02-16 2018-02-01 靜電吸附承盤側壁之改良密封件
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
ITUB20153316A1 (it) 2015-09-01 2017-03-01 Carlos S R L Calzatura elettronica
KR102189211B1 (ko) * 2015-10-04 2020-12-09 어플라이드 머티어리얼스, 인코포레이티드 작은 열 질량의 가압 챔버
US9805963B2 (en) 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
KR102537309B1 (ko) * 2015-10-08 2023-05-25 어플라이드 머티어리얼스, 인코포레이티드 감소된 배면 플라즈마 점화를 갖는 샤워헤드
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
TWI587442B (zh) * 2016-04-15 2017-06-11 台灣美日先進光罩股份有限公司 電漿製程的光罩基板支撐結構
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11017984B2 (en) * 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
KR102156390B1 (ko) * 2016-05-20 2020-09-16 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리를 위한 가스 분배 샤워헤드
US10770270B2 (en) * 2016-06-07 2020-09-08 Applied Materials, Inc. High power electrostatic chuck with aperture-reducing plug in a gas hole
WO2017218044A1 (en) * 2016-06-15 2017-12-21 Applied Materials, Inc. Gas distribution plate assembly for high power plasma etch processes
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102005843B1 (ko) * 2016-12-15 2019-10-02 에이피티씨 주식회사 분리형 웨이퍼 서셉터 및 이를 포함하는 반도체 공정 챔버 장비
US11022877B2 (en) * 2017-03-13 2021-06-01 Applied Materials, Inc. Etch processing system having reflective endpoint detection
JP6789155B2 (ja) * 2017-03-15 2020-11-25 東京エレクトロン株式会社 塗布処理装置及びカップ
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
JP7122212B2 (ja) * 2018-02-15 2022-08-19 東京エレクトロン株式会社 プラズマ処理装置
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102411272B1 (ko) * 2018-03-26 2022-06-22 엔지케이 인슐레이터 엘티디 정전척 히터
JP7152970B2 (ja) * 2019-03-01 2022-10-13 株式会社ニューフレアテクノロジー 気相成長装置
JP7246247B2 (ja) * 2019-05-15 2023-03-27 東京エレクトロン株式会社 基板処理装置及び監視方法
US11415463B2 (en) * 2019-06-04 2022-08-16 Applied Materials, Inc. Contactless workpiece temperature sensor
CN112071733B (zh) * 2019-06-10 2024-03-12 中微半导体设备(上海)股份有限公司 用于真空处理设备的内衬装置和真空处理设备
WO2021146099A1 (en) * 2020-01-13 2021-07-22 Lam Research Corporation Multizone gas distribution plate for trench profile optimization
WO2021192935A1 (ja) * 2020-03-26 2021-09-30 株式会社巴川製紙所 静電チャック装置、静電チャック装置用スリーブ
CN114093739B (zh) * 2020-08-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种气体流量调节装置和调节方法及等离子体处理装置
US20220108891A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Modular zone control for a processing chamber
US11694908B2 (en) * 2020-10-22 2023-07-04 Applied Materials, Inc. Gasbox for semiconductor processing chamber
US11794296B2 (en) 2022-02-03 2023-10-24 Applied Materials, Inc. Electrostatic chuck with porous plug

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01166007A (ja) * 1987-12-23 1989-06-29 Mitsubishi Rayon Co Ltd 光ファイバ端面の処理方法及びこれに用いる光プラグ
US5427621A (en) 1993-10-29 1995-06-27 Applied Materials, Inc. Method for removing particulate contaminants by magnetic field spiking
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US6159297A (en) * 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5910221A (en) 1997-06-18 1999-06-08 Applied Materials, Inc. Bonded silicon carbide parts in a plasma reactor
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JP4162773B2 (ja) * 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6586495B1 (en) * 1999-09-20 2003-07-01 Canon Kabushiki Kaisha Alkylsiloxane-containing epoxy resin composition, surface modifying method using the same, ink-jet recording head and liquid-jet recording apparatus
US6398929B1 (en) 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
TW580735B (en) * 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
JP3643540B2 (ja) * 2000-02-21 2005-04-27 株式会社日立製作所 プラズマ処理装置
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6381021B1 (en) * 2000-06-22 2002-04-30 Applied Materials, Inc. Method and apparatus for measuring reflectivity of deposited films
US7011039B1 (en) 2000-07-07 2006-03-14 Applied Materials, Inc. Multi-purpose processing chamber with removable chamber liner
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
JP3764639B2 (ja) * 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
US6797639B2 (en) 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6713127B2 (en) 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
CN100404309C (zh) * 2002-02-06 2008-07-23 英提尔美国汽车座椅设备有限公司 双向锁止旋转驱动离合器总成
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
JP4128383B2 (ja) * 2002-03-27 2008-07-30 東京エレクトロン株式会社 処理装置及び処理方法
JP4102873B2 (ja) * 2002-03-29 2008-06-18 東京エレクトロン株式会社 プラズマ処理装置用電極板及びプラズマ処理装置
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6846726B2 (en) * 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US20050136657A1 (en) * 2002-07-12 2005-06-23 Tokyo Electron Limited Film-formation method for semiconductor process
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20050016684A1 (en) 2003-07-25 2005-01-27 Applied Materials, Inc. Process kit for erosion resistance enhancement
US7311779B2 (en) * 2003-10-06 2007-12-25 Applied Materials, Inc. Heating apparatus to heat wafers using water and plate with turbolators
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7267787B2 (en) 2004-08-04 2007-09-11 Intematix Corporation Phosphor systems for a white light emitting diode (LED)
US7268076B2 (en) 2004-10-05 2007-09-11 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US20060075968A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Leak detector and process gas monitor
US7833381B2 (en) * 2005-08-18 2010-11-16 David Johnson Optical emission interferometry for PECVD using a gas injection hole
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) * 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101522251B1 (ko) * 2008-09-22 2015-05-21 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 피쳐들을 식각하기에 적합한 식각 반응기
KR20160094424A (ko) * 2013-12-02 2016-08-09 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버의 인-시츄 세정을 위한 방법들 및 장치
KR20150138974A (ko) * 2014-05-30 2015-12-11 세메스 주식회사 기판 처리 장치 및 방법
WO2023182827A1 (ko) * 2022-03-24 2023-09-28 성균관대학교산학협력단 소모성 금속부재를 포함하는 식각용 플라즈마 처리 장치

Also Published As

Publication number Publication date
JP5031028B2 (ja) 2012-09-19
TWM316492U (en) 2007-08-01
TW200805486A (en) 2008-01-16
KR100900596B1 (ko) 2009-06-02
TW201250833A (en) 2012-12-16
TWI463562B (zh) 2014-12-01
DE202006011604U1 (de) 2006-11-02
TWI385744B (zh) 2013-02-11
JP3128562U (ja) 2007-01-18
WO2007131057A3 (en) 2009-02-05
DE202006012740U1 (de) 2006-10-12
WO2007131057A2 (en) 2007-11-15
JP3130205U (ja) 2007-03-22
KR20090005253A (ko) 2009-01-12
US9991109B2 (en) 2018-06-05
TWM318185U (en) 2007-09-01
CN103352201B (zh) 2016-06-08
US20070256786A1 (en) 2007-11-08
WO2007131057A4 (en) 2009-03-19
CN105185729B (zh) 2018-12-14
TW200802667A (en) 2008-01-01
KR100898195B1 (ko) 2009-05-18
CN105185729A (zh) 2015-12-23
CN103352201A (zh) 2013-10-16
JP2009536461A (ja) 2009-10-08
US8440049B2 (en) 2013-05-14
US20140020834A1 (en) 2014-01-23

Similar Documents

Publication Publication Date Title
KR100900596B1 (ko) 고 종횡비 피쳐를 식각하기에 적당한 진공 처리 챔버 및 그구성 부품
US8475625B2 (en) Apparatus for etching high aspect ratio features
US6403491B1 (en) Etch method using a dielectric etch chamber with expanded process window
US6716302B2 (en) Dielectric etch chamber with expanded process window
TWI484577B (zh) 適合蝕刻高深寬比特徵結構之蝕刻反應器
TWI383468B (zh) 半導體設備中的射頻功率傳輸系統
KR20180126391A (ko) 다수의 프리커서 유동을 위한 반도체 처리 챔버
US20080152838A1 (en) Hardware development to reduce bevel deposition
KR20020028921A (ko) 반도체 처리 공정을 위한 가스 분산장치
KR20020081240A (ko) 확대된 프로세스 창을 갖는 유전체 에치 챔버
KR20160098069A (ko) 플라즈마 프로세싱을 위한 이중-구역 가열기
TW202224060A (zh) 用於半導體處理室的氣箱
KR200431206Y1 (ko) 삽입부 없이 고종횡비 미세구조물을 에칭하기에 적절한상부 챔버 라이너
KR200427163Y1 (ko) 높은 종횡비 피쳐의 에칭에 적합한 기판 지지부
US20220108891A1 (en) Modular zone control for a processing chamber

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130429

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee