TWI385744B - 適於蝕刻高深寬比特徵結構之真空處理室以及其組件 - Google Patents

適於蝕刻高深寬比特徵結構之真空處理室以及其組件 Download PDF

Info

Publication number
TWI385744B
TWI385744B TW096115650A TW96115650A TWI385744B TW I385744 B TWI385744 B TW I385744B TW 096115650 A TW096115650 A TW 096115650A TW 96115650 A TW96115650 A TW 96115650A TW I385744 B TWI385744 B TW I385744B
Authority
TW
Taiwan
Prior art keywords
gas
processing chamber
jet head
substrate support
coupled
Prior art date
Application number
TW096115650A
Other languages
English (en)
Other versions
TW200802667A (en
Inventor
Sharma Pamarthy
Huutri Dao
Xiaoping Zhou
Kelly A Mcdonough
Jivko Dinev
Farid Abooameri
David E Gutierrez
Zhongyi Jim He
Robert S Clark
Dennis M Koosau
Jeffrey William Dietz
Declan Scanlan
Shashank Deshmukh
John P Holland
Alexander Paterson
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/381,523 external-priority patent/US8475625B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200802667A publication Critical patent/TW200802667A/zh
Application granted granted Critical
Publication of TWI385744B publication Critical patent/TWI385744B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

適於蝕刻高深寬比特徵結構之真空處理室以及其組件
本發明之實施例一般係涉及一種用以於半導體基材及其同類物質上蝕刻高深寬比之特徵結構(feature)的真空處理室,以及使用於該真空處理室中的組件。
基於對更快速、更有效之積體電路(integrated circuit;IC)元件之需求,IC製程技術面臨許多新的挑戰,包括需要於一基材上(如:半導體晶圓)蝕刻具有高深寬比(aspect ratio)之特徵結構(如:溝槽或孔洞)。舉例來說,某些動態隨機存取記憶體(dynamic random access memory)中所使用的深溝槽儲存結構係需要將具高深寬比的溝槽蝕刻於半導體基材上。矽溝槽深蝕刻(deep silicon trench etching)通常於一反應性離子蝕刻(reactive ion etching;RIE)製程中進行,並利用氧化矽為遮罩材料。
一種習知之系統已於蝕刻高深寬比之特徵結構上表現十分優異,係為CENTURA HARTTM 蝕刻系統(購自加州聖克拉拉的Applied Materials公司)。CENTURA HARTTM 蝕刻系統利用MERIE反應器,其能夠蝕刻出具有深寬比高達70:1之溝槽,並同時可維持溝槽由中心至邊緣的深度均一性為5%。然而,為了能夠製造出具有次90奈米關鍵尺寸(sub-90nm critical dimension)的積體電路,電路設計者則被要求在高深寬比情況下改善溝槽之均一性。故必須要改善蝕刻之性能而促使下一代設備之實現。
因此,現今需要一可蝕刻高深寬比特徵結構之改良設備。
本發明之實施例提供一種適於蝕刻高深寬比特徵結構之設備,如:處理室。
於一實施例中,處理室包括一腔室主體,而腔室主體具有一噴氣頭組件以及一基材支撐組件設置於內。噴氣頭組件包括至少二流體分離之充氣部、一可供光學計量訊號傳遞之部位,以及多個穿設於噴氣頭組件之氣體通道,而氣體通道使充氣部係流體連通至腔室主體之內部空間內。
於另一實施例中,處理室包括一腔室主體,而腔室主體具有一噴氣頭組件以及一基材支撐組件設置於內。噴氣頭組件包括一內部氣流區域、一外部氣流區域以及一可供光學計量訊號傳遞之部位;其中內部、外部區域彼此係為流體分離。基材支撐組件包括獨立溫控且橫向間隔設置之至少二區域。光學計量系統係透過噴氣頭組件之可傳遞區域來觀察腔室主體之內部空間。基材支撐組件具有與其結合之一偏壓電源以及至少二電漿電源。
於另一實施例中,處理室包括一腔室主體,而腔室主體具有一配氣板以及一基材支撐組件設置於內。配氣板包括一組外部氣流孔洞、一組內部氣流孔洞以及一組光學計量孔洞。內部氣流區域透過內部氣流孔洞組而流體連通至腔室主體之內部空間;外部氣流區域則與內部氣流區域呈現流體分離,並透過外部氣流孔洞組而流體連通至腔室主體之內部空間;陶瓷插塞具有多個孔洞,其對準於光學計量孔洞及一可視窗。基材支撐組件設置於腔室主體內,並包括獨立溫控且橫向間隔設置之至少二區域。光學計量系統透過可視窗、插塞內之孔洞以及光學計量孔洞所定義出的光學通道來觀察腔室主體之內部空間。基材支撐組件具有與其結合之一偏壓電源以及至少二電漿電源。
於另一實施例中,係提供一蝕刻高深寬比特徵結構之方法,包括:提供多種氣體至混合歧管;控制源自混合歧管之氣體流至處理室中不同部位之比例;以及繞過混合歧管而提供至少一直接注入氣體至處理室中至少一部位。
又另一實施例中,係提供一包括有一配氣板結合至一上部區域之噴氣頭組件。配氣板包括一組外部氣流孔洞、一組內部氣流孔洞以及一組光學計量孔洞。上部區域包括一流體連通至外部氣流孔洞之第一充氣部,以及流體連通至內部氣流孔洞之第二充氣部,而該些充氣部於上部區域中係為流體分離。陶瓷插塞穿設上部區域,並具有對準於光學計量孔洞之光傳遞部位。
「第1圖」所示係為適於在基材144上蝕刻高深寬比特徵結構之處理室100的一實施例。雖然圖中所示之處理室100已包括多個可使蝕刻性能較佳的特徵結構,但其他之處理室亦適用而得益於本發明中所揭露之一個或多個特徵結構。
處理室100包括一腔室主體102以及一上蓋104,因而定義出一內部空間106。腔室主體102通常由鋁、不鏽鋼或其他適合材料所製成,而腔室主體102通常包括側壁108及一底部110。一基材輸入口(圖中未示)通常設置於側壁108,並選擇性地以一間縫閥(slit valve)而密封之,以協助基材144於處理室100之進出動作。一排氣孔126設置於腔室主體102內,並將內部空間106連接至一抽氣系統128。抽氣系統128通常包括一或多個幫浦以及節流閥,而用以排空以及調節處理室100中內部空間106的壓力。於一實施例中,抽氣系統128維持內部空間106內的壓力於一操作壓力下,通常介於10毫托~20托(Torr)之間。
襯墊118、178係用於保護腔室主體102的側壁108。襯墊118、178可包括溫控特徵結構,例如電阻式加熱器或是冷卻流體之通道。於一實施例中,陰極襯墊118包括形成於凸緣121之導管120,而該凸緣121係支撐腔室底部110的襯墊118。導管120係透過形成於腔室主體102底部110的通道122而流體連接至流體源124。
上蓋104係密閉並支撐於腔室主體102的側壁108上,上蓋104亦可開啟而允許進入處理室100之內部空間106。上蓋104包括一可視窗142以方便進行光學製程監控,於一實施例中,可視窗142包括石英或其他適合材料而可傳遞一光學監控系統140所使用之訊號。
光學監控系統140係定位於透過可視窗142而可觀測腔室主體102之至少一內部空間106,以及/或置於基材支撐組件148上方之基材144。於一實施例中,光學監控系統140係結合至上蓋104,而協助利用光學計量之整合式蝕刻製程,以提供調整製程而補償饋入圖樣的不一致(如:CD、厚度等)所需之訊息、提供製程狀態監控(如:電漿監控、溫度監控等),以及/或終點監測等。一種光學監控系統亦可適用而受益於本發明,即為EyeDfull-spectrum,interferometric metrology module(EyeD全光譜干涉計量組件),其係購自加州聖克拉拉的應用材料公司(Applied Materials)。
於一實施例中,光學監控系統140可用於量測CDs、薄膜厚度以及電漿特性,而光學監控系統140可採用較非破壞性之光學量測技術其中之一,如:光譜學、干涉術、散射術、反射術等同類技術。舉例來說,光學監控系統140亦可用以進行一干涉監控技術(例如:計算時域中之干涉條紋以及量測頻域中之條紋位置等),而即時量測形成於基材144上之結構的蝕刻深度分佈情形。如何使用一光學監控之範例已揭露於本發明受讓人所受讓之美國專利申請序號第60/479601號(於2003年6月18日申請,發明名稱為「Method and System for Monitoring an Etch Process」)、美國專利公告第6413837號(於2002年7月2日公告,發明名稱為「Film Thickness Control Using Spectral Interferometry」)以及美國專利申請序號第60/462493號(於2003年4月11日申請,發明名稱為「Process Control Enhancement and Fault Detection Using In-Situ and Ex-situ Metrologies and Data Retrieval In Multiple Pass Wafer Processing」)。
氣體分配盤(gas panel)158結合至處理室100以提供內部空間106製程氣體以及/或清洗氣體。於「第1圖」所示之實施例中,進氣孔132’、132”係設置於上蓋104中,並提供氣體由氣體分配盤158輸送至處理室100的內部空間106中。
噴氣頭組件130係結合至上蓋104的內表面114,並包括多個孔洞而使氣體自進氣孔132’、132”通過噴氣頭組件130而流至處理室100之內部空間106,且以一預定分散方式而散佈至處理室100中進行加工之基材144表面。
噴氣頭組件130同時亦包括一可傳遞一光學計量訊號之部位,光傳遞部位或通道138係適用於使光學監控系統140觀測內部空間106及/或置於基材支撐組件148上之基材144。通道138可為一物質、一孔洞或是形成或設置於噴氣頭組件130上之多個孔洞,其係可實質傳遞來自或反射至光學監控系統140之能量的波長。於一實施例中,通道138包括一可視窗142以防止氣體自通道138洩漏,而可視窗142可為一藍寶石板、石英板或是其他合適物質。可視窗142亦可設置於上蓋104。
於一實施例中,噴氣頭組件130係可區分為多個區域,藉此可分區控制流向處理室100之內部空間106的氣體。於「第1圖」所示之實施例中,噴氣頭組件130包括一內部區域134以及一外部區域136,兩者係分別透過不同的進氣孔132’、132”而連接至氣體分配盤158。
「第2圖」係噴氣頭組件130之一實施例的剖面圖,噴氣頭組件130通常包括一基部202、上及下充氣板204、206、一插塞208以及一配氣板210。上及下充氣板204、206係相隔一距離而相互結合,並設置於基部202之凹部270內而定義出噴氣頭組件130之上部結構。由上、下充氣板204、206所定義出的充氣區藉由一障壁236而區分為至少二流體分離之區域。「第2圖」所示之實施例中,障壁236將內充氣部218與外充氣部220區分開來,而充氣部218、220係分別由基部202上之進氣孔132’、132”進氣,而進氣孔132’、132”係由進氣管222、224穿過基部202與上充氣板204而形成。氣體通道242(於下方再詳述之)係穿過下充氣板206以及配氣板210而使充氣部218、220內之氣體進入處理室100之內部空間106。氣體通道242之設置數目與分佈係視欲提供處理室100中預定之氣體分佈情形而做選擇性調整。
形成於基部202內之凹部270可包括一或多個台階以定位上、下充氣板204、206,如「第2圖」所示之實施例,凹部270包括一內台階240與一外台階284,內台階240提供一表面而抵靠下充氣板206所設置之處。一密封件(未標號)係設置於內台階240與下充氣板206之間以防止漏氣。外台階284提供一凹陷入基部202之凹部,而使配氣板210能填裝入下充氣板206與基部202之間的間隔內。
基部202通常包括一自內部外徑286而朝外延伸之突唇216,定義於突唇216與內部外徑286之間的突出部288係將噴氣頭組件130支撐於上蓋104以及/或外襯墊116上方。突出部288通常垂直於突唇216以及內部外徑286,且上述兩者通常平行於腔室主體102之中心線,並與該中心線為同中心。
內部外徑286係終止於基部202的底表面290,而底表面290通常面對製程區域,且就其本身而言,其上可附著一保護性材料,如:Y2 O3 或其他含釔物質。而內部外徑286亦可塗覆有保護性材料,例如Y2 O3 或其他含釔物質。
基部202亦包括多個形成於其內部之管道212,而管道212連接至一流體源214,流體源214提供一熱傳流體(如:空氣或水),其係循環通過管道212而調節基部202以及噴氣頭組件130之溫度。
通道138係穿設於噴氣頭組件130而利於光學監控系統140對於腔室製程以及/或基材性質進行監控。通道138包括同軸排列之孔洞226、264、254、262,第一孔洞226形成於基部202中,第二孔洞264形成於上充氣板204中,第三孔洞254形成於下充氣板206中,而孔洞262則形成於配氣板210中。可視窗142係密封設置於通道138,以防止氣體通過噴氣頭組件130而洩漏至光學監控系統140。於「第2圖」所示之實施例中,上充氣板204上具有一凹部258以容設可視窗142。O型圈(並未標示於「第2圖」)係使可視窗142可密封至上充氣板204以及基部202。
插塞208至少設置在上充氣板204中的第二孔洞264,插塞208係可傳遞光學監控系統140所使用之訊號。於一實施例中,插塞208包括多個具高深寬比之通道260,不但可防止電漿於通道260內形成,亦可使光學監控系統140與處理室100的內部空間106之間形成一分界面。於一實施例中,通道260具有至少為10:1之深寬比(高度比上直徑),比如為14:1。於另一實施例中,通道260具有一直徑小於或相當於DEBYE長度及/或電子平均自由行程(electron mean free path),如:小於1.5 mm,又例如為約0.9 mm。於另一實施例中,通道260定義出高達60%的全透光區(open area),又另一實施例中,約略有37個通道260形成並穿過插塞208。
形成於插塞208中之通道260係對準於配氣板210上之計量孔洞262,且計量孔洞262群聚於配氣板210的中心,而其所具有之密度、直徑(或寬度)、斷面以及全透光區皆適以促進計量訊號之有效傳遞而通過配氣板210。於一實施例中,孔洞262之數量與斷面圖皆與通道260相似。可視窗142使得通道260以及孔洞262無氣流通過,但卻允許光學之傳遞,藉此,通道260、孔洞262以及可視窗142係利於光學監控系統140對於處理室100之光學監控,而不會出現真空度洩漏或是電漿對於定義出光學觀測路徑之結構的損傷。
錐形座256形成於上充氣板204中,而使第二孔洞264結合至凹部258。錐形座256係與插塞208之喇叭形部位304相互緊配,如「第3圖」所示,喇叭形部位304係位於插塞208之頭部302以及延伸桿306之間。
插塞208通常以一可與製程中之化學物質相容的材料製成。於一實施例中,插塞208係由一介質材料製成,如:陶瓷。於另一實施例中,插塞208係為鋁。
上、下充氣板204、206結合至基部202,而上充氣板204亦結合至下充氣板206。於一實施例中,上充氣板204藉由多個插銷228而結合至下充氣板206,插銷228的兩端係插入分別形成於上、下充氣板204、206之洞230、232,插銷228可藉由鎖固元件或是黏著物固定之,或是以緊迫之方法而摩擦固定之。「第4圖」之剖面圖顯示插銷228由形成於下充氣板206之洞232而延伸,只要洞230、232不延伸穿過其相對應之板(204、206),則插銷228周圍之漏氣現象即可避免。
請再配合參閱「第5~6圖」,配氣板210至少與下充氣板206或基部202其中之一相結合。於一實施例中,黏著層502將配氣板210結合至下充氣板206,並於其中定義出多個環狀的充氣部508,而充氣部508使得氣體通道242沿著一般半徑或是一半徑範圍而定位,並與其流體連接而促使通過噴氣頭組件130之氣體流動以一既定半徑位置而呈現均一性。
於一實施例中,黏著層502包括多個黏著環504以及多個黏著珠粒506,黏著環504係呈現同中心排列而黏結充氣部508。多個黏著珠粒506亦於黏著環504之間呈現同中心排列。黏著珠粒506與黏著環504相隔一距離,使得氣體沿著黏著珠粒506而於共享同一充氣部508的通道242之間流動。
再往回參閱「第2圖」,形成於下充氣板206的部分氣體通道242通常包括一第一鑽孔244、一節流孔246及一第二鑽孔248。第一鑽孔244係與充氣部220(或218)相通而使氣體進入氣體通道242;第二鑽孔248則對準於配氣板210中的孔洞250,而將氣體運送至處理室100中的內部空間106。
於一實施例中,第一、第二鑽孔244、248之直徑與深度皆實質大於節流孔246,以利於氣體通道242之有效製造。於「第2圖」所示之實施例中,與充氣部220(或218)相通之第一鑽孔244相較於與處理室100之內部空間106相通之第二鑽孔248具有較大的直徑以及較低的深寬比。
配氣板210可為一平盤,而孔洞250之空間分佈係由配氣板210之中心區域往外之模式,當一組孔洞250與外部區域136之流體相連,而另一組之孔洞250則與內部區域134之流體相連接。孔洞250係為氣體通道242之一部分,因此可使氣體通過配氣板210而進入處理室100之內部空間106內。
為了延長噴氣頭組件130之使用壽命,配氣板210至少以釔或是其氧化物來製造或是覆蓋之。於一實施例中,配氣板210係由塊體(bulk)釔或是其氧化物製成,以提供其對氟化化學物質之抗性;於另一實施例中,配氣板210由塊體Y2 O3 所製成。
「第7圖」係為噴氣頭組件700之另一實施例,噴氣頭組件700係實質相似於噴氣頭組件130,其具有插塞708而防止電漿上升至通道726,而通道726係形成穿過噴氣頭組件700以協助光學計量。可視窗142亦設置於通道726而做為氣體之屏障。
噴氣頭組件700包括一與充氣板704結合之基部702,充氣板704包括形成於其內之一對環狀溝槽712、714,並以基部702而限制並定義出內、外充氣部716、718。氣體由氣體分配盤158,並透過其相對應之進氣孔132’、132”而提供至內、外充氣部716、718,接著使氣體各自受控於內部、外部區域134、136,並由噴氣頭組件700而延伸至處理室100之內部空間106。
充氣板704包括一插塞孔720而用以容設插塞708,其對準於基部702中所形成的孔洞706以及配氣板710中所形成的計量孔728,並定義出該通道726。插塞孔720通常包括一凹部722以容設可視窗142以及錐形座724。錐形座724係與插塞708的喇叭形部位接合而將插塞708定位於充氣板704內。
「第8圖」係繪示由氣體分配盤158輸送至處理室100之氣體的路線分配及控制示意圖。氣體分配盤158通常包括多個結合至混合歧管810以及流量控制器814之氣體來源(以下簡稱「氣源」)。
一般來說,源自各個氣源的流量係受到控制閥808的調控,控制閥808係控制源自氣源之流體的流量、速率、壓力等其中之一,而控制閥808可包括一個以上的閥門、調節器以及/或流量控制裝置。
於一實施例中,氣體分配盤158包括至少一直接氣源802、至少一製程氣源804以及至少一載氣氣源806。製程氣源804以及載氣氣源806之流體藉由獨立的氣體管路而連接至混合歧管810,多種源自製程氣源804以及載氣氣源806之氣體於混合歧管810中結合成為一運送前混合氣體(pre-delivery gas mixture)。因此,混合歧管810中之運送前混合氣體的組成係可藉由其相對控制閥808之選擇性開啟而改變,藉此,製程氣源804以及載氣氣源806之預定組合則可形成。舉例來說,至少一源自製程氣源804之製程氣體以及至少一源自載氣氣源806之載氣可以於混合歧管810中呈現任何之組合方式。製程氣體的實例包括SiCl4 、HBr、NF3 、O2 以及SiF4 其中一;載氣之實例包括N2 、He、Ar或其他不與製程氣體以及非反應性氣體產生反應之氣體。
流量控制器814藉由初級進氣管812而與混合歧管810接合,而流量控制器814係用以將源自混合歧管810之運送前混合氣體分散為次混合物(sub-mixture),以透過不同的進氣管路而輸送至處理室100。一般來說,進氣管路的數量與噴氣頭組件130中之區域(或是分離之充氣部)數目相同。於「第8圖」所示之實施例中,二進氣管路816、818將流量控制器814結合至其相對應之進氣孔132’、132”。
流量控制器814通常用以控制流入各進氣管路816、818之次混合物的比例,藉此,流入各個區域以及最終至基材144各部位的氣體次混合物之比例皆可被控制。流量控制器814可採用電子或機械裝置而分散運送前混合氣體,於一實施例中,流量控制器814可相應於控制器150之訊號而動力控制該比例,藉此,針對不同批次的基材之間、各基材之間以及/或單一基材之單一腔室製程(in-situ processing)的比例皆可改變。於另一實施例中,流量控制器814係設定而使進氣管路816、818之間的比例固定。亦可藉由流量控制器814中的一或多個孔而設定該比例,藉此,來自初級進氣管812之氣流優先地於進氣管路816、818之間而分散。
於一實施例中,流量控制器814提供內部區域134相對於外部區域136較多之氣體。另一實施例中,流量控制器814提供外部區域136相對於內部區域134較多之氣體。又另一實施例中,於第一階段基材製程中,流量控制器814提供內部區域134相對於外部區域136較多之氣體,接著改變比例,而使於第二階段基材製程(單一腔室製程)中,則提供外部區域136相對於內部區域134較多之氣體。流量控制器814亦可控制輸送至處理室100中不同區域的流量比例呈現其他之順序或比例。
氣體分配盤158之直接(注入)氣源802亦可提供處理室100之內部空間106一個直接注入氣體。來自直接氣源802的直接注入氣體量係受到控制閥808之調控。
於一實施例中,直接注入氣體係提供給至少一進氣管路816、818。於另一實施例中,直接注入氣體係進入二直接進氣管路820、822,且兩者再分別進入進氣管路816、818。又另一實施例中,直接注入氣體係提供給與進氣孔132’、132”連接之至少一進氣管。再另一實施例中,直接注入氣體係提供給噴氣頭組件130(700)之至少一充氣部218、220(716、718)。
於「第8圖」所示之實施例中,係提供給各區域(134、136)相同含量之直接注入氣體。另外,第二流量控制器824(以虛線框表示,並與流量控制器814相似)可用於提供各區域(134、136)不同比例之直接注入氣體。
請往回參閱「第1圖」,基材支撐組件148係設置於處理室100之內部空間106內,並位於噴氣頭組件130之下方。基材支撐組件148係於製造過程中支撐基材144,而基材支撐組件148通常包括穿過該組件148之多個升降銷(圖中未示),係用以將基材144抬離基材支撐組件148,以便於機械手臂(圖中未示)以一習知方式而替換基材144。
於一實施例中,基材支撐組件148包括一安裝板162、一基部164以及一靜電吸座166。安裝板162係結合至腔室主體102之底部110,且包括按路徑傳送之物件(如:流體、電線以及傳感器導線其中之一)通往基部164以及吸座166之通路。
至少基部164或吸座166其中之一包括有至少一選擇性之嵌入式加熱器176、至少一選擇性之嵌入式隔離器174以及控制基材支撐組件148之側溫曲線的多個導管。於「第1圖」所示之實施例中,一環狀隔離器174以及二導管168、170設置於基部164,而一電阻加熱器176則設置於吸座166。導管168、170係流體連通至流體源172,而於其中循環有一溫度調控流體。加熱器176由電源198調控之。導管168、170以及加熱器176係用於控制基部164之溫度,從而加熱及/冷卻靜電吸座166,藉此,至少某種程度地控制置於靜電吸座166上之基材144的溫度。
兩個分離之冷卻通道(或導管)168、170係形成於基部164中,而定義出至少二獨立之溫控區,亦可增加額外的冷卻通道以及/或通道佈局而定義出額外的溫控區。於一實施例中,第一冷卻通道168係排列於第二冷卻通道170之徑向內側,故溫控區為同中心,而冷卻通道168、170可以為徑向排列或是其他的幾何配置。冷卻通道168、170可結合至溫控熱傳流體之單一流體源172,或是分別結合至不同的熱傳流體源。
隔離器174之形成材料係為與基部164之相鄰區域具有不同熱傳導係數之材料所製成。於一實施例中,隔離器174相對於基部164具有較小之熱傳導係數;而於另一實施例中,隔離器174係由一具有非等向性(即:方向獨立)熱傳導係數之材料製成。隔離器174係用以改變基材支撐組件148之間,由基部164傳導至導管168、170之局部熱傳導速率,而相對於基部164之其他鄰近部位,於其熱傳導路徑上並無隔離器174來改變熱傳導速率。隔離器174係橫向設置於第一、第二冷卻通道168、170之間,以提供基材支撐組件148中所定義的各溫控區之間更佳的熱分離效果。
於「第1圖」所示之實施例中,隔離器174設置於導管168、170之間,因而阻擋橫向的熱傳導,並促進了橫跨基材支撐組件148之橫向溫控區分佈。因此,藉由控制嵌入物的數目、形狀、尺寸、位置以及熱傳導係數,則靜電吸座166以及置於其上之基材144的溫度變化特徵可以被控制。雖然「第1圖」所示之隔離器174係成環形,但隔離器174之形狀亦可以為其他之形式。
一熱傳導膠或是黏著劑(圖中未示)係可選擇性地設置於基部164以及靜電吸座166之間,而熱傳導膠促進靜電吸座166以及基部164之間的熱交換情形。於一示範性實施例中,黏著劑係將靜電吸座166物理性黏著至基部164。另外(圖中未示),基材支撐件148亦可包括一零件(即:夾子、螺栓等同類物件)而用以將靜電吸座166固定至基部164。
靜電吸座166以及基部164之溫度可藉由多個感測器來監控之。於「第1圖」所示之實施例中,第一溫度感測器190及第二溫度感測器192係以徑向間隔定位,藉此,第一溫度感測器190提供控制器150有關基材支撐組件148之中央區域以公制表示的溫度,而第二溫度感測器192則提供控制器150有關基材支撐組件148之周圍區域以公制表示的溫度。
靜電吸座166設置於基部164上,且其周圍環設有一遮蓋環146,靜電吸座166可由鋁、陶瓷或其他適合於製程中支撐基材144之材料所製成。於一實施例中,靜電吸座166為陶瓷,或者是,靜電吸座166可由真空吸座、機械式吸座或是其他合適之基材支撐件來替代之。
靜電吸座166通常由陶瓷或是其他相似之介質材料所形成,並包括由吸座電源182所控制之至少一嵌位電極(clamping electrode)180。電極180(或其他設置於吸座166或基部164內之電極)更可結合至一或多個RF電源,以維持製程中所形成之電漿,以及/或處理室100中的其他氣體。
於「第1圖」所示之實施例中,電極180係透過一匹配電路(matching circuit)188而結合至一第一RF電源184以及一第二RF電源186。第一、第二RF電源184、186通常可產生頻率範圍約為50 kHz~3 GHz之RF訊號,以及高達10000瓦的電力。匹配電路188係將第一、第二RF電源184、186的阻抗匹配至電漿電阻。單一餽送管路可將源自第一、第二RF電源184、186之電力結合至至電極180;或是各個第一、第二RF電源184、186係透過個別之餽送管路而耦接至電極180。
靜電吸座166可包括由電源198所控制之至少一嵌入式加熱器176,而靜電吸座166更可包括多個氣體通道(圖中未示),如溝槽,其係形成於吸座166之基材支撐表面,並流體連通至一熱傳導氣體源(或背面氣體源)。於操作過程中,背面氣體(如氦氣,He)係於一控制壓力下而提供進入氣體通道中,以促進靜電吸座166與基材144之間的熱傳導。通常,至少靜電吸座166之基材接觸表面會有一塗層,其可抵抗於基材製程中所採用的化學物質與溫度。
「第12A圖」為基材支撐組件148的部分剖面圖,其繪示升降銷導引組件1200A之一實施例。一般來說,基材支撐組件148中至少利用三個升降銷導引組件1200A,且該些組件係與穿設於襯墊118的挖空部分1002對準。
升降銷導引組件1200主要設置於基材支撐組件148基部164中所形成的階形洞1204內,而階形洞1204對準於穿設在靜電吸座166的孔洞1202。一部份的升降銷導引組件1200A延伸至孔洞1202,因而於基部164與吸座166之間提供一個對準結構。
升降銷導引組件1200A通常包括一導引件1210以及一定位器1218。一彈性元件1220設置於導引件1210以及定位器1218之間,以提供升降銷導引組件1200A組裝至基材支撐組件148上方的緩衝物,並調節基材支撐組件148與升降銷導引組件1200A之熱膨脹與熱收縮。於一實施例中,彈性元件1220係為一O型圈,並且是由可與製程化學物質以及製程環境相容之彈性材料所製成。
導引件1210包括一具有上突出物1240以及下突出物1228之主體1216。導引件1210亦包括同中心之第一、第二穿孔1224、1226,兩者係提供升降銷(圖中未示)穿過升降銷導引組件1200A之通道。上突出物1240係延伸而與一凹部1238接合,其中凹部1238形成於靜電吸座166面向於基部164之表面。於「第12B圖」中所示升降銷導引組件1200B之另一實施例中,上突出物1240可包括一缺口1250,以確保上突出物1240與靜電吸座166之較佳對準。
下突出物1228係限制並接合於由定位器1218所延伸出的套筒1230。缺口1222則設置於下突出物1228與主體1216之間以容設彈性元件1220。
定位器1218包括一由套筒1230所延伸之螺紋部1232,而螺紋部1232包括一穿固結構1234以協助將定位器1218固定至基部164。穿固結構1234可以為一狹縫、十字穴(Phillips drive)、六角孔、扳鉗孔或其他可用以轉動定位器1218之結構。通道1236係穿設於定位器1218中,並對準於導引件1210之第一、第二穿孔1224、1226以容設升降銷。
階形洞1204形成於基部164中,並包括一主穿孔1208、一台階1206以及一進入孔1212。台階1206延伸至主穿孔1208,而進入孔1212包括一螺紋部1214用以與定位器1218接合。將定位器1218緊固至基部164的螺紋部1232時,定位器1218與彈性元件1220接觸,並使得主體1216抵靠基部164之階形洞1204的台階1206,藉此,則將升降銷導引組件1200A緊固於基材支撐組件148的基部164上。
「第9~10A圖」繪示外襯墊116之一實施例的爆炸透視圖及部分剖面圖。外襯墊116可由抗電漿或是抗氟材料所製造或是覆蓋之。於一實施例中,外襯墊116由鋁製成;於另一實施例中,外襯墊116由釔、釔合金或是其氧化物來製造或是覆蓋之;又另一實施例中,外襯墊116由大量的Y2 O3 所製成,而陰極襯墊118亦可由相同材料所製成。
於「第9~10A圖」所示之實施例中,外襯墊116包括一上襯墊902以及一下襯墊904。下襯墊904之上緣908與上襯墊902之下緣910相緊配,如:於槽口接合(rabbet joint)(例如:搭接;rebate joint)中。
「第10B」繪示上、下襯墊902、904之另一接合實施例。於此實施例中,下襯墊904僅具有一平直邊緣990,其係與形成於上襯墊902之下緣910的階形部接合。
再往回參照「第9~10A圖」,下襯墊904通常為一中空圓柱狀物,而用以與側壁108之內表面112緊密貼合,下襯墊904包括一凹槽或風門906,其係對準腔室主體102之排氣孔126而利於對內部空間106進行抽真空及排氣。
上襯墊902通常包括一主體914,而主體914之上端延伸出一凸緣912,凸緣912通常為多角形,而於本實施例中,多角形凸緣912係以45度之角度而截去稜角。
主體914通常為圓柱狀,並具有一內壁916以及一外壁934。突唇918由內壁916而往內延伸,且一旦噴氣頭組件130安裝至處理室100時,其係提供一支撐處。O型圈溝槽920係形成於突唇918內,而可與噴氣頭組件130之間呈現氣密狀態。
上襯墊902之主體914可設置一孔洞928,故可自腔室主體102之可視窗(圖中未示)而目視觀測內部空間106。圍繞在孔洞928之上襯墊902的外壁934部分可以覆蓋一移動式之可視窗鑲嵌塊924,而可視窗鑲嵌塊924可藉由多個固定件926而定位於上襯墊902之一凹處(圖中未示),則鑲嵌塊924與外壁934係為嵌平的。故當可視窗鑲嵌塊924的保護塗層與可視窗/腔室主體之界面接觸而磨損時,在保護塗層破損而暴露出外襯墊116的主要材料之前,則可將其替換。
狹縫938係形成於主體914而允許基材144進出處理室100。凹部932則形成於上襯墊902之外壁934,並圍繞於狹縫938周圍。一移動式之門鑲嵌塊930則設置於狹縫938上,用以保護上襯墊902與間縫閥接口接觸時所造成之磨損。鑲嵌塊930亦包括一狹縫940,其係對準上襯墊902之狹縫938,藉此有助於基材144通過外襯墊116。鑲嵌塊930藉由多個固定件936而固定於凹部932,則鑲嵌塊930與外壁934係為嵌平的。故當鑲嵌塊930的保護塗層與間縫閥接口/腔室主體之界面接觸而磨損時,在保護塗層破損而暴露出外襯墊116的主要材料之前,則必須將其替換。鑲嵌塊924、930通常由與襯墊相同之材料而製造或覆蓋之。
「第11A~F圖」繪示陰極襯墊118與覆蓋在基材支撐組件148的外上表面之遮蓋環146相接合的一實施例。陰極襯墊118通常包括一較大直徑之上部1140,以及一較小直徑之下部1142。傾斜部則位於襯墊118之外徑區而結合較大直徑之上部1140以及較小直徑之下部1142。
襯墊118係藉由凸緣121而支撐於處理室100之底部110上。凸緣121係由下部1142往外延伸至較大直徑之上部1140。凸緣121具有一外邊緣1094,且外邊緣1094包括一形成於其中之階形部1097。覆蓋於襯墊118之外表面的保護塗層(例如Y2 O3 )係可終止於階形部1097,如「第11F圖」之虛線1092所示。
凸緣121更包括由環形覆蓋物1099所封閉之通道1010,以定義出導管120。覆蓋物1099可焊接或固定於溝槽1008內,並以其他適於防止導管120洩漏之其他方式而固定於襯墊118。覆蓋物1099可包括一突出物1096,而其係具有螺紋端口1095以利導管120耦合至流體源124。通道1010係穿過襯墊118之凸緣121,藉此,通道1010的第一端1012及第二端1014與覆蓋物1099之突出物1096對準。通道1010可選擇性地包括一或多個轉折部1016,以允許通道1010改變方向約180度,而使冷卻流體有利地分佈。
凸緣1132係由上部1140及下部1142之接合處往內延伸而使襯墊118產生分支。凸緣1132具有一底面1134,而使陰極襯墊118位於基材支撐組件148上。O形圈溝槽1136係形成於凸緣1132的上表面以密封陰極襯墊118。
凸緣1132包括多個升降銷挖空部分1002以允許升降銷(圖中未示)的作動。凸緣1132亦包括多個設施挖空部分1004。挖空部分1004可用於將電性、氣體、冷卻流體、感測器導線或是其他設施導引至基部164及/或靜電吸座166。
遮蓋環146設置於基材支撐組件148上方,且陰極襯墊118之上端1128插入遮蓋環146。遮蓋環146通常具有一環形主體1102,且其係由一抗電漿以及/或抗化學物質之材料所製成或覆蓋之。於一實施例中,遮蓋環146係由釔或是其氧化物來製造或是覆蓋之;於一實施例中,氣體遮蓋環146則是由塊體釔製成,而提供對於氟化化學物質之抗性;於另一實施例中,遮蓋環146由石英製成。
主體1102通常包括一頂面1104以及一底面1126。第一脊1118、第二脊1122,以及第三脊1120係自主體1102的底面1126往下延伸。於「第11A圖」所示之實施例中,第一、第二、第三脊1118、1122、1120為同中心之環狀物。
第一、第二脊1118、1122設置於遮蓋環146之內部,並於其間定義出一狹縫而用以將陰極襯墊118的上端1128夾持於內。第一脊1118以及第三脊1120皆相較於第二脊1122由主體1102而更往遠處延伸,第三脊1120延伸至基材支撐組件148的狹縫1180中,藉此使遮蓋環146以及基材支撐組件148兩者之間定位。
凸出部1116係由主體1102而往徑向之內側延伸,並緊接第三脊1120,凸出部1116包括一上表面1150,而其與靜電吸座166之上表面1152於實質上為同平面。當將基材144置放於基材支撐組件148之上方時,基材144之周圍(「第11A圖」中未示)則覆蓋於靜電吸座166以及凸出部1116之上表面1150的交界面。
內壁1114位於凸出部1116與主體1102的頂面1104之間,而內壁1114之直徑大於凸出部1116之內徑。一般來說,內壁1114之直徑係用以提供與基材144之間具有一適當之空間。
主體1102的頂面1104通常包括一內部區域1110以及一外部區域1108,而內部區域1110相對於外部區域1108較為提高。內部區域1110亦可以與頂面1104之外部區域1108呈平行定位。於「第11A圖」所示之實施例中,一傾斜區域1112係設置於頂面1104之內部、外部區域1110、1108兩者之間的過渡區。
「第11A圖」亦包括靜電吸座166之一實施例的細節。靜電吸座166包括一定義於吸座166的上、下表面1152、1198之間的階形外徑表面(stepped outer diameter surface)。階形外徑表面通常包括一上壁1188、一中壁1192以及一下壁1196。上、中、下壁1188、1192、1196一般為垂直的,且上壁1188較中壁1192短,而中壁1192又較下壁1196短。上壁1188起始於上表面1152,並往下延伸出一上突出部1190,上突出部1190將上壁1188結合至中壁1192,下突出部1194則結合中壁1192及下壁1196,下壁1196再結合至下表面1198。上、下突出部1190、1194通常呈水平,且下突出部1194大於上突出部1190。階形外徑表面由上、中、下壁1188、1192、1196及上、下突出部1190、1194所定義出,其產生一結構而能與遮蓋環146緊配,並將其定位於基材支撐組件148上之一預定位置。
「第13A~B圖」係繪示基材支撐組件1300之部分剖面視圖。基材支撐組件1300通常係相似於前述之基材支撐組件148,且包括裝設至基部1304及覆蓋物1306之上的靜電吸座1302。靜電吸座1302及基部1304係各自包括階形外徑1308、1310。基部1304之階形外徑1310包括多個安裝孔1328。安裝孔1328係設置於O型圈封套1330之外側,且可包括一相對孔而使得用來將基材支撐組件1300固定至陰極襯墊118的緊固件頭部可凹設於基部1304之表面下方。
基部1304亦可包括多個溫度調節特徵結構,例如:內部通道1312及外部通道1314。通道1312、1314通常係形成於基部1304內,但係由覆蓋物1306所包圍。通道1312、1314係用以使冷卻流體流經基部1304,並可分別耦合至流體源,藉此,通道1312、1314中的流體溫度可獨立地控制。
於「第13C圖」所示之實施例中,內部通道1312包括彼此相鄰設置之端部1320、1322,而使通道1312設置為雙迴路形式。相似的,外部通道1314包括彼此相鄰設置之端部1324、1326,而使通道1314設置為雙迴路形式。雙迴路配置提供流經導管及基部1304之間更有效的熱傳送。
基部1304亦可包括一或多個熱扼流圈(thermal choke)1316,其係用以使橫跨基部1304之水平面的橫向熱傳導最小化,藉以對基部1304以及支撐於靜電吸座1302上之基材的溫度分佈更精確地控制。熱扼流圈1316可為實質延伸穿過基部1304的狹縫或溝槽,因而在基部1304內產生氣體間隙。可選擇地,熱扼流圈1316可填充有一物質,且此物質之熱傳導係數低於基部1304之熱傳導係數。於「第13C圖」所示之實施例中,熱扼流圈1316係設置於螺栓圓周(bolt circle)上。同樣於「第13C圖」所示之實施例中,熱扼流圈1316係由升降銷孔洞1318來區隔開,而該些孔洞1318係容設升降銷導引件,例如「第13A~B圖」所示。
「第14圖」為上蓋組件1400之另一實施例的上方等角視圖。上蓋組件1400係近似於上述之上蓋104。上蓋組件1400包括上蓋1402以及一罩蓋1404。罩蓋1404係用以使冷卻元件保持於上蓋1402內。二突出件1406係設置於罩蓋1404,以促使置於上蓋1402內之冷卻元件與冷卻流體源(圖中未示)之間的耦合。
上蓋1402通常由鋁或是其他適合材料製成。上蓋1402亦可在其外徑1410包括一槽口1408,以使上蓋1402相對於處理室100定位。
「第15A圖」係繪示「第14圖」之上蓋1402的剖面視圖。上蓋1402包括頂側1412及底側1414。底側1414包括一內部外徑1416。而底側1414與內部外徑1416至少其中之一者係塗覆有Y2 O3 ,如虛線1418所示。
底側1414亦包括一容設部1420。容設部1420係呈階形以容設噴氣頭組件,例如:噴氣頭組件130或1800。
中央孔洞1430通常係延伸穿過上蓋1402,以使得計量單元140能夠連接至處理室中之基材位置。O型圈封套1432係形成於容設部1420之底部1426,以防止噴氣頭及上蓋之間透過中央孔洞1430而洩漏。
上蓋1402亦包括至少一外部氣體通道1434,以及至少一內部氣體通道1440。於「第14及15A圖」所示之實施例中,係顯示出9個外部氣體通道以及4個內部氣體通道。如「第15C圖」之剖面圖可見,外部氣體通道1434通常在容設部1420之底部1426包括一埋頭孔(counter bore)1436,藉此,上蓋之氣體通道可與噴氣頭之氣體通道呈較佳對準狀態。O型圈封套1432通常設置於上蓋1402的頂側1412,以促進氣體通道1434之密封。內部氣體通道1440亦可呈相似配置。
上蓋1402的頂側1412包括多個通道1424,其係形成於罩蓋容設凹部1422的底部。罩蓋1404係焊接或封接於凹部1422內,因而可防止通道1424之洩漏。
「第16圖」係為上蓋1402之上視圖,其具有設置於通道1424內之冷卻盤管1444。冷卻盤管1444通常包括一入口端1446以及一出口端1448,且其係耦接至罩蓋1404的突出件1406,以允許冷卻流體循環通過冷卻盤管1444。
「第17圖」係繪示罩蓋1404的一實施例。罩蓋1404通常包括一內環1460及一外環1458,且兩者係藉由突片1462而連接。內環1460通常包括一中央孔洞1464以及多個洞1466。
「第18~20圖」係為噴氣頭組件1800之上蓋的一實施例之底部等角視圖、剖面視圖以及部分剖面視圖,而噴氣頭組件1800係適合與上述之上蓋104、1402一同使用。噴氣頭組件1800通常包括一上充氣板1802以及一下充氣板1804,而上述兩者係藉由多個插銷1830而以具有一間隔之關係耦接。上充氣板1802通常包括多個定位銷1806,其係自上充氣板1802而延伸,並使噴氣頭組件1800相對於上蓋組件而定位。上充氣板1802的上表面亦包括多個O型圈封套1812,其係用以隔絕多個氣體端口以及其他孔洞。多個外部氣體端口1816係徑向往外穿設於上充氣板1802,並藉由其中之一O型圈封套1812而與多個內部氣體端口1818分隔開。
中央孔洞1808係用以容設T形陶瓷插塞1814。插塞1814包括多個通道260,且其係設置而可協助光學計量,並同時可防止插塞1814內形成電漿。洗滌氣體端口1820係徑向往內設置,並藉由一O型圈封套1812而與內部氣體端口1818分隔開,以提供洗滌氣體至插銷1814。
環狀壁1834係設置於上充氣板1802與下充氣板1804之間。環狀壁1834通常具有實質T形的剖面,並接合至上、下充氣板1802、1804之面對表面的溝槽1836、1838。於「第20圖」所示之實施例中,O型圈1822係設置於壁1834之端部以及上、下充氣板1802、1804之間,因此內充氣部1826可以與外充氣部1828分隔開。
氣體通道1840通常係由其相應之充氣部1826、1828延伸,並透過配氣板210而供應氣體至內部氣體端口1818及外部氣體端口1816而進入處理室100之內部空間106。氣體通道1840可包括埋頭孔1842,以提供下充氣板1804的通道1840與配氣板210之孔洞250之間較佳之對準情形。
於操作過程中,處理室100可利用於基材144上蝕刻一具有高深寬比之結構。一實施例中,於基材144上之矽層上蝕刻一具有高深寬比之溝槽的方法可以於處理室100中進行,而矽層上覆蓋有一具圖樣之遮罩層(patterned mask),此部分係為習知的。該方法起始於將腔室壓力調控於0~300毫托(mT)之間,施加約500~2800瓦(W)之偏壓電源於基材144上,而於一實施例中,偏壓電源之係於約2兆赫(MHz)之頻率下施加。
通過噴氣頭組件130之多個氣流區的氣體所形成之電漿係藉由施加500~2800瓦之電源至基材支撐組件148而維持之。於一實施例中,電源係於60兆赫之頻率下施加。施加於腔室之磁場(B-field)約為0~140高斯(G)。矽層透過遮罩之孔洞而被電漿蝕刻,以形成具有深寬比至少為80:1之溝槽。
製程氣體、直接注入氣體以及惰性氣體之混合物係提供至腔室內以進行電漿蝕刻,而該混合物包括HBr、NF3 、O2 、SiF4 、SiCl4 以及Ar至少其中之一者。於一實施例中,提供至混合歧管810之製程氣體包括HBr以及NF3 ,而O2 、SiF4 、SiCl4 係可選擇性的提供。於一示範性實施例中,50~500 sccm的HBr、10~200 sccm的NF3 、0~200 sccm的O2 、0~200 sccm的SiF4 、0~200 sccm的SiCl4 以及0~200 sccm的Ar係提供至混合岐管810,而適用於蝕刻300 mm基材之製程。混合氣體提供至充氣部之流量比例係經選擇而相應於結構之密度、尺寸以及橫向位置。SiCl4 係用作為直接注入氣體,並繞過混合歧管810而提供至噴氣頭組件130之充氣部。
已證實上述之處理室100可以於基材144之表面上蝕刻具有良好均一性之高深寬比結構。於習知處理室以及上述之處理室100中進行蝕刻矽製程的比較數據顯示,本發明改善了邊緣至中心之深寬比均一性,習知系統所具有邊緣至中心之深寬比約為1.35,而上述之處理室100具有之邊緣至中心的深寬比為約1.04,而使得本發明之處理室適用於製造下一代之元件。
惟本發明雖以較佳實施例說明如上,然其並非用以限定本發明,任何熟習此技術人員,在不脫離本發明的精神和範圍內所作的更動與潤飾,仍應屬本發明的技術範疇,而本發明之技術範疇由下方之申請專利範圍來定義之。
100...處理室
102...腔室主體
104...上蓋
106...內部空間
108...側壁
110...底部
112...內表面
114...內表面
116...(外)襯墊
118...(內/陰極)襯墊
120...導管
121...凸緣
122...通道
124...流體源
126...排氣孔
128...抽氣系統
130...噴氣頭組件
132’,132”...進氣孔
134...內部區域
136...外部區域
138...光傳遞部位/通道
140...光學監控系統/計量單元
142...可視窗
144...基材
146...遮蓋環
148...基材支撐組件
150...控制器
158...氣體分配盤
162...安裝板
164...基部
166...(靜電)吸座
168,170...導管/冷卻通道
172...流體源
174...隔離器
176...加熱器
178...襯墊
180...(嵌位)電極
182...吸座電源
184...第一RF電源
186...第二RF電源
188...匹配電路
190...第一溫度感測器
192...第二溫度感測器
198...(加熱器)電源
202...基部
204...上充氣板
206...下充氣板
208...插塞
210...配氣板
212...管道
214...流體源
216...突唇
218...(內)充氣部
220...(外)充氣部
222...進氣管
224...進氣管
226...(第一)孔洞
228...插銷
230,232...洞
236...障壁
240...內台階
242...氣體通道
244...第一鑽孔
246...節流孔
248...第二鑽孔
250...孔洞
254...(第三)孔洞
256...錐形座
258...凹部
260...通道
262...孔洞
264...(第二)孔洞
270...凹部
284...外台階
286...內部外徑
288...突出部
290...底表面
302...頭部
304...喇叭形部位
306...延伸桿
502...黏著層
504...黏著環
506...黏著珠粒
508...充氣部
700...噴氣頭組件
702...基部
704...充氣板
706...孔洞
708...插塞
710...配氣板
712...環狀溝槽
714...環狀溝槽
716...內充氣部
718...外充氣部
720...插塞孔
722...凹部
724...錐形座
726...通道
728...計量孔
802...直接(注入)氣源
804...製程氣源
806...載氣氣源
808...控制閥
810...混合歧管
812...初級進氣管
814...流量控制器
816,818...進氣管路
820,822...直接進氣管路
824...第二流量控制器
902...上襯墊
904...下襯墊
906...凹槽/風門
908...上緣
910...下緣
912...凸緣
914...主體
916...內壁
918...突唇
920...O型圈溝槽
924...(可視窗)鑲嵌塊
926...固定件
928...孔洞
930...(門)鑲嵌塊
932...凹部
934...外壁
936...固定件
938...狹縫
940...狹縫
990...邊緣
1002,1004...挖空部分
1008...溝槽
1010...通道
1012...第一端
1014...第二端
1016...轉折部
1092...虛線
1094...外邊緣
1095...端口
1096...突出物
1097...階形部
1099...覆蓋物
1102...主體
1104...頂面
1108...外部區域
1110...內部區域
1112...傾斜區域
1114...內壁
1116...凸出部
1118...第一脊
1120...第三脊
1122...第二脊
1126...底面
1128...上端
1132...凸緣
1134...底面
1136...O型圈溝槽
1140...上部
1142...下部
1150...上表面
1152...上表面
1180...狹縫
1188...上壁
1190...上突出部
1192...中壁
1194...下突出部
1196...下壁
1198...下表面
1200A,B...升降銷導引組件
1202...孔洞
1204...階形洞
1206...台階
1208...主穿孔
1210...導引件
1212...進入孔
1214...螺紋部
1216...主體
1218...定位器
1220...彈性元件
1222...缺口
1224...第一穿孔
1226...第二穿孔
1228...下突出物
1230...套筒
1232...螺紋部
1234...穿固結構
1236...通道
1238...凹部
1240...上突出物
1250...缺口
1300...基材支撐組件
1302...靜電吸座
1304...基部
1306...覆蓋物
1308...階形外徑
1310...階形外徑
1312...(內部)通道
1314...(外部)通道
1316...熱扼流圈
1318...孔洞
1320...端部
1322...端部
1324...端部
1326...端部
1328...安裝孔
1330...O型圈封套
1400...上蓋組件
1402...上蓋
1404...罩蓋
1406...突出件
1408...槽口
1410...外徑
1412...頂側
1414...底側
1416...內部外徑
1418...虛線
1420...容設部
1422...凹部
1424...通道
1426...底部
1430...中央孔洞
1432...O型圈封套
1434...(外部)氣體通道
1436...埋頭孔
1440...(內部)氣體通道
1444...冷卻盤管
1446...入口端
1448...出口端
1458...外環
1460...內環
1462...突片
1464...孔洞
1466...洞
1800...噴氣頭組件
1802...上充氣板
1804...下充氣板
1806...定位銷
1808...中央孔洞
1812...O型圈封套
1814...插塞
1816...外部氣體端口
1818...內部氣體端口
1820...洗滌氣體端口
1822...O型圈
1826...(內)充氣部
1828...(外)充氣部
1830...插銷
1834...壁
1836...溝槽
1838...溝槽
1840...通道
1842...埋頭孔
本發明於上方所詳述之特徵可詳細地被瞭解,而針對本發明更特定的描述則簡短摘錄於上,可參閱實施例所述,且部分的實施例係繪示於附圖中。然而,值得注意的是,附圖僅繪示本發明之一般實施例,而並非用以限制其範圍,其他相同效力之實施例應同屬本發明之範疇。
第1圖,繪示本發明之處理室的一實施例之剖面圖。
第2圖,繪示噴氣頭的一實施例之剖面圖。
第3圖,繪示第2圖的噴氣頭之插塞的一實施例剖面圖。
第4圖,繪示第2圖的噴氣頭之剖面圖。
第5圖,繪示第2圖的噴氣頭之另一剖面圖。
第6圖,沿著第5圖的剖面線6-6所繪示之噴氣頭的部分剖面圖。
第7圖,繪示噴氣頭的另一實施例之剖面圖。
第8圖,繪示氣體控制之一實施例的流程圖,用以說明第1圖之處理室中氣體的流動路線以及控制。
第9及10A~10B圖,繪示襯墊之一實施例的透視圖及部分剖面圖。
第11A圖,繪示基材支撐組件支撐一遮蓋環的實施例之部分剖面圖;以及一陰極襯墊之一實施例。
第11B圖,繪示沿著第11A圖之剖面線11B-11B的陰極襯墊之剖面視圖。
第11C圖,繪示沿著第11A圖之剖面線11C-11C的陰極襯墊之底視圖。
第11D圖,繪示沿著第11A圖之剖面線11C-11C的陰極襯墊之底視圖,係說明冷卻通道之一實施例。
第11E圖,繪示沿著第11D圖之剖面線11E-11E的陰極襯墊之部分剖視圖。
第11F圖,繪示陰極襯墊之一部分剖視圖,其係說明冷卻通道。
第12A~B圖,繪示基材支撐組件之部分剖面視圖,用以說明升降銷導引組件之二實施例。
第13A~B圖,繪示基材支撐組件之一實施例的部分底視圖。
第13C圖,繪示第13A~B圖之基材支撐組件的基部之底視圖。
第14圖,繪示上蓋組件之另一實施例的等角視圖,其係說明一基部及一罩蓋。
第15A圖,繪示第14圖之基部的剖視圖。
第15B~C圖,繪示第14圖之基部的部分剖面視圖。
第16圖,繪示第14圖之基部的上視圖。
第17圖,繪示第14圖之上蓋組件的罩蓋之等角視圖。
第18圖,繪示噴氣頭組件之一實施例的等角視圖。
第19圖,繪示第18圖之噴氣頭組件之剖面視圖。
第20圖,繪示第18圖之噴氣頭組件之部分剖面視圖。
為了幫助瞭解,採用相同之元件標號來表示圖中相同之元件,一實施例中之元件可利用於另一實施例中而不再贅述之。
100...處理室
102...腔室主體
104...上蓋
106...內部空間
108...側壁
110...底部
112...內表面
114...內表面
116...(外)襯墊
118...(內/陰極)襯墊
120...導管
121...凸緣
122...通道
124...流體源
126...排氣孔
128...抽氣系統
130...噴氣頭組件
132’,132”...進氣孔
134...內部區域
136...外部區域
138...光傳遞部位/通道
140...光學監控系統/計量單元
142...可視窗
144...基材
146...遮蓋環
148...基材支撐組件
150...控制器
158...氣體分配盤
162...安裝板
164...基部
166...(靜電)吸座
168,170...導管/冷卻通道
172...流體源
174...隔離器
176...加熱器
178...襯墊
180...(嵌位)電極
182...吸座電源
184...第一RF電源
186...第二RF電源
188...匹配電路
190...第一溫度感測器
192...第二溫度感測器
198...(加熱器)電源

Claims (23)

  1. 一種處理室,包括:一腔室主體,具有一內部空間;一噴氣頭組件,係耦接至該腔室主體之一頂壁,並具有:形成於該噴氣頭組件中之至少二流體分離的充氣部、一可傳遞一光學計量訊號之部位以及複數個穿設於該噴氣頭組件之氣體通道,且該些氣體通道係將該些充氣部流體連接至該腔室主體之該內部空間;一配氣板,係面向該噴氣頭組件;黏著物之同心環,將該配氣板連接至該噴氣頭組件,其中該些同心環將該配氣板維持與該噴氣頭組件間隔,以形成界定於該配氣板和該噴氣頭組件間之徑向隔離的同心充氣部,其中該些同心充氣部將於該噴氣頭組件內自該些充氣部流經該配氣板之氣體隔離;一基材支撐組件,設置於該腔室主體中;以及一偏壓電源,係耦接至該基材支撐組件。
  2. 如申請專利範圍第1項所述之處理室,其中該基材支撐組件更包括:一靜電吸座;以及一熱調節板,設置於該靜電吸座下方,並具有至少二可獨立溫控之區域。
  3. 如申請專利範圍第2項所述之處理室,其中該至少二可獨立溫控之區域為橫向間隔設置。
  4. 如申請專利範圍第1項所述之處理室,其更包括:一襯墊,係隔離該基材支撐組件之側邊以及該腔室主體之該內部空間,該襯墊具有一較大直徑之上部及一較小直徑之下部。
  5. 如申請專利範圍第4項所述之處理室,其中該襯墊包括釔(Yttrium)。
  6. 如申請專利範圍第4項所述之處理室,其中該襯墊包括氧化釔(Y2 O3 )。
  7. 如申請專利範圍第4項所述之處理室,其更包括:一遮蓋環,係設置於該基材支撐組件上,並具有二朝下之脊,以定義一狹縫而用以夾持該襯墊之一上端。
  8. 如申請專利範圍第1項所述之處理室,其更包括:複數個電漿電源,係耦接至該基材支撐組件。
  9. 如申請專利範圍第8項所述之處理室,其更包括:複數個磁鐵,係圍繞該腔室主體而設置。
  10. 如申請專利範圍第1項所述之處理室,其更包括:一氣體分配盤,具有複數個耦合至一混合歧管之氣源,該混合歧管係流體連接至該噴氣頭組件之該些充氣部;以及至少一直接注入氣體,係流體連接至該些充氣部至少其中之一者,並繞過該混合歧管。
  11. 如申請專利範圍第1項所述之處理室,其更包括:一混合歧管;複數個第一氣源,係耦接至該混合歧管;以及一流量控制器,係流體連接於該混合歧管與該噴氣頭組件之該些充氣部之間,該流量控制器係設置以控制流至該些充氣部之氣體比例。
  12. 如申請專利範圍第11項所述之處理室,其更包括:至少一直接注入氣體,係流體連接至該些充氣部至少其中之一者,並繞過該混合歧管。
  13. 如申請專利範圍第11項所述之處理室,其中該配氣板係面向該基材支撐組件,並且由塊體釔(bulk Yttrium)所製成。
  14. 一種處理室,包括:一腔室主體,具有一內部空間;一噴氣頭組件,係耦接至該腔室主體之一頂壁,並具有一內部氣流區域、一外部氣流區域以及一可傳遞一光學計量訊號之部位,而該內部氣流區域與該外部氣流區域為流體分離;一基材支撐組件,設置於該腔室主體中,並具有可獨立溫控且橫向間隔設置之至少二區域;一光學計量系統,係設置以透過該噴氣頭組件之該可傳遞部位而觀看該腔室主體之該內部空間;一偏壓電源,係耦接至該基材支撐組件;以及至少二電漿電源,係耦接至該基材支撐組件。
  15. 如申請專利範圍第14項所述之處理室,其更包括:一內壁襯墊,係隔離該腔室主體之一內壁以及該內部空間;以及一陰極襯墊,係隔離該基材支撐組件之側邊以及該內部空間,其中該襯墊係由釔、釔合金或釔之氧化物製成,或是塗覆有釔、釔合金或釔之氧化物。
  16. 如申請專利範圍第15項所述之處理室,其更包括:一陶瓷遮蓋環,係設置於該基材支撐組件上,並具有 二朝下之脊,以定義一狹縫而用以捕捉該陰極襯墊之一上端。
  17. 如申請專利範圍第14項所述之處理室,其更包括:一氣體分配盤,具有複數個耦合至一混合歧管之氣源,該混合歧管係流體連接至該噴氣頭組件之該些區域;以及至少一直接注入氣體,係流體連接至該些區域至少其中之一者,並繞過該混合歧管。
  18. 如申請專利範圍第14項所述之處理室,其更包括:一混合歧管;複數個第一氣源,係耦接至該混合歧管;以及一流量控制器,係流體連接於該混合歧管與該噴氣頭組件之該些區域之間,該流量控制器係設置以控制流至該些區域之氣體比例。
  19. 如申請專利範圍第18項所述之處理室,其更包括:至少一直接注入氣體,係流體連接至該些區域至少其中之一者,並繞過該混合歧管。
  20. 如申請專利範圍第14項所述之處理室,其中該噴氣頭組件更包括: 一配氣板,係面向該基材支撐組件,並且由塊體釔所製成;複數個噴氣頭板,具有界定於該些噴氣頭板中之該些區域;以及一陶瓷插塞,穿設於該些噴氣頭板之至少其中之一者的中央,並具有界定於該陶瓷插塞中之該可傳遞部位。
  21. 一種處理室,包括:一腔室主體,具有一內部空間;一配氣板,係設置於該內部空間內,並具有一組外部氣流孔洞、一組內部氣流孔洞以及一組光學計量孔洞;一內部氣流區域,透過該內部氣流孔洞組而流體連接至該內部空間;一外部氣流區域,與該內部氣流區域為流體分離,並透過該外部氣流孔洞組而流體連接至該內部空間;一陶瓷插塞,具有複數個與該些光學計量孔洞對準之孔洞;一可視窗,係與該插塞對準;一基材支撐組件,設置於該腔室主體中,並具有可獨立溫控且橫向間隔設置之至少二區域;一光學計量系統,係設置以透過該可視窗、該插塞內的該些孔洞以及該些光學計量孔洞所界定出之一光學通道而觀看該腔室主體之該內部空間; 一偏壓電源,係耦接至該基材支撐組件;以及至少二電漿電源,係耦接至該基材支撐組件。
  22. 如申請專利範圍第21項所述之處理室,其中該配氣板包括釔(Yttrium)。
  23. 如申請專利範圍第21項所述之處理室,其中該配氣板包括氧化釔(Y2 O3 )。
TW096115650A 2006-05-03 2007-05-02 適於蝕刻高深寬比特徵結構之真空處理室以及其組件 TWI385744B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/381,523 US8475625B2 (en) 2006-05-03 2006-05-03 Apparatus for etching high aspect ratio features
US11/421,208 US8440049B2 (en) 2006-05-03 2006-05-31 Apparatus for etching high aspect ratio features

Publications (2)

Publication Number Publication Date
TW200802667A TW200802667A (en) 2008-01-01
TWI385744B true TWI385744B (zh) 2013-02-11

Family

ID=37296024

Family Applications (5)

Application Number Title Priority Date Filing Date
TW095211750U TWM318185U (en) 2006-05-03 2006-06-30 Substrate support suitable for using in a semiconductor processing chamber
TW95214804U TWM316492U (en) 2006-05-03 2006-08-21 A liner suitable for covering the interior wall of a semiconductor processing chamber
TW096115650A TWI385744B (zh) 2006-05-03 2007-05-02 適於蝕刻高深寬比特徵結構之真空處理室以及其組件
TW101127249A TW201250833A (en) 2006-05-03 2007-05-02 Vacuum processing chamber suitable for etching high aspect ratio features and components of same
TW096115649A TWI463562B (zh) 2006-05-03 2007-05-02 適於蝕刻高深寬比特徵結構之真空處理室以及其組件

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW095211750U TWM318185U (en) 2006-05-03 2006-06-30 Substrate support suitable for using in a semiconductor processing chamber
TW95214804U TWM316492U (en) 2006-05-03 2006-08-21 A liner suitable for covering the interior wall of a semiconductor processing chamber

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW101127249A TW201250833A (en) 2006-05-03 2007-05-02 Vacuum processing chamber suitable for etching high aspect ratio features and components of same
TW096115649A TWI463562B (zh) 2006-05-03 2007-05-02 適於蝕刻高深寬比特徵結構之真空處理室以及其組件

Country Status (7)

Country Link
US (2) US8440049B2 (zh)
JP (3) JP3130205U (zh)
KR (2) KR100898195B1 (zh)
CN (2) CN103352201B (zh)
DE (2) DE202006011604U1 (zh)
TW (5) TWM318185U (zh)
WO (1) WO2007131057A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2601545Y2 (ja) * 1992-12-22 1999-11-22 富士重工業株式会社 塵芥収集車のホッパドア開閉装置
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US8198567B2 (en) * 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
JP2009170648A (ja) * 2008-01-16 2009-07-30 Disco Abrasive Syst Ltd プラズマエッチング装置
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
JP2012503342A (ja) * 2008-09-22 2012-02-02 アプライド マテリアルズ インコーポレイテッド 高アスペクト比構造のエッチングに適したエッチングリアクタ
CN104328391B (zh) * 2008-10-08 2018-02-23 Abcd技术有限公司 化学束薄膜沉积设备和使用该设备进行薄膜沉积的方法
WO2010102125A2 (en) * 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
WO2011017060A2 (en) 2009-08-07 2011-02-10 Applied Materials, Inc. Dual temperature heater
JP3160877U (ja) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
DE102009044276A1 (de) * 2009-10-16 2011-05-05 Aixtron Ag CVD-Reaktor mit auf einem mehrere Zonen aufweisenden Gaspolster liegenden Substrathalter
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
DE102010027224A1 (de) * 2010-07-15 2012-01-19 Forschungszentrum Jülich GmbH Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US9068265B2 (en) * 2011-02-01 2015-06-30 Applied Materials, Inc. Gas distribution plate with discrete protective elements
US9337067B2 (en) * 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9887071B2 (en) * 2011-12-16 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone EPD detectors
JP5829509B2 (ja) * 2011-12-20 2015-12-09 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US20130273239A1 (en) * 2012-03-13 2013-10-17 Universal Display Corporation Nozzle design for organic vapor jet printing
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9524889B2 (en) * 2013-03-15 2016-12-20 Applied Materials, Inc. Processing systems and apparatus adapted to process substrates in electronic device manufacturing
US9666466B2 (en) * 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US20150001180A1 (en) * 2013-06-28 2015-01-01 Applied Materials, Inc. Process kit for edge critical dimension uniformity control
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
WO2015084825A1 (en) * 2013-12-02 2015-06-11 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of a process chamber
TWI698910B (zh) * 2013-12-13 2020-07-11 日商荏原製作所股份有限公司 雷射長度測量器的反射鏡之支撐構造
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
KR102275077B1 (ko) * 2014-05-30 2021-07-12 세메스 주식회사 기판 처리 장치 및 방법
CN105321838B (zh) * 2014-06-25 2018-02-13 沈阳芯源微电子设备有限公司 一种热盘工艺密闭腔自动调整装置
US9840777B2 (en) * 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
JP6335341B2 (ja) 2014-07-23 2018-05-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 可変型温度制御式基板支持アセンブリ
US10431435B2 (en) * 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
DE102014014070A1 (de) * 2014-09-29 2016-03-31 Forschungszentrum Jülich GmbH Vorrichtung zum geregelten Wärmeübergang auf und von einem Bauteil
CN105779932B (zh) * 2014-12-26 2018-08-24 北京北方华创微电子装备有限公司 用于处理腔室的工艺内衬和物理气相沉积设备
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
TWI613753B (zh) * 2015-02-16 2018-02-01 靜電吸附承盤側壁之改良密封件
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
ITUB20153316A1 (it) 2015-09-01 2017-03-01 Carlos S R L Calzatura elettronica
KR102189211B1 (ko) * 2015-10-04 2020-12-09 어플라이드 머티어리얼스, 인코포레이티드 작은 열 질량의 가압 챔버
US9805963B2 (en) 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
WO2017062087A1 (en) * 2015-10-08 2017-04-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
TWI587442B (zh) * 2016-04-15 2017-06-11 台灣美日先進光罩股份有限公司 電漿製程的光罩基板支撐結構
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11017984B2 (en) * 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
KR102156390B1 (ko) * 2016-05-20 2020-09-16 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리를 위한 가스 분배 샤워헤드
US10770270B2 (en) * 2016-06-07 2020-09-08 Applied Materials, Inc. High power electrostatic chuck with aperture-reducing plug in a gas hole
WO2017218044A1 (en) * 2016-06-15 2017-12-21 Applied Materials, Inc. Gas distribution plate assembly for high power plasma etch processes
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102005843B1 (ko) * 2016-12-15 2019-10-02 에이피티씨 주식회사 분리형 웨이퍼 서셉터 및 이를 포함하는 반도체 공정 챔버 장비
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US11022877B2 (en) * 2017-03-13 2021-06-01 Applied Materials, Inc. Etch processing system having reflective endpoint detection
JP6789155B2 (ja) * 2017-03-15 2020-11-25 東京エレクトロン株式会社 塗布処理装置及びカップ
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
JP7122212B2 (ja) * 2018-02-15 2022-08-19 東京エレクトロン株式会社 プラズマ処理装置
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102411272B1 (ko) * 2018-03-26 2022-06-22 엔지케이 인슐레이터 엘티디 정전척 히터
US20220093366A1 (en) * 2019-02-01 2022-03-24 Lam Research Corporation Showerhead for deposition tools having multiple plenums and gas distribution chambers
JP7152970B2 (ja) * 2019-03-01 2022-10-13 株式会社ニューフレアテクノロジー 気相成長装置
JP7246247B2 (ja) * 2019-05-15 2023-03-27 東京エレクトロン株式会社 基板処理装置及び監視方法
US11415463B2 (en) * 2019-06-04 2022-08-16 Applied Materials, Inc. Contactless workpiece temperature sensor
CN112071733B (zh) * 2019-06-10 2024-03-12 中微半导体设备(上海)股份有限公司 用于真空处理设备的内衬装置和真空处理设备
JP2023512451A (ja) * 2020-01-13 2023-03-27 ラム リサーチ コーポレーション 溝の輪郭を最適化するために複数のゾーンを有するガス分配プレート
CN115244678A (zh) * 2020-03-26 2022-10-25 株式会社巴川制纸所 静电吸盘装置、静电吸盘装置用套筒
CN114093739B (zh) * 2020-08-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种气体流量调节装置和调节方法及等离子体处理装置
US20220108891A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Modular zone control for a processing chamber
US11694908B2 (en) * 2020-10-22 2023-07-04 Applied Materials, Inc. Gasbox for semiconductor processing chamber
JP7514815B2 (ja) * 2021-12-22 2024-07-11 日本碍子株式会社 半導体製造装置用部材
US11794296B2 (en) * 2022-02-03 2023-10-24 Applied Materials, Inc. Electrostatic chuck with porous plug
KR102668527B1 (ko) * 2022-03-24 2024-05-23 성균관대학교산학협력단 소모성 금속 부재를 포함하는 식각용 플라즈마 처리 장치

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US20040027781A1 (en) * 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20040191545A1 (en) * 2002-01-08 2004-09-30 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20050016684A1 (en) * 2003-07-25 2005-01-27 Applied Materials, Inc. Process kit for erosion resistance enhancement
US20050056218A1 (en) * 2002-02-14 2005-03-17 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20050271814A1 (en) * 2002-04-16 2005-12-08 Applied Materials, Inc. Multi-station deposition apparatus and method
US20060073690A1 (en) * 2004-10-05 2006-04-06 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US20060076109A1 (en) * 2004-10-07 2006-04-13 John Holland Method and apparatus for controlling temperature of a substrate

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01166007A (ja) * 1987-12-23 1989-06-29 Mitsubishi Rayon Co Ltd 光ファイバ端面の処理方法及びこれに用いる光プラグ
US5427621A (en) 1993-10-29 1995-06-27 Applied Materials, Inc. Method for removing particulate contaminants by magnetic field spiking
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US6159297A (en) * 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5910221A (en) 1997-06-18 1999-06-08 Applied Materials, Inc. Bonded silicon carbide parts in a plasma reactor
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JP4162773B2 (ja) * 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6586495B1 (en) * 1999-09-20 2003-07-01 Canon Kabushiki Kaisha Alkylsiloxane-containing epoxy resin composition, surface modifying method using the same, ink-jet recording head and liquid-jet recording apparatus
US6398929B1 (en) * 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
TW580735B (en) * 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
JP3643540B2 (ja) * 2000-02-21 2005-04-27 株式会社日立製作所 プラズマ処理装置
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6381021B1 (en) * 2000-06-22 2002-04-30 Applied Materials, Inc. Method and apparatus for measuring reflectivity of deposited films
US7011039B1 (en) 2000-07-07 2006-03-14 Applied Materials, Inc. Multi-purpose processing chamber with removable chamber liner
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
JP3764639B2 (ja) * 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
US6797639B2 (en) 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6713127B2 (en) 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
CA2474185C (en) * 2002-02-06 2012-05-08 Intier Automotive Inc. Two way locking rotary drive clutch assembly
JP4128383B2 (ja) * 2002-03-27 2008-07-30 東京エレクトロン株式会社 処理装置及び処理方法
JP4102873B2 (ja) 2002-03-29 2008-06-18 東京エレクトロン株式会社 プラズマ処理装置用電極板及びプラズマ処理装置
US6846726B2 (en) * 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US20050136657A1 (en) * 2002-07-12 2005-06-23 Tokyo Electron Limited Film-formation method for semiconductor process
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7311779B2 (en) * 2003-10-06 2007-12-25 Applied Materials, Inc. Heating apparatus to heat wafers using water and plate with turbolators
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7267787B2 (en) 2004-08-04 2007-09-11 Intematix Corporation Phosphor systems for a white light emitting diode (LED)
US20060075968A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Leak detector and process gas monitor
US7833381B2 (en) * 2005-08-18 2010-11-16 David Johnson Optical emission interferometry for PECVD using a gas injection hole
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) * 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US20040191545A1 (en) * 2002-01-08 2004-09-30 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20050056218A1 (en) * 2002-02-14 2005-03-17 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20050271814A1 (en) * 2002-04-16 2005-12-08 Applied Materials, Inc. Multi-station deposition apparatus and method
US20040027781A1 (en) * 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20050016684A1 (en) * 2003-07-25 2005-01-27 Applied Materials, Inc. Process kit for erosion resistance enhancement
US20060073690A1 (en) * 2004-10-05 2006-04-06 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US20060076109A1 (en) * 2004-10-07 2006-04-13 John Holland Method and apparatus for controlling temperature of a substrate

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features

Also Published As

Publication number Publication date
JP3128562U (ja) 2007-01-18
KR20090005253A (ko) 2009-01-12
KR20080015779A (ko) 2008-02-20
TW201250833A (en) 2012-12-16
WO2007131057A3 (en) 2009-02-05
JP5031028B2 (ja) 2012-09-19
JP2009536461A (ja) 2009-10-08
KR100900596B1 (ko) 2009-06-02
TWI463562B (zh) 2014-12-01
US8440049B2 (en) 2013-05-14
TW200802667A (en) 2008-01-01
CN105185729A (zh) 2015-12-23
JP3130205U (ja) 2007-03-22
US9991109B2 (en) 2018-06-05
CN103352201B (zh) 2016-06-08
TW200805486A (en) 2008-01-16
WO2007131057A4 (en) 2009-03-19
CN103352201A (zh) 2013-10-16
DE202006011604U1 (de) 2006-11-02
TWM316492U (en) 2007-08-01
DE202006012740U1 (de) 2006-10-12
US20140020834A1 (en) 2014-01-23
TWM318185U (en) 2007-09-01
CN105185729B (zh) 2018-12-14
WO2007131057A2 (en) 2007-11-15
KR100898195B1 (ko) 2009-05-18
US20070256786A1 (en) 2007-11-08

Similar Documents

Publication Publication Date Title
TWI385744B (zh) 適於蝕刻高深寬比特徵結構之真空處理室以及其組件
US8475625B2 (en) Apparatus for etching high aspect ratio features
TWI720793B (zh) 具有減少的背側電漿點火的噴淋頭
JP4481913B2 (ja) 基板ペデスタルアッセンブリ及び処理チャンバー
TWI484577B (zh) 適合蝕刻高深寬比特徵結構之蝕刻反應器
KR101438705B1 (ko) 기판 처리 샤워헤드용의 재구성 가능한 복수-구역 가스 전달 하드웨어
KR100926841B1 (ko) 경사면 증착을 줄이기 위한 하드웨어 개발
US10811301B2 (en) Dual-zone heater for plasma processing
KR20010113558A (ko) 세라믹 기판 지지체
TW201401431A (zh) 具有冷卻底座之靜電夾盤
KR200431206Y1 (ko) 삽입부 없이 고종횡비 미세구조물을 에칭하기에 적절한상부 챔버 라이너
KR200427163Y1 (ko) 높은 종횡비 피쳐의 에칭에 적합한 기판 지지부
JP2002145295A (ja) 半導体処理チャンバー用蓋体
TWI790777B (zh) 用於反向擴散控制的半導體腔室部件及用於半導體處理的方法
TW202324592A (zh) 用於靜電卡盤氣體輸送的多孔塞
WO2023220308A1 (en) Multi-path helical mixer for asymmetric wafer bow compensation

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees