KR200431206Y1 - 삽입부 없이 고종횡비 미세구조물을 에칭하기에 적절한상부 챔버 라이너 - Google Patents

삽입부 없이 고종횡비 미세구조물을 에칭하기에 적절한상부 챔버 라이너 Download PDF

Info

Publication number
KR200431206Y1
KR200431206Y1 KR2020060022370U KR20060022370U KR200431206Y1 KR 200431206 Y1 KR200431206 Y1 KR 200431206Y1 KR 2020060022370 U KR2020060022370 U KR 2020060022370U KR 20060022370 U KR20060022370 U KR 20060022370U KR 200431206 Y1 KR200431206 Y1 KR 200431206Y1
Authority
KR
South Korea
Prior art keywords
liner
gas
recess
wall
disposed
Prior art date
Application number
KR2020060022370U
Other languages
English (en)
Inventor
로버트 에스. 클락크
짐 종귀 헤
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR2020060022370U priority Critical patent/KR200431206Y1/ko
Application granted granted Critical
Publication of KR200431206Y1 publication Critical patent/KR200431206Y1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 고안의 실시예들은 고종횡비 미세구조물을 에칭하기에 적절한, 프로세싱 챔버와 같은 기구를 제공한다. 다른 실시예들은 프로세싱 챔버에서 이용하기 위한 상부 챔버 라이너를 포함한다. 일 실시예에서, 상부 챔버 라이너는 외부면에 형성되는 리세스를 가지는 원통형 바디를 포함한다. 슬롯은 리세스에 배치되며 슬롯을 관통 형성한다. 원통형 바디는 상부 에지 및 하부 에지를 포함한다. 플랜지는 상기 바디의 상부 에지로부터 외측 반지름방향으로 연장한다.

Description

삽입부 없이 고종횡비 미세구조물을 에칭하기에 적절한 상부 챔버 라이너{UPPER CHAMBER LINER WITHOUT INSERT SUITABLE FOR ETCHING HIGH ASPECT RATIO FEATURES}
도 1은 본 고안의 프로세싱 챔버의 일 실시예의 단면도.
도 2는 샤워헤드의 일 실시예의 단면도.
도 3은 도 2의 샤워헤드의 플러그의 일 실시예의 사시도.
도 4는 도 2의 샤워헤드의 단면도.
도 5는 도 2의 샤워헤드의 또 다른 단면도.
도 6은 도 5의 단면선 6-6을 따라 취한 샤워헤드의 부분 단면도.
도 7은 샤워헤드의 또 다른 실시예의 단면도.
도 8은 가스 제어의 일 실시예로서 도 1의 프로세싱 챔버에 대한 가스의 제어 및 루팅을 나타내는 개략도.
도 9 내지 도 10은 라이너의 일 실시예의 사시도 및 부분 단면도.
도 11은 커버 링의 일 실시예를 도시하는 기판 지지 조립체의 부분 단면도.
도 12는 리프트 핀 가이드 조립체의 일 실시예를 나타내는 기판 지지 조립체의 부분 단면도.
<도면의 주요 부호에 대한 간단한 설명>
100 : 프로세싱 챔버 116 : 외부 라이너
118 : 내부 라이너 902 : 상부 라이너
904 : 하부 라이너 908 : 상부 에지
910 : 하부 에지 912 : 플랜지
914 : 바디 916 :내부 벽
932 : 리세스 934 : 외부 벽
938 : 슬롯
본 고안의 실시예들은 일반적으로 반도체 기판 등에서 고종횡비 미세구조물(high aspect ratio features)을 에칭하기 위한 진공 프로세싱 챔버에 관한 것이다. 더욱 상세하게는, 본 고안은 대체로 종횡비 미세구조물을 에칭하기 위한 진공 프로세싱 챔버에서 이용하기에 적절한 상부 챔버 라이너에 관한 것이다.
더 빠르고 더 강력한 집적 회로(IC) 장치에 대한 요구는 반도체 웨이퍼와 같은 기판 상에 트렌치(trench) 또는 비아(via)와 같은 고종횡비의 미세구조물을 에칭하기 위한 요구를 포함하여, IC 제조 기술에 대한 새로운 도전이 되었다. 예를 들면, 다이나믹 랜덤 액세스 메모리 분야(dynamic random access memory)에서 이용되는 깊은 트렌치 저장 구조물은 반도체 기판으로 에칭되는 깊은 고종횡비 트렌치를 요구한다. 깊은 실리콘 트렌치 에칭은 통상적으로 이산화규소 마스크를 이용하 는 반응성 이온 에칭(RIE) 프로세스에서 수행된다.
고종횡비 미세구조물을 에칭하는데 로버스트 성능(robust performance)을 가지는 하나의 종래 시스템은 미국 캘리포니아 산타 클라라에 위치한 어플라이드 머티어리얼스, 아이엔씨로부터 입수가능한 센투라 하트TM 에치 시스템(CENTURA HARTTM Etch Syetem)이다. 하트TM 에칭 시스템은 최고 70:1의 종횡비를 가지는 트렌치를 에칭할 수 있는 메리에(MERIE) 반응기를 이용하면서 중앙으로부터 에지로 5퍼센트의 트렌치 깊이 균일도를 유지한다. 그러나, 서브(sub)-90nm 임계 치수를 가지는 집적 회로를 제조하기 위해, 회로 디자이너는 고종횡비를 가지면서 균일도가 개선된 트렌치 균일도를 요구하였다. 따라서, 차 세대 장치의 실현을 위해 에칭 성능을 개선하는 것이 바람직하다.
따라서, 고종횡비 미세구조물을 에칭하기 위한 개선된 장치에 대한 요구가 있었다.
본 고안의 실시예는 고종횡비 미세구조물을 에칭하기에 적절한, 프로세싱 챔버와 같은 장치를 제공한다. 다른 실시예들은 프로세싱 챔버에서 이용하기 위한 상부 챔버 라이너를 포함한다.
일 실시예에서, 상부 챔버 라이너는 외부면에 형성된 리세스를 가지는 원통형 바디를 포함한다. 슬롯은 리세스에 배치되어 바디를 관통 형성한다. 원통형 바디는 상부 에지 및 하부 에지를 포함한다. 플랜지는 바디의 상부 에지로부터 외 측 반지름방향으로 연장한다.
또 다른 실시예에서, 반도체 프로세싱 챔버의 내부 벽의 적어도 일 부분을 덮기에 적절한 상부 챔버 라이너는 상부 에지 및 하부 에지를 가지는 원통형 바디를 포함한다. 바디는 아트리움(atrium) 또는 이의 산화물로 만들어지거나 코팅된다. 바디의 상부 에지는 외측 반지름방향으로 연장하는 플랜지를 가진다. 바디의 하부 에지는 제 2 라이너와 정합되도록 구성되는 결합 미세구조물을 가진다. 리세스는 바디의 외부면에 형성되고 그 안에 배치되는 슬롯을 가진다. 슬롯은 바디를 통하여 연장한다.
일 실시예에서, 프로세싱 챔버는 샤워헤드 조립체 및 그 안에 배치되는 기판 지지 조립체를 가지는 챔버 바디를 포함한다. 샤워헤드 조립체는 두 개 이상의 유동적으로 격리된 플레넘(fluidly isolated plenums), 광 계측 신호(optical metrology signal)에 대한 투과성 영역, 및 챔버 바디의 내부 용적에 대해 플레넘을 유동적으로 결합하는 샤워헤드 조립체를 관통하여 형성되는 다수의 가스 통로를 포함한다.
또 다른 실시예에서, 프로세싱 챔버는 샤워헤드 조립체 및 그 안에 배치되는 기판 지지 조립체를 가지는 챔버 바디를 포함한다. 샤워헤드 조립체는 내부 가스 유동 영역, 외부 가스 유동 영역, 및 광 계측 신호에 대한 투과성 영역을 포함한다. 내부 및 외부 영역은 서로로부터 유동적으로 격리된다. 기판 지지 조립체는 두 개 이상의 독립적으로 제어가능하고 측방향으로 이격된 온도 영역을 포함한다. 광 계측 시스템은 샤워헤드 조립체의 투과성 영역을 통하여 챔버 바디의 내부 용적 을 관측하기 위해 배치된다. 기판 지지 조립체는 바이어스 전력 소스(bias power source) 및 이에 결합된 두 개 이상의 플라즈마 전력 소스를 가진다.
또 다른 실시예에서, 프로세싱 챔버는 가스 분배판 및 그 안에 배치되는 기판 지지 조립체를 가지는 챔버 바디를 포함한다. 가스 분배판은 가스 유동 구멍의 외부 세트, 가스 유동 구멍의 내부 세트, 및 광 계측 구멍 세트를 가진다. 내부 가스 유동 영역은 가스 유동 구멍의 제 1 세트를 통하여 챔버 바디의 내부 용적에 유동적으로 결합된다. 외부 가스 유동 영역은 가스 유동 구멍의 제 2 세트를 통하여 내부 용적에 결합되고 내부 영역으로부터 유동적으로 격리된다. 다수의 구멍을 가지는 세라믹 플러그는 광 계측 구멍 및 윈도우와 정렬된다. 기판 지지 조립체는 챔버 바디에 배치되고 두 개 이상의 독립적으로 제어가능하고 측방향으로 배치되는 온도 영역을 가진다. 광 계측 시스템은 윈도우에 의해 형성된 광 통로, 플러그내에 있는 구멍 및 광 계측 구멍을 통하여 챔버 바디의 내부 용적을 관측하기 위해 배치된다. 기판 지지 조립체는 바이어스 전력 소스 및 이에 결합된 두 개 이상의 플라즈마 전력 소스를 가진다.
또 다른 실시예에서, 고종횡비 미세구조물을 에칭하기 위한 방법이 제공되는데, 이 방법은 다수의 가스를 혼합 매니폴드에 제공하는 단계, 혼합 매니폴드로부터 프로세싱 챔버의 상이한 영역으로 유동하는 혼합 가스의 비율을 제어하는 단계, 및 하나 이상의 직접 주입 가스를 혼합 매니폴드를 우회하여 프로세싱 챔버의 하나 이상의 영역으로 제공하는 단계를 포함한다.
또 다른 실시예에서, 샤워헤드 조립체가 제공되는데, 이는 상부 섹션에 결합 되는 가스 분배판을 포함한다. 가스 분패판은 가스 유동 구멍의 외부 세트, 및 가스 유동 구멍의 내부 세트, 및 광 계측 구멍 세트를 가진다. 상부 섹션은 가스 유동 구멍의 외부 세트에 유동적으로 결합되는 제 1 플레넘 및 가스 유동 구멍의 내부 세트에 유동적으로 결합되는 제 2 플레넘을 가진다. 플레넘들은 상부 섹션 내에서 유동적으로 격리된다. 세라믹 플러그는 상부 섹션을 통하여 배치되고 광 계측 구멍과 정렬되는 광 투과성 영역을 가진다.
상술된 본원 고안의 특징이 상세하게 이해되도록, 위에서 간단히 설명된 본 고안의 더욱 특별한 상세한 설명이 실시예들을 참조하여 설명되며, 이 실시예들의 일부는 첨부된 도면에 도시되어 있다. 그러나, 첨부된 도면은 단지 본 고안의 통상적인 실시예이며 따라서 본 고안의 범위를 제한하는 것으로 고려되지 않으며 본 고안은 다른 효과적으로 균등한 실시예에 대해 인정될 것이다.
용이한 이해를 위해, 가능하게는 동일한 도면부호가 도면에 공통적인 동일한 부재를 나타내기 위해 이용되었다. 일 실시예의 부재가 추가의 인용없이 다른 실시예에서 유용하게 이용될 수도 있다.
도 1은 기판(144)에 고종횡비 미세구조물을 에칭하기에 적절한 프로세싱 챔버(100)의 일 실시예의 단면도이다. 프로세싱 챔버(100)가 우수한 에칭을 수행할 수 있는 다수의 미세구조물을 포함하지만, 다른 프로세싱 챔버는 본 명세서에서 공개된 본 고안의 하나 이상의 미세구조물로부터 이익을 얻도록 적용될 수 있다.
프로세싱 챔버(100)는 내부 용적(106)을 둘러싸는 리드(104) 및 챔버 바디 (102)를 포함한다. 챔버 바디(102)는 통상적으로 알루미늄, 스테인레스 강 또는 다른 적절한 재료로 제조된다. 챔버 바디(102)는 일반적으로 측벽(108) 및 바닥(110)을 포함한다. 기판 액세스 포트(도시안됨)는 일반적으로 측벽(108)을 포함하고 슬릿 밸브에 의해 선택적으로 밀봉되어 프로세싱 챔버(100)로부터 기판(144)의 유입 및 유출을 용이하게 한다. 배출 포트(126)는 챔버 바디(102)에 형성되어 내부 용적(106)을 펌프 시스템(128)으로 결합한다. 펌프 시스템(128)은 일반적으로 프로세싱 챔버(100)의 내부 용적(106)의 압력을 배출 및 조절하기 위해 이용되는 하나 이상의 펌프 및 트로틀 밸브를 포함한다. 일 실시예에서, 펌프 시스템(128)은 통상적으로 약 10mTorr 내지 약 20 Torr 사이의 작동 압력에서 내부 용적(106) 내부의 압력을 유지한다.
리드(104)는 챔버 바디(102)의 측벽(108) 상에 밀봉되게 지지된다. 리드(104)는 프로세싱 챔버(100)의 내부 용적(106)에 접근하는 것을 허용하도록 개방될 수 있다. 리드(104)는 광 프로세스 모니터링을 용이하게 하는 윈도우(142)를 포함한다. 일 실시예에서, 윈도우(142)는 석영, 또는 광 모니터링 시스템(140)에 의해 이용되는 신호에 대해 투과성인 다른 적절한 재료로 이루어질 수 있다.
광 모니터링 시스템(140)은 윈도우(142)를 통하여 기판 지지 조립체(148)에 위치설정되는 기판(144) 및/또는 챔버 바디(102)의 하나 이상의 내부 용적(106)을 관측하도록 위치설정될 수 있다. 일 실시예에서, 광 모니터링 시스템(140)은 리드(104)에 결합되고 통합된 에칭 프로세스를 용이하게 하며, 통합된 에칭 프로세스는 정보를 제공하기 위해 광 메트롤러지(optical metrology)를 이용하며, 상기 정보는 발생하는 패턴 불일치(CD, 두께 등과 같은)를 보상하고 프로세스 상태 모니터링(플라즈마 모니터링, 온도 모니터링 등) 및/또는 단부 포인트 탐지 등을 제공하기 위한 프로세스 조정을 가능하게 한다. 본 고안으로부터 이익을 얻도록 적용될 수 있는 하나의 광 모니터링 시스템은 미국 캘리포니아 산타 클라라의 어플라이드 머티어리얼즈 아이엔씨로부터 입수가능한 아이디(EyeD)(등록상표) 풀 스펙트럼(full-spectrum), 간섭 계측 모듈(interferometric metrology module)이다.
일 실시예에서, 광 모니터링 시스템(140)은 CD, 필름 두께 및 플라즈마 특성을 측정할 수 있다. 광 모니터링 시스템(140)은 스펙트로스코피(spectroscopy), 인터페로메트리(interferometry), 스캐터로메트리(scatterometry), 리플렉토메트리(reflectometry) 등과 같은 비파괴 광 측정 기술 중 하나를 이용할 수 있다. 광 모니터링 시스템(140)은 예를 들면 인터페로메트릭 모니터링 기술(예를 들면, 시간 영역 내에서 간섭 무늬를 계수, 주파수 영역에서 상기 무늬의 위치를 측정, 등)을 수행하도록 구성될 수 있어 실시간에서 기판(144) 상에 형성되는 구조물의 에칭 깊이 프로파일을 측정한다. 광 모니터링의 예를 이용하는 방법은 고안의 명칭이 "에칭 프로세스를 모니터링하기 위한 방법 및 시스템(Method and System for Monitoring an Etch Process)이고 2003년 6월 18일에 출원되고 일반 양도된 미국 특허 출원 제 60/479,601호, 고안의 명칭이 "인-시츄 및 엑스-시츄 메트롤러지를 이용한 프로세스 제어 강화 및 오류 감지 그리고 다중 패스 웨이퍼 프로세싱에서의 데이터 복구(Process Control Enhancement and Fault Detection Using In-Situ and Ex-situ Metrologies and Data Retrieval In Multiple Pass Waper Processing)"이 고 2003년 4월 11일에 출원된 미국 특허 출원 제 60/462,493호에 상세하게 공개되어 있다.
가스 패널(158)은 프로세싱 챔버(100)에 결합되어 내부 용적(106)으로 프로세스 및/또는 세척 가스를 제공한다. 도 1에 도시된 실시예에서, 유입 포트(132', 132")는 리드(104)에 제공되어 가스가 가스 패널(158)로부터 프로세싱 챔버(100)의 내부 용적(106)으로 전달되는 것을 허용한다.
샤워헤드 조립체(130)는 리드(104)의 내부면(114)에 결합된다. 샤워헤드 조립체(130)는 다수의 통공을 포함하는데, 상기 통공은 가스가 샤워헤드 조립체(130)를 통하여 유입 포트(132)로부터 챔버(100)에서 처리되는 기판(144)의 표면을 가로질러 미리한정된 분포로 프로세스 챔버(100)의 내부 용적(106)으로 유동하는 것을 허용한다.
샤워헤드 조립체(130)는 부가적으로 광 계측 신호에 대해 투과성인 영역을 포함한다. 광 투과성 영역 또는 통로(138)는 광 모니터링 시스템(140)이 기판 지지 조립체(148) 상에 위치설정되는 기판(144) 및/또는 내부 용적(106)을 관측하는 것을 허용하기에 적절하다. 통로(138)는 재료, 통공 또는 샤워헤드 조립체(130)에 형성되거나 배치되는 다수의 통공일 수 있으며, 상기 샤워헤드 조립체는 광 측정 시스템(140)에 의해 발생되는 에너지의 파장에 실질적으로 투과성이며 광 측정 시스템으로 역으로 반사된다. 일 실시예에서, 통로(138)에서 가스 누출을 방지하기 위한 윈도우(142)를 포함한다. 윈도우(142)는 사파이어판, 석영판 또는 다른 적절한 재료일 수 있다. 윈도우(142)는 이와 달리 리드(104)내에 배치될 수 있다.
일 실시예에서, 샤워헤드 조립체(130)는 프로세싱 챔버(100)의 내부 용적(106)으로 유동하는 가스의 개별 제어를 허용하는 다수의 영역으로 구성될 수 있다. 도 1의 실시예에서, 샤워헤드 조립체(130)는 개별 유입구(132)를 통하여 가스 패널(158)에 개별적으로 결합되는 내부 영역(134) 및 외부 영역(136)을 포함한다.
도 2는 샤워헤드 조립체(130)의 일 실시예의 단면도이다. 샤워헤드 조립체(130)는 일반적으로 베이스(202), 상부 및 하부 플레넘 플레이트(204, 206), 플러그(206) 및 가스 분배판(210)을 포함한다. 상부 및 하부 플레넘 플레이트(204, 206)는 서로 이겨된 관계로 결합되어 베이스(202)에 형성된 리세스(270)에 배치되어 샤워헤드 조립체(130)의 상부 구조물을 형성한다. 플레이트들(204, 206) 사이에 형성된 플레넘 영역은 배리어 벽(236)에 의해 두 개 이상의 영역으로 유동적으로 분리된다. 도 2에 도시된 실시예에서, 벽(236)은 외부 플레넘(220)으로부터 내부 플레넘(218)을 분리한다. 플레넘(218, 220)은 베이스(202) 및 상부 플레넘 플레이트(204)를 통하여 형성된 가스 공급부(222, 224)에 의해 베이스(202)에 형성된 유입 포트(132', 132")에 의해 각각 공급된다. 아래 상세하게 설명되는 가스 통로(242)는 하부 플레넘 플레이트(204)를 통하여 형성되고 가스 분배판(210)은 플레넘(218, 220)에 있는 가스가 챔버(200)의 내부 용적(106)으로 유입되는 것을 허용한다. 통로(242)의 개수 및 분포는 가스의 미리한정된 분포를 챔버(100)로 제공하도록 선택된다.
베이스(202)에 형성된 리세스(270)는 플레이트(204, 206)를 위치시키기 위한 하나 이상의 스텝(step)을 포함한다. 도 2에 도시된 실시예에서, 리세스(270)는 내부 스텝(240) 및 외부 스텝(284)을 포함한다. 내부 스텝(240)은 하부 플레넘 플레이트(206)가 배치되는 표면을 제공한다. 밀봉부(도면부호 없음)는 내부 스텝(240)과 하부 플레넘 플레이트(206) 사이에 제공되어 가스 누출을 방지한다. 외부 스텝(284)은 베이스(202)로 리세스를 제공하여 가스 분배판(210)이 하부 플레넘 플레이트(206) 및 베이스(202) 사이에 형성된 갭을 커버한다.
베이스(202)는 일반적으로 내측 외경(286)으로부터 외측으로 연장하는 립(216)을 포함한다. 립(216)과 내측 외경(286) 사이에 형성된 릿지(288)는 외부 라이너(116) 및/또는 리드(104) 상의 샤워헤드 조립체(130)를 지지한다. 릿지(288)는 립(216) 및 내측 외경(286)에 대해 대체로 수직하며, 립 및 내측 외경은 대체로 평행하고 챔버 바디의 중앙선에 대해 동심이다.
내측 외경(286)은 베이스(202)의 바닥면(290)에서 종결된다. 바닥면(290)은 프로세싱 영역과 대체로 직면하고 Y2O3 와 같은 보호성 재료로 코팅될 수 있다.
베이스(202)에는 또한 유체 소스(214)에 결합되는 다수의 채널(212)이 형성된다. 유체 소스(214)는 베이스(202) 및 샤워헤드 조립체(130)의 온도를 조절하기 위해 채널(212)을 통해 순환되는 공기 또는 물과 같은 열 전달 유체를 제공한다.
통로(138)는 샤워헤드 조립체(130)를 통하여 형성되어 광 모니터링 시스템(140)에 의해 챔버 프로세스 및/또는 기판 특성의 모니터링을 용이하게 한다. 통로(138)는 동축으로 정렬되는 통공(226, 264, 254, 262)을 포함한다. 제 1 통공(226)은 베이스(202)에 형성된다. 제 2 통공(264)은 상부 플레넘 플레이트(204)에 형성된다. 제 3 통공(254)은 하부 플레넘 플레이트(206)에 형성되고 통공(262)은 가스 분배판(210)에 형성된다. 윈도우(142)는 샤워헤드 조립체(130)를 통하여 광 모니터링 시스템(140)으로의 가스 누출을 방지하도록 통로(138)에 밀봉되게 배치된다. 도 2에 도시된 실시예에서, 리세스(258)는 상부 플레넘 플레이트(204)에 제공되어 윈도우(142)를 수용한다. 도 2에서 도면부호가 병기되지 않은 O-링이 윈도우(142)를 밀봉하기 위해 상부 플레넘 플레이트(204) 및 베이스(202)에 제공된다.
플러그(208)는 적어도 상부 플레넘 플레이트(204)에 형성된 제 2 통공(264)에 배치된다. 플러그(208)는 광 모니터링 시스템(140)에 의해 이용되는 신호에 대해 투과성이 되도록 형성된다. 일 실시예에서, 플러그(208)는 다수의 고종횡비 통로(260)를 포함하며 이 통로(260)는 광 모니터링 시스템(140)이 챔버(100)의 내부 용접과 간섭되도록 하면서 통로(260) 내의 플라즈마 형성을 방지한다. 일 실시예에서, 통로(260)는 적어도 약 10 : 1, 예를 들면 14 : 1의 종횡비(높이 대 직경)를 가진다. 또 다른 실시예에서, 통로(260)는 DEBYE 길이 및/또는 전자 평균 자유 경로와 유사하거나 보다 작은 직경, 예를 들면 약 1.5 mm 보다 작은, 예를 들면 약 0.9 mm의 직경을 가진다. 또 다른 실시예에서, 통로(260)는 최고 약 60 퍼센트 개방 면적의 개방 면적을 형성한다. 또 다른 실시예에서, 약 37개의 통로(260)가 플러그(208)를 관통하여 형성된다.
플러그(208)에 형성된 통로(260)는 가스 분패판(210)에 형성된 계측 통공(262)과 정렬된다. 계측 통공(262)은 가스 분배판(210)의 중앙에 모여 있으며 가스 분배판(210)을 통하여 계측 신호의 효과적인 전달을 용이하게 하기에 적절한 개 방 면적, 밀도, 직경(또는 폭), 및 프로파일을 가진다. 일 실시예에서, 통공(262)의 개수 및 단면 프로파일은 통로(260)의 개수와 단면 프로파일과 유사하다. 윈도우(142)는 가스 유동 의미에서 통로(260, 262)를 안보이게 하지만 광 투과는 허용한다. 따라서, 통로(260, 262) 및 윈도우(142)는 광 관측 통로를 형성하는 구조물에 대한 플라즈마 손실 및 진공 손실 없이 챔버(100) 내의 광 모니터링 시스템(140)에 의한 광 모니터링을 용이하게 한다.
테이퍼진 시트(256)는 제 2 통공(264)을 리세스(258)로 결합하는 상부 플레넘 플레이트(204)에 형성된다. 테이퍼진 시트(256)는 도 3에 도시된 바와 같이 플러그(208)의 플레어형 섹션(flared section; 304)과 정합하도록 형성된다. 플레어형 섹션(304)은 플러그(208)의 긴 스템(306)과 헤드(302) 사이에 위치설정된다.
플러그(208)는 일반적으로 프로세스 화학물과 양립할 수 있는 재료로 제조된다. 일 실시예에서, 플러그(208)는 세라믹과 같은 유전 재료로 제조된다. 또 다른 실시예에서, 플러그(208)는 알루미늄이다.
상부 및 하부 플레넘 플레이트(204, 206)는 베이스(202)에 결합된다. 상부 플레넘 플레이트(204)는 또한 하부 플레넘 플레이트(206)에 결합된다. 일 실시예에서, 상부 플레넘 플레이트(204)는 다수의 핀(228)에 의해 하부 플레넘 플레이트(206)에 결합된다. 핀(228)의 단부는 상부 및 하부 플레넘 플레이트(204, 206)에 각각 형성된 구멍(230, 232)으로 삽입된다. 핀(228)은 록킹 합성물 또는 접착제에 의해 고정되거나, 마찰 조립을 위해 가압될 수 있다. 도 4의 단면도는 하부 플레넘 플레이트(206)에 형성된 구멍(232)으로부터 연장하는 핀(228)을 도시한다. 구 멍(230, 232)이 각각의 플레이트(204, 206)를 통하여 연장하지 않기 때문에, 핀(228) 둘레의 가스 누출이 방지된다.
도 5 내지 도 6의 단면도에 대해 부가적으로 참조하면, 가스 분배판(210)은 하부 플레넘 플레이트(206) 또는 베이스(202) 중 하나 이상에 결합된다. 일 실시예에서, 접착 층(502)은 그 사이에 다수의 고리형 플레넘(508)을 형성하는 방식으로 가스 분배판(210)을 하부 플레넘 플레이트(206)에 결합한다. 플레넘(508)은 공통 반경을 따라 또는 반경의 범위에 위치하는 통로(242)가 유동적으로 결합되도록 하여 미리한정된 반경 위치에서 샤워헤드 조립체(130)를 통과하는 가스의 유동 균일도를 강화한다.
일 실시예에서, 접착 층(502)은 다수의 접착 링(504)과 다수의 접착 비드(506)를 포함한다. 다수의 접착 링(504)은 플레넘(508)을 한정하도록 동심으로 배치된다. 다수의 접착 비드(506)는 또한 링(504)들 사이에 동심으로 배치된다. 비드(506)는 링(504)으로부터 이격되어 가스가 공통 플레넘(508)을 공유하는 통로(242)들 사이의 비드(506) 둘레로 유동할 수 있도록 한다.
도 2를 참조하면, 하부 플레넘 플레이트(206)에 형성된 통로(242)의 부분은 일반적으로 제 1 보어 구멍(244), 오리피스 구멍(246) 및 제 2 보어 구멍(248)을 포함한다. 제 1 보어 구멍(244)은 플레넘(220)(또는 218)으로 개방되어 가스가 통로(242)로 유입되는 것을 허용한다. 제 2 보어 구멍(248)은 챔버(100)의 내부 용적(106)으로 가스를 전달하기 위해 가스 분배판(210)을 통하여 형성된 구멍(250)과 정렬된다.
일 실시예에서, 보어 구멍(244, 248)은 통로(242)의 효율적인 제조를 용이하게 하도록 오리피스 구멍(246)에 대해 직경 및 깊이 둘다 실질적으로 더 크다. 도 2에 도시된 실시예에서, 제 1 보어 구멍(244)은 플레넘(220)(또는 218)에 대해 개방되고 챔버(100)의 내부 용적(106)에 대해 개방되는 제 2 보어 구멍(248) 보다 더 큰 직경 및 더 작은 종횡비를 가진다.
가스 분배판(210)은 편평한 디스크일 수 있다. 구멍(250)은 가스 분배판(210)의 중앙 영역의 외측 패턴에 공간적으로 분포된다. 구멍(250)의 하나의 세트는 외부 플레넘(136)에 유동적으로 결합되고 구멍(250)의 제 2 세트는 내부 플레넘(134)에 유동적으로 결합된다. 통로(242)의 부분이 되는 구멍(250)은 가스 분배판(210)을 통하여 챔버(100)의 내부 용적(106)으로의 가스 통로를 허용한다.
샤워헤드 조립체(130)의 유효 수명을 연장하기 위해, 가스 분배판(210)은 이트륨 또는 이들의 산화물 중 하나 이상으로 제조되거나 코팅된다. 일 실시예에서, 가스 분배판(210)은 벌크 이트륨 또는 이들의 산화물로 제조되어 불소가 첨가된 화학물에 대한 내성을 제공한다. 일 실시예에서, 가스 분배판(210)은 벌크 Y2O3 로 제조된다.
도 7은 샤워헤드 조립체(700)의 또 다른 실시예이다. 샤워헤드 조립체(700)는 샤워헤드 조립체(138)에 실질적으로 유사하고 광 계측을 용이하게 하도록 샤워헤드 조립체(700)를 통하여 형성된 통로(726) 내의 플라즈마 라이트-업(plasma light-up)을 방지하기 위해 플러그(708)를 가진다. 윈도우(142)는 가스 배리어로 서 통로(726)에 형성된다.
샤워헤드 조립체(700)는 플레넘 플레이트(704)에 결합되는 베이스 플레이트(702)를 포함한다. 플레넘 플레이트(704)는 그 안에 형성된 한 쌍의 고리형 그루브(712, 714)를 가지며 이 그루브는 내부 및 외부 플레넘(716, 718)을 형성하기 위해 베이스 플레이트(702)에 의해 한정된다. 가스는 가스 패널(158)로부터 각각의 포트(132', 132")를 통하여 플레넘(716, 718)으로 제공되어, 가스가 샤워헤드 조립체(700)로부터 챔버(100)의 내부 용적(106)으로 연장하는 각각의 영역(134, 136)에서 개별적으로 제어되는 것을 허용한다.
플레넘 플레이트(704)는 플러그(708)를 수용하기 위한 플러그 구멍(720)을 포함한다. 플러그 구멍(720)은 베이스(702)에 형성된 통공(706) 및 가스 분배판(710)에 형성된 계측 구멍(728)과 정렬되어 통로(726)를 형성한다. 플러그 구멍(720)은 일반적으로 윈도우(142) 및 테이퍼진 시트(724)를 수용하기 위한 리세스(722)를 포함한다. 테이퍼진 시트(724)는 플레넘 플레이트(704) 내에 플러그(708)가 위치하도록 플러그(708)의 플레어형 영역과 결합한다.
도 8은 가스 패널(158)로부터 프로세싱 챔버(100)로 전달되는 가스의 제어 및 루팅을 나타내는 일 실시예의 개략도이다. 가스 패널(158)은 대체로 혼합 매니폴드(810) 및 유동 제어기(814)에 결합되는 다수의 가스 소스를 포함한다.
일반적으로, 각각의 가스 소스로부터의 유동은 제어 밸브(808)에 의해 제어된다. 제어 밸브(808)는 소스로부터 제공되는 유체의 유동, 속도, 압력 등 중 하나 이상을 제어한다. 제어 밸브(808)는 하나의 밸브, 조절기 및/또는 다른 유동 제어 장치 보다 더 많이 포함할 수 있다.
일 실시예에서, 가스 패널(158)은 하나 이상의 직접 가스 소스(802), 하나 이상의 프로세싱 가스 패널(804) 및 하나 이상의 캐리어 가스 소스(806)를 포함한다. 프로세싱 가스 소스(804) 및 캐리어 가스 소스(806)는 개별 가스 라인에 의해 혼합 매니폴드(810)에 유동적으로 결합된다. 소스(804, 806)로부터 다양한 가스는 혼합 매니폴드(810)에서 예비 전달 가스 혼합물로 조합된다. 이와 같이, 혼합 매니폴드(810)에서 예비 전달 가스 혼합물의 구성은 각각의 밸브(808)를 선택적으로 개방함으로서 선택될 수 있어 캐리어 및 프로세스 가스(806, 804)의 예비결정된 조합물이 조합된다. 예를 들면, 프로세싱 가스 소스(804)로부터의 하나 이상의 프로세싱 가스, 및 선택적으로 캐리어 가스 소스(806)로부터의 하나 이상의 캐리어 가스는 어떠한 조합으로도 혼합 매니폴드(810)에서 조합될 수 있다. 예시적인 프로세싱 가스는 SiCl4, HBr, NF3, O2 및 SiF4 등을 포함한다. 예시적인 캐리어 가스는 N2, He, Ar, 프로세스로의 다른 불활성 가스 및 비활성 가스를 포함한다.
유동 제어기(814)는 제 1 가스 공급부(812)에 의해 혼합 매니폴드(810)에 결합된다. 유동 제어기(814)는 예비 전달 가스 혼합물을 개별 가스 공급 라인을 통하여 챔버(100)로 전달되는 부 혼합물로 분리하도록 구성된다. 일반적으로, 가스 공급 라인의 개수는 샤워헤드 조립체(130)에 형성된 영역(또는 격리된 플레넘)의 개수에 비례한다. 도 8에 도시된 실시예에서, 두 개의 가스 공급 라인(816, 818)은 각각의 유입 포트(132', 132")에 유동 제어기(814)를 결합한다.
유동 제어기(814)는 대체로 각각의 공급 라인(816, 818)에서 유동하는 부 혼합물의 비율을 제어하도록 구성된다. 이러한 방식으로, 각각의 영역으로, 그리고 기판(144)의 각각의 영역에 근접하여 유동하는 가스 부 혼합물의 비율이 제어될 수 있다. 유동 제어기(814)는 전자 또는 기계 장치를 이용하여 예비 전달 가스 혼합물을 분리할 수 있다. 일 실시예에서, 유동 제어기(814)는 제어기(150)로부터의 신호에 반응하여 비율을 동적으로 제어할 수 있어, 상기 비율이 기판의 배치(batch)들 사이, 기판들 사이에서 변화할 수 있도록 하고 및/또는 단일 기판을 인-시츄(in-situ) 프로세싱한다. 또 다른 실시예에서, 유동 제어기(814)는 상기 비율이 라인(816, 818) 사이에서 고정되도록 설정된다. 비율은 유동 제어기(814)에 배치되는 하나 이상의 오리피스에 의해 설정될 수 있어 제 1 가스 공급부(812)로부터의 유동이 가스 공급 라인들(816, 818) 사이에서 우선적으로 분리된다.
일 실시예에서, 유동 제어기(814)는 외부 영역(136) 보다 내부 영역(134)으로 더 많은 가스를 제공한다. 또 다른 실시예에서, 유동 제어기(814)는 내부 영역(134) 보다 외부 영역(136)으로 더 많은 가스를 제공한다. 또 다른 실시예에서, 유동 제어기(814)는 기판 프로세싱의 제 1 기간 동안 외부 영역(136) 보다 내부 영역(134)으로 더 많은 가스를 제공하고 그리고나서 기판을 인 시츄 프로세싱하는 비율을 변화시켜 기판 프로세싱의 제 2 기간 동안 더 많은 가스를 내부 영역(134) 보다 외부 영역(136)으로 제공한다. 유동 제어기(814)가 다른 시컨스 또는 비율에서 프로세스 챔버(100)에서의 상이한 영역으로 전달되는 유동들 사이의 비율을 변화시키도록 구성된다.
직접 주입 가스가 가스 패널(158)의 직접 주입 가스 소스(802)로부터 프로세스 챔버(100)의 내부 용적(106)으로 제공된다. 직접 주입 가스 소스(802)로부터 유동하는 직접 주입 가스는 밸브(808)에 의해 제어된다.
일 실시예에서, 직접 주입 가스는 가스 공급부(816, 818) 중 하나 이상에 공급된다. 또 다른 실시예에서, 직접 주입 가스는 각각 가스 공급 라인(816, 818)으로 공급되는 두 개의 직접 공급 라인(820, 822)으로 공급된다. 또 다른 실시예에서, 직접 주입 가스는 유입 포트(132', 132")로 결합되는 가스 공급부 중 하나 이상에 제공된다. 또 다른 실시예에서, 직접 주입 가스는 샤워헤드 조립체(130)(700)의 플레넘들(218, 220)(716, 718) 중 하나 이상에 제공된다.
도 8에 도시된 실시예에서, 동일한 양의 직접 주입 가스는 각각의 영역(134, 136)으로 제공된다. 선택적으로, 제 2 유동 제어기(824)(점선으로 도시되고 유동 제어기(814)와 유사함)는 각각의 영역(134, 136)으로 상이한 비율의 직접 주입 가스를 제공하기 위해 이용될 수 있다.
도 1을 다시 참조하면, 기판 지지 조립체(148)는 샤워헤드 조립체(130) 아래 프로세싱 챔버(100)의 내부 용적(106)에 배치된다. 기판 지지 조립체(148)는 프로세싱동안 기판(144)을 유지한다. 기판 지지 조립체(148)는 일반적으로 기판 조립체를 통하여 배치되는 다수의 리프트 핀(도시안됨)을 포함하며 이 핀은 지지 조립체(148)로부터 기판을 상승시키고 종래 방식으로 로봇(도시안됨)으로 기판(144)의 교환을 용이하게 하도록 구성된다.
일 실시예에서, 기판 지지 조립체(148)는 장착판(162), 베이스(164) 및 정전 척(166)을 포함한다. 장착판(162)은 유체, 전력 라인 및 센서 리드 등과 같은 유틸리티(utility)를 베이스(164) 및 척(166)으로 루팅하기 위한 통로를 포함하는 챔버 바디(102)의 바닥(110)에 결합된다.
베이스(164) 또는 척(166) 중 하나 이상은 하나 이상의 선택적인 매립 히터(176), 하나 이상의 선택적인 매립 절연체(174) 및 다수의 도관을 포함하여 지지 조립체(148)의 측방향 온도 프로파일을 제어할 수 있다. 도 1에 도시된 실시예에서, 하나의 고리형 절연체(174) 및 두 개의 도관(168, 170)은 베이스(164)에 배치되고, 저항성 히터(176)는 척(166)에 배치된다. 도관은 이를 통과하는 온도 조절 유체를 순환시키는 유체 소스(172)에 유동적으로 결합된다. 히터(176)는 전력 소스(178)에 의해 조절된다. 도관(168, 170) 및 히터(176)는 베이스(164)의 온도를 제어하기 위해 이용되어, 정전 척(166)을 가열 및/또는 냉각하여, 적어도 부분적으로 정전 척(166)에 배치된 기판(144)의 온도를 제어한다.
베이스(164)에 형성된 두 개의 개별 냉각 통로(168, 170)는 두 개 이상의 독립적으로 제어가능한 온도 영역을 형성한다. 부가 냉각 통로 및/또는 통로의 레이아웃(layout)은 부가 온도 제어 영역을 형성하도록 배치될 수 있다. 일 실시예에서, 제 1 냉각 통로(168)는 제 2 냉각 통로(170)의 반지름방향 내측으로 배치되어 온도 냉각 영역들이 동심이 된다. 통로(168, 170)는 반지름방향으로 배향되거나 다른 기학학적 형상을 가진다. 냉각 통로(168, 170)는 온도 제어 열 전달 유체의 단일 소스(172)에 결합될 수 있거나, 개별 열 전달 유체 소스에 각각 결합될 수 있다.
절연체(174)는 베이스(164)의 인접한 영역의 재료 보다 상이한 열 전도 계수를 가지는 재료로 형성된다. 일 실시예에서, 절연체(174)는 베이스(164) 보다 더 작은 열 전도 계수를 가진다. 추가의 일 실시예에서, 절연체(174)는 이방성(즉, 방향 종속성) 열 전도 계수를 가지는 재료로 형성될 수 있다. 절연체(174)는 열 전달 경로에 절연체를 가지지 않는 베이스(164)의 이웃하는 부분을 통한 열 전달의 비율에 대해 베이스(164)를 통하여 도관(168, 170)으로의 지지 조립체(148) 사이의 열 전달 비율을 국부적으로 변화시키는 기능을 한다. 절연체(174)는 제 1 및 제 2 냉각 통로(168, 170) 사이에 측방향으로 배치되어 기판 지지 조립체(148)를 통하여 형성되는 온도 제어 영역들 사이의 강화된 단열을 제공한다.
도 1에 도시된 실시예에서, 절연체(174)는 도관들(168, 170) 사이에 배치되어 측방향 열 전달을 제지하여 기판 지지 조립체(148)에 걸친 측방향 온도 제어 영역을 증진시킨다. 따라서, 삽입물의 열 전달의 계수, 위치, 크기, 형상 및 개수를 조절함으로써, 정전 척(166)의 온도 프로파일, 및 정전 척 위에 놓이는 기판(144)이 제어될 수 있다. 비록 절연체(174)가 고리형 링으로서 형성되어 도 1에 도시되어 있지만, 절연체(174)의 형상은 어떠한 다수의 형상도 가질 수 있다.
선택적인 열 전도 페이스트 또는 접착제(도시안됨)는 베이스(164)와 정전 척(166) 사이에 배치될 수 있다. 전도성 페이스트는 정전 척(166)과 베이스(164) 사이의 열 교환을 촉진시킨다. 일 실시예에서, 접착제는 정전 척(166)을 베이스(164)에 기계적으로 본딩한다. 이와 달리, 기판 지지 조립체(148)는 정전 척(166)을 베이스(164)에 고정하는 하드웨어(도시안됨)(예를 들면, 클램프, 나사 등)를 포 함할 수 있다.
정전 척(166) 및 베이스(164)의 온도는 다수의 센서를 이용하여 모니터링한다. 도 1에 도시된 실시예에서, 제 1 온도 센서(190) 및 제 2 온도 센서(192)는 반지름방향으로 이격된 배향으로 도시되어 있어 제 1 온도 센서(190)는 제어기(150)에 지지 조립체(148)의 중앙 영역의 온도의 미터법의 측정을 제공하고 제 2 온도 센서(192)는 제어기(150)에 지지 조립체(148)의 주변 영역의 온도의 미터법의 측정을 제공한다.
정전 척(166)은 베이스(164) 상에 배치되고 커버 링(146)에 의해 제한된다. 정전 척(166)은 알루미늄, 세라믹 또는 프로세싱 동안 기판(144)을 지지하기에 적절한 다른 재료로 제조될 수 있다. 일 실시예에서, 정전 척(166)은 세라믹이다. 이와 달리, 정전 척(166)은 진공 척, 기계적 척, 또는 다른 적절한 기판 지지부로 대체될 수 있다.
정전 척(166)은 일반적으로 세라믹 또는 유사 유전 재료로 형성되고 척킹 전력 소스(chucking power source; 182)를 이용하여 제어되는 하나 이상의 클램핑 전극(180)을 포함한다. 전극(180)(척(166) 또는 베이스(164)에 배치된 다른 전극)은 프로세스로부터 형성된 플라즈마 및/또는 프로세싱 챔버(100) 내의 다른 가스를 유지하기 위한 하나 이상의 RF 전력 소스에 추가로 결합될 수 있다.
도 1에 도시된 실시예에서, 전극(180)은 정합 회로(188)를 통하여 제 1 RF 전력 소스(184) 및 제 2 RF 전력 소스(186)에 결합된다. 소스(184, 186)는 일반적으로 약 50 kHz 내지 약 3 GHz의 주파수 및 최고 약 10,000 Watts의 전력를 가지는 RF 신호를 생성할 수 있다. 정합 네트워크(188)는 소스(184, 186)의 임피던스를 플라즈마 임피던스에 일치시킨다. 신호 공급부는 양 소스(184, 186)로부터 전극(180)으로 에너지를 연결한다. 이와 달리, 각각의 소스(184, 186)는 개별 공급부를 경유하여 전극(180)으로 결합될 수 있다.
정전 척(166)은 또한 전력 소스(178)에 의해 제어되는 하나 이상의 매립 히터(176)를 포함할 수 있다. 정전 척(166)은 그루브와 같은 다수의 가스 통로(도시안됨)를 더 포함할 수 있으며, 상기 가스 통로는 척의 기판 지지면에 형성되고 열 전달(또는 배면) 가스의 소스에 유동적으로 결합된다. 작동 중, 배면 가스(예를 들면, 헬륨(He))는 제어 압력으로 가스 통로로 제공되어 정전 척(166)과 기판(144) 사이의 열 전달을 강화한다. 통상적으로, 정전 척의 적어도 기판 지지면(176)은 기판을 프로세싱하는 동안 이용되는 온도 및 화학물에 대한 내성을 가지는 코팅이 제공된다.
도 12는 리프트 핀 가이드 조립체(1200)의 일 실시예를 도시하는 기판 지지 조립체(148)의 부분 단면도이다. 통상적으로, 3개 이상의 리프트 핀 가이드 조립체(1200)가 기판 지지 조립체(148)에 이용된다.
리프트 핀 가이드 조립체(1200)는 주로 기판 지지 조립체(148)의 베이스(164)에 형성된 스텝형 구멍(1204)에 배치된다. 스텝형 구멍(1204)은 정전 척(166)을 통하여 형성된 구멍(1202)과 정렬된다. 리프트 핀 가이드 조립체(1200)의 일 부분은 구멍(1202)으로 연장되어 베이스(164)와 척(166) 사이에 정렬 미세구조물을 제공한다.
리프트 핀 가이드 조립체(1200)는 일반적으로 가이드(1210) 및 리테이너(1218)를 포함한다. 탄성 부재(1220)는 가이드(1210)와 리테이너(1218) 사이에 배치되어 기판 지지 조립체(148)로 리프트 핀 가이드 조립체(1200)의 조립시 쿠션을 제공하고 조립체(148, 1200)의 열 팽창 및 수축을 수용한다. 일 실시예에서, 탄성 부재(1220)는 프로세스 화학물 및 프로세스 환경에 양립할 수 있는 탄성 부재로 이루어진 O-링이다.
가이드(1210)는 상부 보스(1240) 및 하부 보스(1228)를 가지는 바디(1216)를 포함한다. 가이드(1210)는 또한 리프트 핀 가이드 조립체(1200)를 통하여 리프트 핀(도시안됨)의 통과를 수용하는 동심의 제 1 및 제 2 보어(1224, 1226)를 포함한다. 상부 보스(1240)는 베이스(164)와 직면하는 정전 척(166)의 표면에 형성된 리세스(1238)로 연장하여 결합된다. 하부 보스(1228)는 리테이너(1218)로부터 연장하는 슬리브(1230)에 의해 한정되어 결합된다. 하부절개부(1221)는 하부 보스(1228)와 바디(1216) 사이에 배치되어 탄성 부재(1220)를 유지한다.
리테이너(1218)는 슬리브(1230)로부터 연장하는 나사부(1232)를 포함한다. 나사부(1232)는 드라이브 미세구조물(1234)을 포함하여 베이스(164)로의 리테이너(1218)의 나사결합을 용이하게 한다. 드라이브 미세구조물(1234)은 슬롯, 필립스 드라이브(Phillips drive), 렉스, 스패너 구멍 또는 리테이너(1218)를 회전시키기 위한 다른 미세구조물일 수 있다. 리테이너(1218)를 통하여 형성된 통로(1236)는 가이드(1210)의 보어(1224, 1226)와 정렬되어 리프트 핀을 수용한다.
베이스(164)에 형성된 스텝형 구멍(1204)은 주 구멍(1208), 스텝(1206) 및 유입 구멍(1212)을 포함한다. 스텝(1208)은 주 구멍(1208)으로 연장하고 유입 구멍(122)은 리테이너(1218)와 결합하기 위한 나사부(1214)를 포함한다. 베이스(164)의 나사부(1232)를 리테이너(1218)로 조일 때, 리테이너(1218)는 베이스(164)에 형성된 나사형 구멍(1204)의 스텝(1206)에 대해 바디(1216)를 편향시키는 탄성 부재(1220)와 접촉하여, 기판 지지 조립체(148)의 베이스(164)에 리프트 핀 가이드 조립체(1200)를 고정한다.
도 9 내지 도 10은 외부 라이너(116)의 일 실시예의 분해 사시도 및 단면도이다. 외부 라이너(116)는 플라즈마 또는 불소 저항 재료로 제조 및/또는 코팅될 수 있다. 일 실시예에서, 외부 라이너(116)는 알루미늄으로 제조된다. 또 다른 실시예에서, 외부 라이너(116)는 이트륨, 이트륨 합금 또는 이들의 산화물로 제조되거나 코팅된다. 또 다른 실시예에서, 외부 라이너(116)는 벌크 Y2O3로 제조된다. 내부 라이너(118)는 동일한 재료로 제조될 수 있다.
도 9 내지 도 10에 도시된 실시예에서, 외부 라이너(116)는 상부 라이너(902) 및 하부 라이너(904)를 포함한다. 하부 라이너(904)의 상부 에지(908)는 상부 라이너(902)의 하부 에지(910), 예를 들면 래빗 조인트(rabbit joint)와 정합하도록 구성된다.
하부 라이너(904)는 일반적으로 측벽(108)의 내부면(112)에 대해 꼭 맞게 조립되게 구성되는 중공형 실런더이다. 하부 라이너(904)는 내부 용적(106)을 펌프 다운 및 배출을 용이하게 하도록 챔버 바디(102)의 배출 포트(126)와 정렬되는 노 치 또는 포트(906)를 포함한다.
상부 라이너(902)는 상부로부터 연장하는 플랜지(912)를 가지는 바디(914)를 포함한다. 플랜지(912)는 일반적으로 형태가 다각형이고 본 명세서에서 설명된 실시예에서, 다각형 플랜지(912)의 인다이스(indice)는 약 45도 각도로 절단된다.
바디(914)는 일반적으로 형태가 원통형이며 내부 벽(916) 및 외부 벽(934)을 가진다. 립(918)은 내부 벽(916)으로부터 내측으로 연장하고 챔버(100)에 설치되면 샤워헤드 조립체(130)용 지지 랜드를 제공한다. O-링 그루브(920)는 립(918)에 형성되어 샤워헤드 조립체(130)와의 가스 밀봉을 제공한다.
통공(928)은 상부 라이너(902)의 바디(914)에 제공될 수 있어 챔버 바디(102)에 형성된 윈도우(도시안됨)를 통하여 내부 용적(106)의 시각적 검사를 허용한다. 통공(928)을 둘러싸는 상부 라이너((02)의 외부 벽(934)의 부분은 제거가능한 윈도우 삽입부((24)에 의해 덮혀질 수 있다. 윈도우 삽입부(924)는 다수의 패스너((26)에 의해 상부 라이너(902)의 함몰부(도시안됨)에 고정되어 삽입부(924)와 외부 벽(934)이 동일 높이가 된다. 따라서, 윈도우 삽입부(924)의 보호 코팅이 윈도우/챔버 바디 인터페이스로 접촉되어 마모될 때, 윈도우 삽입부(924)는 보호 코팅이 파손되어 외부 라이너(116)의 베이스 재료가 노출되기 전에 교체될 수 있다.
슬롯(938)은 실린더(914)에 형성되어 챔버(100)로 및 챔버(100)로부터의 기판의 통과를 허용한다. 리세스(932)는 슬롯(938)을 둘러싸는 상부 라이너(902)의 외부 벽(934)에 형성된다. 제거가능한 도어 삽입부(930)는 슬롯(938) 위에 배치되어 슬릿 밸브 포트와 접촉함으로써 발생되는 마모로부터 라이너(902)의 표면을 보 호한다. 삽입부(930)는 상부 라이너(902)에 형성된 슬롯(938)과 정렬되어 외부 라이너(116)를 통한 기판 통과를 용이하게 하는 슬롯(940)을 가진다. 삽입부는 다수의 패스너(936)에 의해 리세스(932)에 고정되어 삽입부(930) 및 외부 벽(934)이 높이가 동일하게 된다. 따라서, 삽입부(930)의 보호 코팅이 슬릿 밸브 포트/챔버 바디 인터페이스와의 접촉으로부터 마모되기 때문에, 삽입부(930)는 보호성 코팅이 파손되어 외부 라이너(116)의 베이스 재료를 노출하기 전에 교체될 수 있다. 삽입부(924, 930)는 일반적으로 라이너와 동일한 재료로 제조 및/또는 코팅된다.
도 11은 기판 지지 조립체(148)의 외측 상부면을 덮는 커버 링(146)과 결합되는 내부 라이너(118)의 일 실시예를 도시한다. 내부 라이너(118)는 일반적으로 대 직경 상부 섹션(1140) 및 소 직경 하부 섹션(1142)을 포함한다. 경사진 섹션은 라이너(188)의 외부 직경에 형성되어 대 직경 상부 섹션(1140)과 소 직경 하부 섹션(1142)을 결합한다.
플랜지(1132)는 섹션(1140, 1142)의 접합부로부터 내측으로 연장된다. 플랜지(1132)는 기판 지지 조립체(148)를 구비한 내부 라이너(118)에 위치하여 바닥면(1134)을 가진다. O-링 그루브(1136)는 내부 라이너(118)를 밀봉하도록 플랜지(1132)의 상부면에 형성된다.
커버 링(146)은 기판 지지 조립체(148) 상에 배치되어 내부 라이너(118)의 상단부(1128)가 삽입된다. 커버 링(146)은 대체로 플라즈마 및/또는 화학물 내성 재료로 형성 및/또는 코팅되는 고리형 바디(1102)를 가진다. 일 실시예에서, 커버 링(146)은 이트륨 또는 이의 산화물로 제조 및/또는 코팅된다. 일 실시예에서, 가 스 커버 링(146)은 벌크 이트륨으로 제조되어 불소 화학물에 대한 내성을 제공한다. 또 다른 실시예에서, 커버 링(146)은 석영으로 제조된다.
바디(1102)는 일반적으로 상부면(1104) 및 바닥면(1126)을 가진다. 제 1 릿지(1118), 제 2 릿지(1122) 및 제 3 릿지(1120)는 바디(1102)의 바닥면(1126)으로부터 하방으로 연장한다. 도 11에 도시된 실시예에서, 릿지(1118, 1122, 1120)는 동심 링이다.
제 1 및 제 2 릿지(1118, 1122)는 커버 링(146)의 내측부에 배치되고 내부 라이너(118)의 상단부(1128)를 포획하는 슬롯을 형성한다. 제 1 릿지(1118)는 제 2 릿지(1122) 보다 바디(1102)로부터 더 연장한다. 제 3 릿지(1120)는 또한 제 2 릿지(11220 보다 바디(1102)로부터 더 연장한다. 제 3 릿지(1120)는 기판 지지 조립체(148)에 형성된 슬롯(1180)으로 연장하여 커버 링(146)과 지지 조립체(148) 사이의 배향을 고정한다.
탭(1116)은 제 3 릿지(1120) 근처의 바디(1102)로부터 내측 반지름방향으로 연장한다. 탭(1116)은 정전 척(166)의 상부면(1152)과 실질적으로 공동 평면에 있는 상부면(1150)을 포함한다. 기판(도 11에 도시안됨)의 주변부는 기판이 지판 지지 조립체(148)에 배치될 때 탭(1116)의 상부면(1150)과 정전 척(166) 사이인 인터페이스를 덮는다.
내부 벽(1114)은 바디(1102)의 상부면(1104) 및 탭(1116) 사이에 배치된다. 내부 벽(1114)은 탭(1116)의 내경 보다 더 큰 직경을 가진다. 통상적으로, 내부 벽(1114)의 내경은 기판과 적절한 유극(clearance)를 제공하도록 선택된다.
바디(1102)의 상부면(1104)은 일반적으로 내부 영역(1110) 및 외부 영역(1108)을 포함한다. 내부 영역(1110)은 외부 영역(1108)에 대해 상승한다. 내부 여역(1110)은 상부면(1104)의 외부 영역(1108)에 대해 평행하게 배향될 수 있다. 도 11에 도시된 실시예에서, 경사진 영역(1112)은 상부면(1104)의 내부 및 외부 영역(1110, 1108) 사이에 변화부를 형성한다.
도 11은 또한 정전 척(166)의 일 실시예를 상세하게 도시하고 있다. 정전 척(166)은 척의 하부면(1198)과 상부면(1152) 사이에 형성된 스텝형 외경 표면을 포함한다. 스텝형 외경은 일반적으로 상부벽(1188), 중간벽(1192) 및 하부벽(1196)을 포함한다. 벽(1188, 1192, 1196)은 일반적으로 수직하며 상부벽(1188)이 중간벽(1192) 보다 더 짧다. 중간벽(1192)은 하부벽(1196) 보다 더 짧다. 상부벽(1188)은 상부면(1152)에서 시작하고 상부 릿지(1192)에 대해 하방으로 연장한다. 상부 릿지(1190)는 상부벽(1188)을 중간벽(1192)으로 연결한다. 하부 릿지(1194)는 중간벽(1192)과 하부벽(1196)을 연결한다. 하부벽(1196)은 바닥벽(1198)에 연결한다. 릿지(1190, 1194)는 수평하며 하부 릿지(1194)가 상부 릿지(1190) 보다 크다. 벽(1188, 1192, 1196) 및 릿지(1190, 1192)에 의해 형성된 스텝형 외경은 기판 지지 조립체(148) 상에 미리결정된 위치에서 커버 링과 정합되어 유지한다.
작동중, 프로세싱 챔버(100)는 기판에 고종횡비 미세구조물을 에칭하기 위해 이용될 수 있다. 일 실시예에서, 기판 상에 배치되는 고종횡비 트렌치를 에칭하는 방법이 챔버(100)에서 수행될 수 있다. 실린콘 층은 종래에 실시된 바와 같이 패턴형 마스크에 덮여진다. 이 방법은 약 0 내지 약 300 milliTorr(mT) 사이로 챔버 압력을 조절함으로써 시작된다. 기판은 바이어스 전력의 약 500 내지 약 2800 Watt(W)로 바이어싱된다. 일 실시예에서, 바이어스 전력은 약 2 MegaHertz(MHz)의 주파수로 인가된다.
샤워헤드 조리체의 다중 가스 유동 영역을 통하여 제공되는 가스로부터 형성된 플라즈마는 기판 지지 조립체에 대해 약 50 내지 약 2800 W의 인가에 의해 유지된다. 일 실시예에서, 전력은 60 MHz로 인가된다. B-자기장(magnetic B-field)은 약 0 내지 약 140 Gauss(G) 사이를 가지며 챔버를 가로질러 인가된다. 실리콘층은 마스크내의 개구를 통하여 플라즈마 에칭되어 최고 약 80 : 1 까지의 종횡비를 가진다.
프로세스 혼합물, 직접 주사 및 불활성 가스가 플라즈마 에칭을 위해 챔버에 제공된다. 혼합물은 HBr, NF3, O2, SiF4 , SiCl4 및 Ar 중 하나 이상을 포함한다. 일 실시예에서, 혼합 매니폴드에 제공되는 프로세스 가스는 HBr, NF3를 포함하고 O2, SiF4, 및 SiCl4는 선택적으로 제공될 수 있다. 일 실시예에서, 약 50 내지 약 500 sccm 사이의 HBr, 약 10 내지 약 200 sccm 사이의 NF3, 약 0 내지 약 200 sccm 사이의 O2, 약 0 내지 약 200 sccm 사이의 SiF4, 약 0 내지 약 200 sccm 사이의 SiCl4, 및 약 0 내지 약 200 sccm 사이의 Ar이 300 mm 기판을 에칭하기에 적절한 프로세스를 위해 혼합 매니폴드에 제공된다. 혼합 가스는 미세구조물 밀도, 크기 및 측방향 위치에 비례하여 선택된 유량으로 플레넘에 제공된다. SiCl4는 혼합 매니폴드를 우회하여 샤워헤드 조립체의 플레넘에 제공되는 직접 주입 가스로서 이용될 수 있다.
위에서 설명된 프로세싱 챔버는 기판의 표면을 가로질러 유용한 균일도를 가지고 고종횡비 미세구조물 챔버를 에칭하는 것이 설명되었다. 위에서 설명된 프로세싱 챔버와 종래의 프로세싱 챔버에서 수행된 에칭 실리콘 프로세시 사이의 비교 데이터는 에지 대 중앙 종횡비 균일도의 개선을 보여준다. 종래의 시스템은 약 1.35의 에지 대 중앙 종횡비를 가질 수 있으며 위에서 설명된 프로세싱 챔버는 약 1.04의 에지 대 중앙 종횡비를 가져서 본 고안의 챔버가 차세대 장치의 제조에 적절하게 된다.
본 고안의 실시예들에 대해 설명하였지만 본 고안의 다른 추가 실시예들이 본 고안의 기본적 범위로부터 이탈하지 않고 고안될 수 있으며 본 고안의 범위는 다음의 청구범위들에 의해 결정된다.
본 고안의 실시예는 고종횡비 미세구조물을 에칭하기에 적절한, 프로세싱 챔버와 같은 장치를 제공한다.

Claims (20)

  1. 반도체 프로세싱 챔버의 내부 벽의 적어도 일 부분을 덮기에 적절한 라이너로서,
    상부 에지 및 하부 에지를 가지는 원통형 바디,
    상기 바디의 상부 에지로부터 외측 반지름방향으로 연장하는 플랜지,
    상기 바디의 상부면에 형성된 리세스, 및
    상기 리세스에 배치되고 상기 바디에 형성되는 슬롯을 포함하는,
    라이너.
  2. 제 1 항에 있어서,
    상기 바디의 내부면으로부터 내측 반지름방향으로 연장하는 립을 더 포함하는,
    라이너.
  3. 제 2 항에 있어서,
    상기 립은 O-링 그루브가 형성되는 상부면을 더 포함하는,
    라이너.
  4. 제 1 항에 있어서,
    상기 리세스는 다수의 장착 구멍을 더 포함하는,
    라이너.
  5. 제 1 항에 있어서,
    상기 바디의 하부 에지는 제 2 라이너와 정합되도록 구성된 결합 미세구조물을 더 포함하는,
    라이너
  6. 제 5 항에 있어서,
    상기 결합 미세구조물은 래빗 조인트의 반부(half)를 더 포함하는,
    라이너.
  7. 제 1 항에 있어서,
    상기 바디를 관통 형성하는 통공을 더 포함하는,
    라이너.
  8. 제 7 항에 있어서,
    상기 바디는 상기 통공이 배치되는 함몰부를 더 포함하는,
    라이너.
  9. 제 1 항에 있어서,
    상기 바디는 알루미늄을 더 포함하는,
    라이너.
  10. 제 9 항에 있어서,
    상기 라이너는 Y2O3로 코팅되는,
    라이너.
  11. 제 1 항에 있어서,
    상기 바디의 내부면은 Y2O3로 코팅되는,
    라이너.
  12. 제 1 항에 있어서,
    상기 바는 이트륨 및 이의 산화물 중 하나 이상으로 제조 또는 코팅되는,
    라이너.
  13. 제 1 항에 있어서,
    상기 결합 미세구조물은 래빗 조인트의 반부를 더 포함하는,
    라이너.
  14. 제 1 항에 있어서,
    상기 플랜지는 다각형상인,
    라이너.
  15. 제 14 항에 있어서,
    상기 다각형상 플랜지는 챔퍼가공된 인다이스를 더 포함하는,
    라이너.
  16. 반도체 프로세싱 챔버의 내부 벽의 적어도 일 부분을 덮기에 적절한 라이너로서,
    상부 에지 및 하부 에지를 가지는 원통형 바디,
    상기 바디의 상부 에지로부터 외측 반지름방향으로 연장하는 플랜지,
    상기 하부 에지에 형성되고 제 2 라이너와 정합되도록 구성되는 결합 미세구조물,
    상기 바디의 외부면에 형성되는 리세스, 및
    상기 리세스에 배치되고 상기 바디를 관통 형성하는 슬롯을 포함하며,
    상기 바디는 이트륨 및 이의 산화물 중 하나 이상으로 제조 또는 코팅되는,
    라이너.
  17. 제 16 항에 있어서,
    상기 바디의 상부면으로부터 내측 반지름방향으로 연장하고 O-링이 형성되는 상부면을 가지는 립을 더 포함하는,
    라이너.
  18. 제 16 항에 있어서,
    상기 리세스는 다수의 장착 구멍을 더 포함하는,
    라이너.
  19. 제 16 항에 있어서,
    상기 플랜지는 다각형상이며 챔퍼가공된 인다이스를 포함하는,
    라이너.
  20. 반도체 프로세싱 챔버의 내부 벽의 적어도 일 부분을 덮기에 적절한 라이너로서,
    상부 에지, 하부 에지, 외부 벽 및 내부 벽을 가지는 원통형 알루미늄 바디,
    상기 바디의 내부 벽에 배치되는 이트륨 또는 이의 산화물 중 적어도 하나의 코팅부,
    상기 바디의 상부 에지로부터 외측 반지름방향으로 연장하는 플랜지,
    상기 하부 에지에 형성되고 제 2 라이너와 정합되도록 구성되는 스텝형 결합 미세구조물,
    상기 바디의 내부면으로부터 내측 반지름방향으로 연장하고 O-링 그루브가 형성되는 상부면을 가지는 립,
    상기 바디의 외부면에 형성되고 다수의 장착 구멍을 가지는 리세스,
    상기 바디의 외부 벽에 형성되는 함몰부,
    상기 함몰부에 배치되고 상기 바디를 통하여 형성되는 통공, 및
    상기 리세스에 배치되고 상기 바디를 통하여 형성되는 슬롯을 포함하는,
    라이너.
KR2020060022370U 2006-05-03 2006-08-21 삽입부 없이 고종횡비 미세구조물을 에칭하기에 적절한상부 챔버 라이너 KR200431206Y1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR2020060022370U KR200431206Y1 (ko) 2006-05-03 2006-08-21 삽입부 없이 고종횡비 미세구조물을 에칭하기에 적절한상부 챔버 라이너

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/381,523 2006-05-03
US11/421,208 2006-05-31
KR2020060022370U KR200431206Y1 (ko) 2006-05-03 2006-08-21 삽입부 없이 고종횡비 미세구조물을 에칭하기에 적절한상부 챔버 라이너

Publications (1)

Publication Number Publication Date
KR200431206Y1 true KR200431206Y1 (ko) 2006-11-23

Family

ID=41779568

Family Applications (1)

Application Number Title Priority Date Filing Date
KR2020060022370U KR200431206Y1 (ko) 2006-05-03 2006-08-21 삽입부 없이 고종횡비 미세구조물을 에칭하기에 적절한상부 챔버 라이너

Country Status (1)

Country Link
KR (1) KR200431206Y1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009075544A2 (en) * 2007-12-13 2009-06-18 Triple Cores Korea A liner for semiconductor chamber
KR200462383Y1 (ko) 2007-09-28 2012-09-07 어플라이드 머티어리얼스, 인코포레이티드 원자층 증착 챔버 및 부품들
KR20140018939A (ko) * 2011-03-22 2014-02-13 어플라이드 머티어리얼스, 인코포레이티드 화학 기상 증착 챔버를 위한 라이너 조립체

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR200462383Y1 (ko) 2007-09-28 2012-09-07 어플라이드 머티어리얼스, 인코포레이티드 원자층 증착 챔버 및 부품들
WO2009075544A2 (en) * 2007-12-13 2009-06-18 Triple Cores Korea A liner for semiconductor chamber
KR100906392B1 (ko) 2007-12-13 2009-07-07 (주)트리플코어스코리아 반도체 챔버 라이너
WO2009075544A3 (en) * 2007-12-13 2009-09-11 Triple Cores Korea A liner for semiconductor chamber
KR20140018939A (ko) * 2011-03-22 2014-02-13 어플라이드 머티어리얼스, 인코포레이티드 화학 기상 증착 챔버를 위한 라이너 조립체
KR101884003B1 (ko) 2011-03-22 2018-07-31 어플라이드 머티어리얼스, 인코포레이티드 화학 기상 증착 챔버를 위한 라이너 조립체

Similar Documents

Publication Publication Date Title
US9991109B2 (en) Apparatus for etching high aspect ratio features
US8475625B2 (en) Apparatus for etching high aspect ratio features
TWI720793B (zh) 具有減少的背側電漿點火的噴淋頭
US6403491B1 (en) Etch method using a dielectric etch chamber with expanded process window
KR101522251B1 (ko) 고 종횡비 피쳐들을 식각하기에 적합한 식각 반응기
US6716302B2 (en) Dielectric etch chamber with expanded process window
JP6088493B2 (ja) プラズマエッチングリアクタのセラミックシャワーヘッドのためのガス分配システム
KR101514942B1 (ko) 반도체 장치의 rf 전력 전달 시스템
KR20080098675A (ko) 경사면 증착을 줄이기 위한 하드웨어 개발
TW201401431A (zh) 具有冷卻底座之靜電夾盤
US20060037702A1 (en) Plasma processing apparatus
WO2002037541A2 (en) Etch chamber for etching dielectric layer with expanded process window
KR200431206Y1 (ko) 삽입부 없이 고종횡비 미세구조물을 에칭하기에 적절한상부 챔버 라이너
KR200427163Y1 (ko) 높은 종횡비 피쳐의 에칭에 적합한 기판 지지부
KR20040054081A (ko) 정전척 및 이를 구비한 반도체 소자 제조장치

Legal Events

Date Code Title Description
REGI Registration of establishment
FPAY Annual fee payment

Payment date: 20120927

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130927

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140929

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee