CN105185729A - 适合于蚀刻高纵横比特征的真空处理室 - Google Patents

适合于蚀刻高纵横比特征的真空处理室 Download PDF

Info

Publication number
CN105185729A
CN105185729A CN201510428987.1A CN201510428987A CN105185729A CN 105185729 A CN105185729 A CN 105185729A CN 201510428987 A CN201510428987 A CN 201510428987A CN 105185729 A CN105185729 A CN 105185729A
Authority
CN
China
Prior art keywords
liner
recess
pedestal
gas
yttrium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510428987.1A
Other languages
English (en)
Other versions
CN105185729B (zh
Inventor
夏尔玛·帕玛锡
赫蒂·道
小平·周
凯丽·A·麦克多诺
杰维科·迪内维
法里德·阿布阿梅里
戴维·E·克特内兹
吉姆·忠义·何
罗伯特·S·克拉克
丹尼斯·M·库索
杰弗里·威廉·迪茨
德克兰·斯坎伦
萨布哈什·德什
约翰·P·霍兰
亚历山大·帕特森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/381,523 external-priority patent/US8475625B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105185729A publication Critical patent/CN105185729A/zh
Application granted granted Critical
Publication of CN105185729B publication Critical patent/CN105185729B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Abstract

本发明的实施方式提供一种适合用于蚀刻高纵横比特征的诸如处理室的装置。在其他实施方式中,公开了能在高纵横比蚀刻期间获得优良处理结果的不同的室部件。例如,在一个实施方式中,提供处理室,所述处理室包括室主体,所述室主体具有设置在室主体中的喷头组件和衬底支撑组件。喷头组件包括至少两个流体地隔离的充气室,能透射光学计量信号的透射区域,以及穿过喷头组件形成的多个气体通路,将充气室流体地耦合至室主体的内部容积。在其他实施方式中,提供了有益于等离子体蚀刻高纵横比特征的新型阴极衬垫、上部外衬垫、下部外衬垫、衬底支撑组件、盖组件、喷头组件和石英环中的至少一个。

Description

适合于蚀刻高纵横比特征的真空处理室
本申请为2007年5月2日递交的申请号为200780000208.1并且发明名称为“适合于蚀刻高纵横比特征的真空处理室”的发明专利申请的分案申请的分案申请。
技术领域
本发明的实施方式一般涉及用于在半导体衬底等中蚀刻高纵横比特征的真空处理室以及所述真空处理室中使用的部件。
背景技术
更快、更大功率集成电路(IC)器件对于IC制造技术的要求引入了新的挑战,包括需要蚀刻高纵横比特征,诸如在如半导体晶片的衬底上的沟槽或通孔。例如,在一些动态随机存储器应用中使用的深沟槽存储结构需要蚀刻到半导体衬底中的深的高纵横比沟槽。一般在反应离子蚀刻(RIE)工艺中利用氧化硅掩模执行深的硅酮沟槽蚀刻。
在蚀刻高纵横比特征中已经显示出强大(robust)性能的一种传统系统是CENTURAHARTTM蚀刻系统,可从位于加利福尼亚州圣克拉拉市的应用材料有限公司获得。HARTTM蚀刻系统利用磁增强反应离子刻蚀技术(MERIE)反应器,能够蚀刻具有纵横比达到70:1的沟槽,同时保持从中心到边缘5%的沟槽深度的均匀性。然而,为了能够制造具有亚90nm临界尺寸的集成电路,电路设计者已经提出要求要在甚至更高纵横比的情况下改善均匀沟槽的均匀性。这样,可期待改进蚀刻性能以使实现下一代器件成为可能。
因此,需要一种用于蚀刻高纵横比特征的改进装置。
发明内容
本发明的实施方式提供一种诸如处理室的装置,所述装置适合于蚀刻高纵横比特征。在其他实施方式中,公开不同的室部件,能在高纵横比蚀刻期间获得优良的处理结果。例如,在一个实施方式中提供了一种处理室,所述处理室包括室主体,所述室主体具有设置在室主体中的喷头组件和衬底支撑组件。所述喷头组件包括至少两个流体地隔离的充气室(plenum),可透射光学计量信号的区域,以及多个穿过喷头组件形成的气体通道,所述气体通道将充气室流体地耦合至室主体的内部容积或空间(interiorvolume)。在其他实施方式中,提供有益于等离子体蚀刻高纵横比特征的新型阴极衬垫、上外部衬垫、下外部衬垫、衬底支撑组件、盖组件、喷头组件和石英环中的至少一个。
在一个实施例中,处理室包括室主体,所述室主体具有设置于所述室主体中的喷头组件和衬底支撑组件。喷头组件包括至少两个流体地隔离的充气室(plenum),可透射光学计量信号的区域,以及多个穿过喷头组件形成的气体通道,所述气体通道将充气室流体地耦合至室主体的内部容积或空间。
在另一个实施例中,处理室包括室主体,所述室主体具有位于所述室主体中的喷头组件和衬底支撑组件。喷头组件包括内部气体流动区、外部气体流动区和可透射光学计量信号的区域。这些内部区和外部区彼此是流体地隔离的。衬底支撑组件包括至少两个独立可控制的且横向隔开的温度区。光学计量系统设置成以通过喷头组件的可透射区域观察室主体的内部容积。衬底支撑组件具有偏压电源和至少两个等离子体电源,所述等离子体电源耦合到所述偏压电源。
在另一个实施例中,处理室包括室主体,所述室主体具有位于所述室主体中的气体分配板和衬底支撑组件。气体分配板具有一组外部气流孔、一种内部气流孔和一组光学度量孔。内部气体流动区是通过第一组气流孔流体地耦合到室主体内部容积。外部气体流动区与内部区隔离,并且通过第二组气流孔耦合到所述内部容积。陶瓷插塞具有多个孔并与光学度量孔和窗对准。衬底支撑组件被安置在室主体中并具有至少两个独立可控制的且横向隔开的温度区。安置光学计量系统以便通过光学通道观察室主体的内部容积,所述光学通道由窗、插塞中的孔和光学度量孔限定。衬底支撑组件具有偏压电源和至少两个等离子体电源,所述等离子体电源耦合到所述偏压电源。
在另一个实施例中,提供了一种用于刻蚀高纵横比部件的方法,所述方法包括向混合歧管提供多种气体,控制从混合歧管流到处理室中不同区域的混合气体比率;并旁路混合歧管向处理室的至少一个区域提供至少一种直接注入气体。
在又一个实施例中,提供包括耦合到上部的气体分配板的喷头组件。气体分配板具有一组外部气流孔、一组内部气流孔和一组光学度量孔。所述上部具有第一充气室和第二充气室,所述第一充气室流体地耦合到外部气流孔组,所述第二充气室流体地耦合到内部气流孔组。这些充气室在上部内流体地隔离。陶瓷插塞穿过上部设置,并且陶瓷插塞具有与光学度量孔对准的光学透射区域。
附图说明
为了能够详细地理解本发明的上述特征的方式,更具体地描述上述简要概括的本发明,可参考实施方式进行,这些实施方式中的一些在附图中进行说明。然而,应该注意,附图仅说明本发明的一般实施方式,而不能被认为是限制它的范围,因为本发明可以包括其它等效的实施方式。
图1是本发明的处理室的一个实施方式的剖面图;
图2是喷头的一个实施方式的剖面图;
图3是图2的喷头的插塞的一个实施方式的透视图;
图4是图2的喷头的剖面图;
图5是图2的喷头的另一个横截面图;
图6是沿着图5的剖切线6—6剖开的喷头的部分剖面图;
图7是喷头的另一个实施方式的剖面图;
图8是说明用于图1的处理室的气体的线路和控制的气体控制的一个实施方式的示意图;
图9-10A-B是衬垫的一个实施方式的透视和部分剖面图;
图11A是支撑覆盖环的一个实施方式和阴极衬垫的一个实施方式的衬底支撑组件的部分剖面图;以及
图11B是沿着图11A的剖切线11B—11B剖开的阴极衬垫的剖面图;
图11C是沿着图11A的剖切线11C—11C剖开的阴极衬垫的仰视图;
图11D是沿着图11A的剖切线11C—11C剖开的阴极衬垫的仰视图,其示出冷却通道的一个实施方式;
图11E是沿着图11D的剖切线11E—11E剖开的阴极衬垫的部分剖面图;
图11F是阴极衬垫的部分剖面图,示出冷却通道;
图12A至图12B是说明升降销导引组件的两个实施方式的衬底支撑组件的部分剖面图;
图13A至图13B是衬底支撑组件的一个实施方式的部分仰视图;
图13C是图13A至图13B的衬底支撑组件的一个实施方式的部分仰视图;
图14是盖组件的另一实施方式的等视图,示出基座和帽(cap);
图15A是图14的基座的剖面图;
图15B至图15C是图14的基座的部分剖面图;
图16是图14的基座的俯视图;
图17是图14的盖组件的帽的等视图;
图18是喷头组件的一个实施方式的等视图;
图19是图18的喷头组件的剖面图;以及
图20是图18的喷头组件的部分剖面图。
为了便于理解,只要可能,相同的元件符号代表所有图中共有的相同的元件。应预期一个实施方式中的元件可有利地用在其它实施方式中,而不做进一步叙述。
具体实施方式
图1是适合于在衬底144中蚀刻高纵横比特征的处理室100的一个实施方式的剖面图。尽管示出了处理室100包括有优异蚀刻性能的多个特征,可以预期可以使用其它的处理室,以得益于在此公开的本发明的一个或多个特征。
处理室100包括室主体102和封闭内部容积106的盖104。室主体102一般由铝、不锈钢或其他适合的材料制成。室主体102一般包括侧壁108和底110。衬底进气口(未示出)一般在侧壁108中形成且由狭缝阀选择地密封,以便于衬底144自处理室100进入和出去。在室主体102中形成有排气口126,且所述排气孔126使内部容积106耦接到泵系统128。泵系统128一般包括一个或多个泵和节流阀,用于排气和调整处理室100的内部容积106的压力。在一个实施方式中,泵系统128保持内部容积106内部的压强一般地在约10毫托(mTorr)到约20托(Torr)之间的工作压强。
衬垫118、178用于保护室主体102的侧壁108。衬垫118、178可包括温度控制结构,诸如电阻加热器或用于冷却流体的通道。在一个实施方式中,阴极衬垫118包括形成在法兰121中的导管120,法兰支撑室底部110上的衬垫118。导管120通过通道122与流体源124流体地耦合,所述通道122穿过室主体102的底部110形成。
盖104被密封地支撑在室主体102的侧壁108上。盖104可打开以允许进入处理室100的内部容积106。盖104包括窗142,以便于光学工艺监视。在一个实施方式中,窗142由石英或其它适合的材料组成,所述材料能传输由光学监视系统140使用的信号。
光学监视系统140设置成以通过窗142观测室主体102的内部容积106和/或衬底144中的至少一个,所述衬底设置在衬底支撑组件148上。在一个实施方式中,光学监视系统140连接到盖104,并且便于集成蚀刻工艺,所述集成蚀刻工艺利用光学度量来提供信息,所述信息使工艺调整能够补偿入射图案不一致性(诸如CD、厚度等)、提供工艺状态监视(诸如等离子体监视、温度监视等)和/或端点检测,等等。可调整以得益于本发明的一种光学监视系统是全光谱干涉仪的度量组件(full-spectruminterferometricmetrologymodule),可从位于加利福尼亚州圣克拉拉的应用材料有限公司获得。
在一个实施方式中,光学监视系统140能够测量CD、膜厚和等离子体属性。光学监视系统140可使用多种非破坏性的光学测量技术中的一种,所述光学测量技术诸如光谱测量、干涉测量、散射测量、反射测量等。例如,可配置光学监视系统140以执行干涉测量监视技术(例如,计数在时间域中的干涉条纹,在频率域中测量该条纹的位置等),以实时测量在衬底144上形成的特征结构的蚀刻深度轮廓。如何使用光学监视的实例的细节已经公开在2003年6月18日申请的、名称为“MethodandSystemforMonitoringanEtchProcess”的普通转让的序列号为No.60/479,601的美国申请,2002年7月2日授权的、名称为“FilmThicknessControlUsingSpectralInterferometry”的美国专利第6,413,837号,和2003年4月11日申请的、名称为“ProcessControlEnhancementandFaultDetectionUsingIn-SituandEx-situMetrologiesandDataRetrievalInMultiplePassWaferProcessing”的序列号为60/462,493的美国专利申请。
气体控制板158连接到处理室100,以向内部容积106提供处理和/或清洗气体。在图1描绘的实施方式中,在盖104中提供进气口132’、132”,以允许从气体控制板158输送气体到处理室100的内部容积106。
喷头组件130连接到盖104的内表面114。喷头组件130包括多个孔,允许气体从进气口132流经喷头组件130,以跨过室100中被处理的衬底144表面的预定分布进入处理室100的内部容积106。
喷头组件130另外包括透射光学度量信号的区域。光学透射区域或通道138适用于使光学监视系统140能观测内部容积106和/或在衬底支撑组件148上设置的衬底144。通道138可以是形成或设置在喷头组件130内的材料、孔或多个孔,以基本上能透射由光学测量系统140产生的能量的波长或反射回光学测量系统140的能量的波长。在一个实施方式中,通道138包括窗142,以阻止通道138的气体泄漏。窗142可以为蓝宝石板、石英板或其它适合的材料。作为选择,窗142可设置在盖104中。
在一个实施方式中,喷头组件130配置有多个区,以允许对进入到处理室100的内部容积106中的气流进行单独控制。在图1的实施方式中,喷头组件130具有内部区134和外部区136,所述内部区和外部区通过独立的进气口132分别连接到气体控制板158。
图2是喷头组件130的一个实施方式的剖面图。喷头组件130一般包括基座202、上和下充气室板(plenumplate)204、206、插塞208和气体分配板210。上和下充气室板204、206以间隔关系彼此连接,且设置在基座202内形成的凹口270内,以限定喷头组件130的上部结构。在板204和206之间形成的增压区由阻挡壁236流体隔离成至少两个区。在图2描绘的实施方式中,壁236将外部充气室220与内部充气室218隔离开。充气室218、220分别通过进气口132’、132”输入由通过基座202和上充气室板204限定的气体供应222、224,所述进气口132’、132”形成在基座202中。下面详细公开的气体通道242被限定通过下充气室板204和气体分配板210,允许在充气室218、222中的气体进入室100的内部容积106。选择通道242的数量和分布以提供进入室100的气体的预定分布。
在基座202中形成的凹口270可包括一个或多个台阶,用于定位板204和206。在图2描绘的实施方式中,凹口270包括内台阶240和外台阶284。内台阶240提供与设置的低充气室板206相对的表面。在内台阶240和下充气室板206之间提供一个未编号的密封件,以阻止气体泄漏。外台阶284提供进入基座202的凹口,以使气体分布板210能覆盖在下充气室板206和基座202之间限定的间隙。
基座202一般包括唇缘216,所述唇缘216从内部的外直径286向外延伸。凸缘288支撑在盖104和/或外衬垫上的喷头组件130,所述凸缘288限定在唇缘216和内部的外直径286之间。凸缘288一般与唇缘216和内部的外直径286垂直,唇缘216和内部的外直径286一般与室主体的中心线平行且同中心。
内部的外直径286在基座202的底表面290处终止。底表面290一般面对处理区,且同样可用诸如Y2O3或其他含钇材料之类的保护材料涂覆。内部的外直径286还可涂覆有诸如Y2O3或其他含钇材料之类的保护材料。
基座202也包括多个管道212,所述多个通道212形成在基座202中,所述多个通道212连接到液体源214。液体源214提供诸如空气或水之类的传热流体,所述流体通过管道212循环,以调整基座202和喷头组件130的温度。
通过喷头组件130形成通道138,以便于通过光学监视系统140监视室处理和/或衬底属性(attribute)。通道138包括共轴对准的孔226、264、254、262。在基座202中形成第一个孔226。在上充气室板204中形成第二个孔264。在下充气室板206中形成第三个孔254,而在气体分配板210中形成第四个孔262。窗142是密封地设置在通道138中,以阻止气体通过喷头组件130泄漏到光学监视系统140。在图2描绘的实施方式中,在上充气室板204中提供凹口258以容纳窗142。提供在图2中没有标元件符号的O型环,以将窗142密封到上充气室板204和基座202。
插塞208至少设置在第二个孔264中,所述第二个孔在上充气室板204中形成。配置插塞208以传输由光学监视系统140使用的信号。在一个实施方式中,插塞208包括多个高纵横比通道260,以允许光学监视系统140与室100的内部容积界面连接,同时阻止在通道260内的等离子体形成。在一个实施方式中,通道260的纵横比(高度比直径)为至少约10:1、例如14:1的。在另一个实施方式中,通道260的直径小于或相当于德拜长度和/或电子平均自由程,例如小于约1.5mm,例如约0.9mm。在另一个实施方式中,通道260限定达到约60%的开口面积的开口面积。在另外的实施方式中,通过插塞208形成约37个通道260。
在插塞208中形成的通道260与在气体分配板210中形成的度量孔262对准。度量孔262群集在气体分配板210的中心,且度量孔262的密度、直径(或宽度)、轮廓和开口面积适合便于有效传输度量信号通过气体分配板210。在一个实施方式中,孔262的数目和剖面轮廓与通道260类似。窗142使得通道260、262封闭气流的感应,而允许光学传输。这样,通道260、262和窗142便于利用在室100内的光学监视系统140进行的光学监视,而不损失真空或等离子体破坏限定光学观察路径的结构。
在上充气室板204中形成锥形的座256,将第二个孔264与凹口258相连。如图3中所示,配置锥形的座256以与插塞208的喇叭口状部分304匹配。在头302和插塞208的延长杆306之间设置喇叭口状部分304。
插塞208一般由能与工艺化学物质共存的材料制成。在一个实施方式中,插塞208由诸如陶瓷的介电材料制成。在另一个实施方式中,插塞208为铝。
上和下充气室板204、206连接到基座202。上充气室板204也连接到下充气室板206。一个实施方式中,上充气室板204通过多个销228连接到下充气室板206。销228的端部插入孔230、232,所述孔230、232分别在上和下充气室板204、206中形成。可以通过锁定化合物或粘接剂固定销228,或对固定销228加压进行摩擦固定。图4的剖面图说明了销228从在下充气室板206中形成的孔232延伸。由于孔230、232没有延伸通过各自的板204、206,阻止了销228周围的气体泄漏。
另外参考图5至图6的剖面图,气体分配板210连接到下充气室板206或基座202中的至少一个。在一个实施方式中,粘接层502以限定气体分配板210与下充气室板206之间多个环形充气室508的方式将气体分配板210连接到下增压板206。充气室508允许沿着共同的半径或半径范围设置流体地连接的通道242,以增强在预定径向位置处的气体通过喷头组件130的流量均匀性。
在一个实施方式中,粘接层502包括多个粘接环504和多个粘接珠506。多个粘接环504共心地设置以界定充气室508。在这些环504之间也共心地设置多个粘接珠506。珠506与环504间隔开以允许气体在通道242之间围绕珠506共享共用的充气室508。
转到图2,在下增压板206中形成的通道242的部分一般包括第一钻孔244、节流孔246和第二钻孔248。第一钻孔244对于充气室220(或218)是敞开的以允许气体进入通道242。第二钻孔248与孔250对准,所述孔250通过气体分配板210形成,用于输送气体到室100的内部容积106。
在一个实施方式中,钻孔244、248的直径和深度基本上大于相对的节流孔246的直径和深度,以便于有效制造通道242。在图2描绘的实施方式中,第一钻孔244对于充气室220(或218)是敞开的,与对于室100的内部容积106是敞开的第二钻孔248相比,所述第一钻孔具有较大的直径和较小的纵横比。
气体分配板210可以是平盘。以从气体分配板210的中心区向外的图案空间地分配孔250。一组孔250流体地连接到外部充气室136,而另一组孔250流体地连接到内部充气室134。孔250作为通道242的部分,允许气体通过气体分配板210并进入室100的内部容积106。
为了延长喷头组件130的使用寿命,气体分配板210用钇或它的氧化物中的至少一种制造或涂覆。在一个实施方式中,气体分配板210由大块钇或它的氧化物制造以抵抗氟化的化学物质。在另一个实施方式中,气体分配板210由大块Y2O3制造。
图7是喷头组件700的另一个实施方式。喷头组件700基本上类似于喷头组件138,喷头组件700具有插塞708,用于阻止在通道726内的等离子体激发以便于光学度量,所述通道726穿过喷头组件700形成。在通道726内提供窗142作为气体阻挡。
喷头组件700包括基座板702,所述基座板702连接到增压板704。增压板704具有一对环形沟槽712、714,所述环形沟槽712、714形成在增压板704中,所述环形沟槽712、714由基座板702界定,用于限定内部和外部充气室716、718。气体自气体控制板158通过各自的口132’、132”提供到充气室716、718,从而使在每个区134、136中的气体能够独立地受控,所述区134、136从喷头组件700进入室100的内部容积106。
增压板704包括插塞孔720,用于接收插塞708。插塞孔720与在基座702中形成的孔706和在气体分配板710中形成的度量孔728对准以限定通道726。插塞孔720一般包括用于接收窗142和锥形座724的凹口722。锥形座724与插塞708的喇叭口状部分接合以在增压板704内定位插塞708。
图8是说明从气体控制板158到处理室100输送的气体的线路和控制的一个实施方式的示意图。气体控制板158一般包括多个气体源,所述多个气体源连接到混合歧管810和流量控制器814。
一般地,通过控制阀808控制来自每个气体源的流量。控制阀808控制从源提供的流体的流量、速度、压力等中的至少一个。控制阀808可包括多于一个的阀、调整器和/或其它气流控制装置。
在一个实施方式中,气体控制板158包括至少一个直接气体源802、至少一个处理气体源804和至少一个载气源806。处理气体源804和载气源806通过独立的气体管道流体地连接到混合歧管810。来自源804、806的不同气体在混合歧管810中混合成为预定输送的气体混合物。这样,可通过选择性地打开各自的阀808来选择在混合歧管810中的预定输送的气体混合物的组分,以使预定组合的载体和处理气体804、806混合。例如,至少一种来自处理气体源804的处理气体、和任选地至少一种来自载气源806的载气在混合歧管810中以任一组合的方式混合。处理气体的例子包括SiCl4、HBr、NF3、O2和SiF4等。载气的例子包括N2、He、Ar、对于本发明工艺呈惰性的其它气体和不反应的气体。
流量控制器814通过初级气体供应装置812连接到混合歧管810。配置流量控制器814以将从混合歧管810流来的预定输送气体混合物分成子混合物,通过单独的气体供应管道,该子混合物被输送到室100。一般地,气体供应管道的数目与在喷头组件130中限定的区域(或隔离的充气室)的数目相当。在图8描绘的实施方式中,两个气体供应管道816、818将流量控制器814耦接到各自的进气口132’、132”。
一般地配置流量控制器814以控制流入每个供应管道816、818的气体子混合物的比率。以这种方式,可控制流入每个区的和最终到衬底144的每个区的气体子混合物的比率。流量控制器814可使用电子或机械装置分开预定输送的气体混合物。在一个实施方式中,流量控制器814响应来自控制器150的信号能动态地控制所述比率,因此能够改变在成批衬底之间、衬底之间和/或现场(in-situ)处理单个衬底之间的该比率。在另一个实施方式中,设置流量控制器814以使在管道816、818之间的该比率固定。可通过设置在流量控制器814中的一个或多个节流口设置该比例,以使来自初级的气体供应装置812的流优选地在气体供应管道816、818之间分开。
在一个实施方式中,流量控制器814提供到内部区134的气体比到外部区136的多。在另一个实施方式中,流量控制器814提供到外部区136的气体比到内部区134的多。在另一个实施方式中,对于衬底处理的第一时段,流量控制器814提供到内部区134的气体比到外部区136的多,接着改变现场处理衬底的比率,对于衬底处理的第二时段,提供到外部区136的气体比到内部区134的多。预期可配置流量控制器814以按其它顺序或比率控制输送到处理室100中的不同区的流之间的比率。
从气体控制板158的直接注入气体源802向处理室100的内部容积106提供直接注入的气体。通过阀808控制从直接注入气体源802注入的直接注入气体的流动的量。
在一个实施方式中,向供应管道816、818中的至少一个提供直接注入气体到。在另一个实施方式中,直接注入的气体设置在(teed)两个直接供应管道820、822中,所述两个直接供应管道820、822分别设置于气体供应管道816、818中。在另一个实施方式中,向至少一个气体供应管道提供直接注入的气体,所述气体供应管道连接到进气口132’、132”。在另一个实施方式中,向喷头组件130(700)的充气室218、220(716、718)中的至少一个提供直接注入的气体。
在图8中描绘的实施方式中,向每个区134、136提供相同量的直接注入的气体。任选地,可使用第二流量控制器824(未示出,且与流量控制器814类似)以向每个区134、136提供不同比率的直接注入的气体。
转到图1,衬底支撑组件148设置在喷头组件130下面的处理室100的内部容积106中。衬底支撑组件148在处理期间保持固定衬底144。衬底支撑组件148一般包括贯穿衬底支撑组件148设置的多个升降销或杆(未示出),多个升降销设置成从支撑组件148举升衬底,且便于以传统的方式利用自动机械手(未示出)更换衬底144。
在一个实施方式中,衬底支撑组件148包括安装板162、基座164和静电卡盘166。安装板162连接到室主体102的底部110,并且包括用于引导到基座164和静电卡盘166的设施通道,诸如流体输送用的通道、输电线和传感器引线用的通道等。
基座164或卡盘166中的至少一个可包括至少一个任选的嵌入的加热器176、至少一个任选的嵌入的隔离体174和多个导管以控制支撑组件148的横向温度分布。在图1中描绘的实施方式中,在基座164中设置一个环形的隔离体174和两个导管168、170,而在卡盘166中设置电阻式加热器176。导管流体地连接到流体源172,以使温度控制流体在导管中循环。由电源178控制加热器176。利用导管168、170和加热器176来控制基座164的温度,从而加热和/或冷却静电卡盘166,从而至少部分地控制设置在静电卡盘166上的衬底144的温度。
在基座164中形成的两个独立的冷却通道168、170限定至少两个独立可控的温度区。预期可设置另外的冷却通道和/或通道分布以限定另外的温度控制区。在一个实施方式中,将第一冷却通道168设置在第二冷却通道170的径向向内侧,以使温度控制区同心。预期可径向定向通道168、170,或具有其它的几何配置。冷却通道168、170可连接到温度受控的传热流体的单个源172,或者冷却通道168、170可分别连接到独立的传热流体源。
隔离体174由与基座164附近区的材料相比具有不同导热系数的材料制成。在一个实施方式中,隔离体174具有的导热系数比基座164的导热系数小。在另一个实施方式中,隔离体174由具有各向异性的(即,依赖于方向)导热系数的材料制成。相对于通过基座164的邻近部分的传热速率,隔离体174起到局部地改变支撑组件148通过基座164到导管168、179之间的传热速率的作用,基座的邻近部分在传热路径上没有隔离体。隔离体174横向地设置在第一和第二冷却通道168、170之间,以在通过衬底支撑组件148限定的温度控制区之间提供增强的隔热作用。
在图1描绘的实施方式中,在管168、170之间设置隔离体174,从而阻碍横向的热传递,并且促进横跨衬底支撑组件148的横向温度控制区。这样,通过控制插入物(insert)的数目、形状、尺寸、位置和传热系数,可控制静电卡盘166和位于所述静电卡盘上的衬底144的温度分布。尽管在图1中描绘的隔离体174的形状为环形环,但隔离体174的形状可采用任何数量的其它形状。
在基座164和静电卡盘166之间设置任选的导热膏或粘接剂(未示出)。导热膏促使在静电卡盘166和基座164之间的热交换。在一个示例实施方式中,粘接剂将静电卡盘166机械地粘结到基座164。可替换地(未示出),衬底支撑组件148可包括调整用于将静电卡盘166紧固到基座164的五金器具(例如,夹具、螺丝等)。
使用多个传感器监控静电卡盘166和基座164的温度。在图1中描绘的实施方式中,以径向间隔开定位的方式示出第一温度传感器190和第二温度传感器192,以使第一温度传感器190可提供具有支撑组件148中心区的度量指示温度的控制器150,而第二温度传感器192提供具有支撑组件148的周边区的度量指示温度的控制器150。
静电卡盘166设置在基座164上且由覆盖环146围绕。静电卡盘166可由铝、陶瓷或其它材料制成,所述其他材料适合在处理期间支撑该衬底144。在一个实施方式中,静电卡盘166是陶瓷的。可替换地,可由真空卡盘、机械卡盘或其它适合的衬底支撑件来替代静电卡盘166。
静电卡盘166一般由陶瓷或类似绝缘材料制成,且包括至少一个箝位电极180,所述箝位电极是使用夹具电源182来控制的。电极180(或设置在卡盘166或基座164上的其它电极)可进一步连接到一个或多个RF电源,用于保持在处理室100内的由工艺气体和/或其它气体形成的等离子体。
在图1描绘的实施方式中,电极180通过匹配电路188耦接到第一RF电源184和第二RF电源186。源184、186一般能产生RF信号,所述RF信号具有从约50kHz到约3GHz频率,且功率上达约10,000瓦。匹配网络188使源184、186的阻抗与等离子体的阻抗匹配。单一馈电(singlefeed)将能量从两个源184、186耦合到电极180。或者,每个源184、186可经由独立的馈电而耦接到电极180。
静电卡盘166也可包括至少一个嵌入的加热器176,所述加热器由电源178控制。静电卡盘166还可包括诸如沟槽这样的多个气体通道(未示出),所述气体通道在卡盘的衬底中支撑表面中形成且流体地耦接到传热(或背侧)气体的来源。在操作中,在受控的压力下向气体通道中提供背侧气体(例如,氦(He)),以增强在静电卡盘166和衬底144之间的热传递。传统地,静电卡盘的至少衬底支撑表面176被提供有涂层,所述涂层抵抗在处理衬底期间的化学物质和温度。
图12A是衬底支撑组件148的部分剖面图,说明升降销导引组件1200A的一个实施方式。一般地,在衬底支撑组件148中使用至少三个升降销导引组件1200A,并且所述至少三个升降销导引组件1200A与穿过衬垫118形成的切口对准设置。
升降销导引组件1200A主要地设置在衬底支撑组件148的基座164中形成的梯状孔1204中。梯状孔1204与通过静电卡盘166形成的孔1202对准。升降销导引组件1200A的一部分延伸入孔1202,由此在基座164和卡盘166之间提供对准结构。
升降销导引组件1200A一般包括导引装置1210和保持装置1218。在导引装置1210和保持装置1218之间设置弹性元件1220以在升降销导引组件1200A进入衬底支撑组件148内时提供缓冲,并适应组件148、1200A的热膨胀和收缩。在一个实施方式中,弹性元件1220是O型环,所述O型环由与工艺的化学物质和工艺环境相容的弹性材料构成。
导引装置1210包括主体1216,所述主体具有上凸起1240和下凸起1228。导引装置1210也包括同心的第一和第二孔1224、1226,所述第一和第二孔提供通过升降销导引组件1200A的升降销(未示出)通道。上凸起1240延伸进入凹口1238并与所述凹口啮合,所述凹口形成在面对基座164的静电卡盘166的表面中。在用于如图12B所示升降销导引组件1200B的可选的实施方式中,上凸起1240可包括底切1250(undercut),以确保上凸起1240与静电卡盘166优先对准。
下凸起1228外套有从保持装置1218延伸的套筒1230并与所述套筒啮合。在下凸起1228和主体1216之间设置底切部1222以保持弹性元件1220。
保持装置1218包括从套筒1230延伸的螺纹部分1232。螺纹部分1232包括打入结构或钉入结构(drivefeature)1234,以便于将保持装置1218拧入基座164。打入结构1234可以是狭槽、大圆头螺钉孔、六角形孔、扳手孔或用于旋转保持装置1218的其它结构。贯穿保持装置1218形成的通道1236与导引装置1210的孔1224、1226对准以容纳升降销。
在基座164中形成的梯状孔1204包括主孔1208、台阶1206和进气口孔1212。台阶1206延伸进入主孔1208,而进气口孔1212包括螺纹部分1214,用于与保持装置1218啮合。在将保持装置1218紧固进入基座164的螺纹部分1232时,保持装置1218接触弹性元件1220,所述弹性元件朝向在基座164中形成的梯状孔1204的台阶1206偏置主体1216,从而将升降销导引组件1200A固定在衬底支撑组件148的基座164中。
图9至图10A描绘了外衬垫116的一个实施方式的透视分解图和部分剖面图。外衬垫116可由等离子体或抗氟材料制成和/或涂覆有等离子体或抗氟材料。在一个实施方式中,外衬垫116由铝制成。在另一实施例中,外衬垫116由钇、钇合金或它的氧化物制成或涂覆有钇、钇合金或它的氧化物。在另一个实施方式中,外衬垫116由大块Y2O3制成。阴极衬垫118可由同样的材料制成。
在图9至图10描绘的实施方式中,外衬垫116包括上衬垫902和下衬垫904。下衬垫904的上边908被构造成与上衬垫902的下边910配合,例如,以槽舌(例如,槽口(rebate)接合。
图10B说明上衬垫902和下衬垫904之间的接头的另一实施方式。在该实施方式中,下衬垫904仅具有直边990,所述直边啮合形成在上衬垫902的下边910中的台阶。
回到图9至图10A,所构造的下衬垫904一般地为中空圆柱,以紧贴侧壁108的内表面112固定。下衬垫904包括槽口或端口906,槽口或端口906与室主体102的排气口126对准,以便于抽空和排空内部容积106。
上衬垫902一般地包括主体914,所述主体具有从主体的上部部分延伸的法兰912。法兰912一般地为多角形形式,在此描绘的一个实施方式中,多角形法兰912的指数被截成约45度的角。
主体914一般地为圆柱的形式,所述主体具有内壁916和外壁934。唇缘918从内壁916向内延伸且提供用于在室100中安装喷头组件130的支撑平台。在唇缘918中形成O型环沟槽920,以提供与喷头组件130的气体密封。
可在上衬垫902的主体914中提供孔928,以允许通过在室主体102中形成的窗(未显示)视觉检查内部容积106。可由可移动的窗插入物924覆盖上衬垫902的外壁934的环绕孔928的部分。窗插入物924在上衬垫902的凹口(未示出)中,由多个紧固件926固定,使得插入物924和外壁934齐平。这样,当窗插入物924的保护涂层与窗/室主体界面接触而磨损时,可在保护涂层破裂从而暴露出外衬垫116的基座材料之前更换窗插入物924。
在圆柱914中形成狭槽938以提供衬底进入和离开室100的通道。在上衬垫902环绕狭槽938的外壁934中形成凹口932。在狭槽938之上设置可移动的门插入物930,以保护衬垫902的表面不因与狭缝阀端口接触而磨损。插入物930具有狭槽940,狭槽940与在上衬垫902中形成的狭槽938对准,以便于衬底通道通过外衬垫116。插入物930被多个紧固件936固定在凹口932中,使得插入物930和外壁934齐平。这样,当插入物930的保护涂层由于与狭缝阀端口/室主体界面接触而磨损时,可在保护涂层破裂从而暴露出外衬垫116的基座材料之前更换窗插入物930。插入物924、930一般地由与衬垫相同的材料制成和/或用与衬垫相同的材料涂覆。
图11A至图11F描绘了与覆盖环146啮合的阴极衬垫118的一个实施方式,所述覆盖环覆盖衬底支撑组件148的外部上表面。阴极衬垫118一般包括较大直径的上部分1140和较小直径的下部分1142。倾斜部分在衬垫188的外直径上形成,以连接较大直径的上部分1140和较小直径的下部分1142。
衬垫118通过法兰121支撑在室的底部110上方。法兰121从下部分1142到大于下部分1140的直径朝外延伸。法兰121具有外边1094,所述外边包括形成在外边中的台阶1097。覆盖衬垫118的外表面的诸如Y2O3的保护涂层,可以在台阶1097处终止,如图11F中的虚线1092所示。
法兰121另外包括通过环形盖1099闭合的管道1010以限定导管120。盖1099可焊接或紧固在凹口1008中至衬垫118,以另一适合方式防止导管120泄露。盖1099包括具有底纹(treaded)部分的凸起1096以便于将导管120耦接至流体源124。管道1010布线穿过衬垫118的法兰121,从而导管1010的第一端和第二端1012、1014与盖1099中形成的凸起1096对准。管道1010可任选地包括一个或多个弯头(turn)1016,所述弯头允许管道1010改变约180度方向以使得冷却流体的有益分布。
法兰1132从部分1140、1142的接合处向内延伸。法兰1132具有定位内衬垫118与衬底支撑组件148的底表面1134。在法兰1132的上表面形成O型环沟槽1136以密封内衬垫118。
法兰1132包括多个升降销切口(cut-out)1002,以允许升降销(未示出)的活动。法兰1132还包括多个实用切口1004。切口1004可用于布线电、气体、冷却流体、传感器线路或其他设备连接至基座164和/或静电卡盘166。
在衬底支撑组件148上设置覆盖环146,并且覆盖环146与阴极衬垫118的上终端1128交错。覆盖环146一般具有环形主体1102,所述环形主体1102由等离子体和/或抗化学物质的材料制成和/或涂覆有等离子体和/或抗化学物质的材料。在一个实施方式中,覆盖环146由钇或钇的氧化物制成和/或用钇或钇的氧化物涂敷。在一个实施方式中,气体覆盖环146由大块钇制成以提供耐氟化的化学物质的性能。在另一个实施方式中,覆盖环146由石英制成。
主体1102一般具有顶表面1104和底表面1126。第一脊1118、第二脊1122和第三脊1120从主体1102的底表面1126向下延伸。在图11描绘的实施方式中,脊1118、1122、1120是同心的环。
在覆盖环146的内部部分上设置第一和第二脊1118、1122,且所述第一脊和第二脊之间限定有狭槽,所述狭槽用于在狭槽中容纳阴极衬垫118的上端1128。第一脊1118与第二脊1122相比从主体1102进一步延伸。第三脊1120与第二脊1122相比也从主体1102进一步延伸。第三脊1120延伸进入在衬底支撑组件148中形成的狭槽1180,从而在覆盖环146和支撑组件148之间固定方向。
小突出部(tab)1116从主体1102径向向内延伸接近第三脊1120。小突出部1116包括上表面1150,是上表面1150与静电卡盘166的上表面1152基本上共面。当衬底设置在衬底支撑组件148上时,衬底的周边(图11A中未示出)覆盖在静电卡盘166和小突出部1116的上表面1150之间的界面上。
在小突出部1116和主体1102的顶表面1104之间设置有内壁1114。内壁1114的直径比小突出部1116的内直径大。一般,选择内壁1114的直径以提供与衬底适当的间隙。
主体1102的顶表面1104一般包括内部区1110和外部区1108。相对于外部区1108,内部区1110是凸起的。可定向内部区1110平行于顶表面1104的外部区1108。在图11A描绘的实施方式中,倾斜区1112在顶表面1104的内部和外部区1110、1108之间形成过渡。
图11A也包括静电卡盘166的一个实施方式的细节。静电卡盘166包括在卡盘的上表面1152和下表面1198之间限定的梯状的外部直径表面。阶梯状的外部直径一般包括上壁1188、中壁1192和下壁1196。壁1188、1192、1196一般是垂直的,上壁1188比中壁1192短。中壁1192比下壁1196短。上壁1188开始于上表面1152且向下延伸到上凸缘1190。上凸缘1190将上壁1188连接到中壁1192。下凸缘1194连接中壁1192和下壁1196。下壁1196连接到底表面1198。凸缘1190、1194一般是水平的,下凸缘1194比上凸缘1190大。由壁1188、1192、1196和凸缘1190、1192形成的阶梯状的外部直径产生与覆盖环146匹配的保型轮廓,并且所述保型轮廓将该覆盖环146保持在衬底支撑组件148的预定位置。
图12描述了衬底支撑组件1300的部分剖面图。衬底支撑组件1300一般类似于以上所述的衬底支撑组件148,并且衬底支撑组件1300包括安装至1304和盖1306的静电卡盘1302。静电卡盘1302和基座1304分别包括梯状外直径1308、1310。基座1304的梯状外直径1310包括多个固定孔1328。固定孔1328设置在O型环密封管(gland)的外部,并且固定孔1328可包括埋头孔,以使得紧固件的头能用于将衬底支撑组件1300固定至阴极衬垫118而埋入在基座表面下方。
基座1304还包括不同温度调节结构,诸如内管道1312和外管道1314。管道1312、1314通常形成在基座1304中但由罩(case)1306封闭。管道1312、1314用于使冷却流体经过基座1304,并且管道1312、1314可分别耦接至流体源从而管道1312、1314内的流体的温度可独立受控。
在图13C所示的实施方式中,内管道1312包括彼此相邻设置的端部,从而内管道可构造为双环形管道。同样地,外管道1314包括彼此相邻设置的端部从而外管道可构造为双环形管道。双环形管道结构提供流经导管和基座1304的流体之间更有效的热传递。
基座1304还可包括一个或多个热扼流圈1316,用于使整个基座1304的水平面的横向热传导情况最小,从而使基座1304的温度分布能更精确控制。热扼流圈1316可以是基本穿过基座1304延伸的狭槽或凹槽,从而形成基座1304内的空气间隙。可选地,热扼流圈1316可以用具有导热系数小于基座1304的材料填充。在图13C所示的实施方式中,在螺栓圆周上排列三个热扼流圈1316。同样在图13C所示的实施方式中,热扼流圈1316通过容纳升降销导引的升降销孔1318隔开,诸如图13A至图13B所示。
图14是盖组件1400的另一实施方式的俯视等视图。盖组件1400一般同于以上所述的盖104。盖组件1400包括盖1402和帽1404。帽1404用于保持盖1402内的冷却元件。两个凸起1406设置在帽1404中,以便于将设置在盖1402内的冷却元件耦接至冷却流体源(未示出)。
图15A至图15C中,盖1402通常由铝或其他适合材料制造。盖还可包括外直径1410的槽口(notch)1408,以将盖朝处理室100定向。
图15A描述了图14的盖1402的截面图。盖1402包括顶侧1412和底侧1414。底侧1414包括内部外直径1416。底侧1414和内部外直径1416至少其中之一可以用Y2O3涂覆,如虚线1418所示。
底侧1414还包括凹处(pocket)1420。凹处1420是阶梯形以容纳喷头组件,诸如喷头组件130或1800。
中心孔1430一般穿过盖1402延伸,以能使计量单元140与处理室内的衬垫位置界面相接。O型环密封管1432形成在凹处1420的底部1426中,以防止喷头和盖之间经过中心孔1430的泄漏。
盖1402还包括至少一个外气体通道1434和至少一个内气体通道1440。在图14和图15A所示的实施方式中,示出了九个外气体通道和四个内气体通道。如图15C的剖面图中所示,外气体通道一般包括凹处1420的底部1426上的埋头孔1436,从而盖中的气体通道可更好地与喷头中的气体通道对准。O型环密封管1430一般设置在盖1402的顶侧1412中,以便于气体通道1434的密封。内气体通道1440可以是相似构造。
盖1402的顶侧1412包括多个管道1424,所述多个通道在盖接收凹槽1422的底部处形成。帽1404焊接或其他方式密封在凹口1422中,从而防止管道1424泄漏。
图16描述盖1402的俯视图,所述盖具有设置在管道1424中的冷却旋管1444。冷却旋管1444一般包括入口端1446和出口端1448,所述出口端耦接至形成在帽1404中的凸起1406,以使冷却流体能经过冷却旋管1444循环。
图17描述了帽1404的一个实施方式。帽一般包括内环1460和外环1458,内环1460和外环1458通过小突出部(tab)1462耦合。内环1460一般包括中心孔1464和多个孔1466。
图18至图22是适合与以上所述的盖104、1402使用的喷头组件1800的盖的一个实施方式的底等视图、截面图和部分截面图。盖组件1800一般包括通过多个销1830以间隔关系耦合的上增压板1802和下增压板1804。上增压板1802一般包括从所述上增压板1802延伸的多个定位销1806,所述定位销使喷头组件1800相对于盖组件定向。上增压板1802的顶表面还包括多个O型环密封管1812,用于隔离不同气孔和其他孔。多个外部气孔1816穿过上增压板径向朝外形成并通过O型环密封管1812与多个内部气孔1818分开。
中心孔1808设置为容纳t型陶瓷插塞1814。插塞1814包括多个通道260,构造为便于光学计量同时利用插塞1814防止等离子体形成。清洗气体孔1820可以径向设置在内部气孔1818的O型环密封管1812内,并通过O型环密封管分开以向插塞1814提供清洗气体。
环形壁1834设置在上增压板1802和下增压板1804之间。壁1834一般具有T形截面,并且壁1834啮合形成在充气室板1802、1804的相对表面中的凹槽1836、1838。
气体通道1849一般从各个充气室1826、1828延伸,以将所提供的气体经过气体分配板210供应给内部气孔1818和外部气孔1816并进入处理室100的内部容积106。气体通道1840可包括埋头孔1842,用以保证下增压板1804的通道1840和在气体分配板210中形成的孔250之间更好的对准。
在操作中,可使用处理室100以在衬底中蚀刻高纵横比特征。在一个实施方式中,在室100中可执行在设置于衬底上的硅层中蚀刻高纵横比比率沟槽的方法。用图案化的掩模覆盖硅层,如常规实践中使用的方式。该方法开始于调整室的压强在大约0到大约300毫托(mT)之间。衬底被用约500到大约2800(W)瓦的偏置功率偏置。在一个实施方式中,施加的偏置功率大约为2百万赫(MHz)频率。
通过向衬底支撑组件施加大约500到大约2800W的功率,维持自通过喷头组件的多个气流区提供的气体而形成的等离子体。在一个实施方式中,施加大约60MHz的功率。施加磁B-场穿过具有约0到约140高斯(G)之间的室。通过掩模中的开口,等离子体蚀刻硅层,以形成具有纵横比达到至少80:1的沟槽。
工艺气体、直接注入气体和惰性气体的混合物被提供到室,用于等离子体蚀刻。混合物可包括HBr、NF3、O2、SiF4、SiCl4、和Ar中的至少一种。在一个实施方式中,提供给混合歧管的工艺气体包括HBr和NF3,而可任选地提供O2、SiF4和SiCl4。在一个示例实施方式中,提供约50到约500sccm之间的HBr、约10到约200sccm之间的NF3、约0到约200sccm之间的O2、约0到约200sccm之间的SiF4、约0到约200sccm之间的SiCl4、和在约0到约200sccm之间的Ar到用于适合蚀刻300mm衬底的工艺的混合歧管。按照与特征密度、尺寸和横向位置匹配所选择的流量比将混合气体提供到充气室。可使用SiCl4作为直接注入气体,绕过混合歧管提供到喷头组件的充气室。
已经表明,上述处理室蚀刻高纵横比特征在整个衬底表面具有良好的均匀性。常规处理室和上述处理室中执行的蚀刻硅工艺之间的比较数据显示,上述处理室或称本发明的处理室中执行的工艺显示出边缘到中心的纵横比的一致性得到改进。常规的系统可具有的边缘到中心的纵横比之间的比值为约1.35,而上述处理室具有的边缘到中心的纵横比之间的比值为约1.04,这使得本发明的室适合于下一代器件的制造。
虽然前面描述了本发明的实施方式,在不偏离本发明的基本范围的前提下,可以设计出本发明的进一步的或其它的实施方式。本发明的保护范围以所附的权利要求确定。

Claims (27)

1.一种适合用于覆盖半导体处理室的内壁的至少一部分的衬垫,所述衬垫包括:
具有上边和下边的圆柱主体;
形成在所述上边中的啮合部件,所述啮合部件配置为啮合第二衬垫的匹配结构;以及
形成在所述圆柱主体的所述衬垫的所述底边中的槽口。
2.根据权利要求1所述的衬垫,其中所述主体进一步包括:
铝。
3.根据权利要求2所述的衬垫,其中所述衬垫涂覆有Y2O3
4.根据权利要求1所述的衬垫,其中所述主体的内表面涂覆有Y2O3
5.根据权利要求1所述的衬垫,其中所述主体是以下情况至少之一:由钇或钇的氧化物制成或涂覆。
6.根据权利要求1所述的衬垫,其中所述啮合部件进一步包括一半槽舌接合。
7.一种适合用于覆盖半导体处理室的内壁的至少一部分的衬垫,所述衬垫包括:
具有上边和下边的圆柱主体,其中所述主体是以下情况至少之一:由钇或钇的氧化物制成或涂覆;
构造为啮合第二衬垫的配合特征结构的上边;以及
形成在所述圆柱主体的所述衬垫的底边中的槽口。
8.根据权利要求7所述的衬垫,其中所述主体进一步包括:
铝。
9.根据权利要求7所述的衬垫,其中所述主体的内表面涂覆有Y2O3
10.根据权利要求7所述的衬垫,其中所述啮合部件进一步包括一半槽舌接合。
11.一种适合用于覆盖半导体处理室的内壁的至少一部分的衬垫,所述衬垫包括:
具有上边、下边、内壁和外壁的圆柱主体;
设置在所述主体的所述内壁上的钇或钇的氧化物中的至少一种的涂层;以及
形成在所述圆柱主体的所述衬垫的所述底边中的槽口。
12.根据权利要求11所述的衬垫,其中所述上边进一步包括:
梯状的啮合部件。
13.一种适合用于覆盖半导体处理室的至少一部分的盖,所述盖包括:
具有下部外直径、上侧和下侧的基座;
形成在所述基座的下侧中的梯状凹口;
从所述下部外直径延伸的唇缘;
穿过所述基座在所述上侧和所述凹口之间形成的中心孔;
穿过所述基座从所述上侧和凹口之间的中心孔开始径向形成的第一气体入口;以及
穿过所述基座从所述上侧和凹口之间的中心孔开始径向形成的第二气体入口。
14.根据权利要求13所述的盖,所述盖进一步包括:
至少一个冷却通道,所述至少一个冷却通道穿过所述基座形成并配置为使传热流体通过所述冷却通道循环。
15.根据权利要求13所述的盖,其中所述中心凹口进一步包括两个台阶。
16.根据权利要求13所述的盖,其中所述基座包括铝。
17.根据权利要求13所述的盖,其中限定在所述凹口朝外的下侧上的至少一部分下表面涂覆有钇。
18.根据权利要求13所述的盖,其中限定在所述凹口朝外的下侧上的至少一部分下表面涂覆有Y2O3
19.根据权利要求13所述的盖,其中限定在所述凹口朝外的下侧上的至少一部分下表面涂覆有钇的氧化物。
20.一种适合用于覆盖半导体处理室的至少一部分的盖,所述盖包括:
具有下部外直径、上侧和下侧的基座;
形成在所述基座的下侧中并具有形成在内直径中的多个台阶的凹口;
在所述基座的上侧中形成的凹口;
至少一个冷却通道,所述至少一个冷却通道形成在所述凹口中并配置为使传热流体通过所述冷却通道循环;
设置在所述基座的凹口中并包围所述冷却通道的帽;
从所述下部外直径延伸的唇缘;
穿过所述基座在所述上侧和凹口之间形成的中心孔;
穿过所述基座从所述上侧和凹口之间的中心孔开始径向形成的第一气体入口;以及
穿过所述基座从所述上侧和凹口之间的中心孔开始径向形成的第二气体入口。
21.根据权利要求20所述的盖,其中所述多个台阶进一步包括两个台阶。
22.根据权利要求20所述的盖,其中所述基座包括铝。
23.根据权利要求20所述的盖,其中限定在所述凹口朝外的下侧上的至少部分下表面涂覆有钇。
24.根据权利要求20所述的盖,其中限定在所述凹口朝外的下侧上的至少部分下表面涂覆有Y2O3
25.根据权利要求20所述的盖,其中限定在所述凹口朝外的下侧上的至少部分下表面涂覆有钇的氧化物。
26.一种适合用于覆盖半导体处理室的至少一部分的盖,所述盖包括:
具有下部外直径、上侧和下侧的铝基座;
形成在所述基座的下侧中并具有形成在内直径中的多个台阶的凹口;
限定在所述凹口朝外的下侧上的至少部分下表面涂覆有钇或钇的氧化物;
至少一个冷却通道,所述至少一个冷却通道穿过所述基座形成并配置为使传热流体通过所述冷却通道循环;
从所述下部外直径延伸的唇缘;
穿过所述基座在所述上侧和凹口之间形成的中心孔;
穿过所述基座从所述上侧和凹口之间的中心孔开始径向形成的第一气体入口;以及
穿过所述基座从所述上侧和凹口之间的中心孔开始径向形成的第二气体入口。
27.根据权利要求26所述的盖,其中所述多个台阶进一步包括两个台阶。
CN201510428987.1A 2006-05-03 2007-05-02 适合于蚀刻高纵横比特征的真空处理室 Active CN105185729B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US11/381,523 US8475625B2 (en) 2006-05-03 2006-05-03 Apparatus for etching high aspect ratio features
US11/381,523 2006-05-03
US11/421,208 US8440049B2 (en) 2006-05-03 2006-05-31 Apparatus for etching high aspect ratio features
US11/421,208 2006-05-31
CN2007800002081A CN101473060B (zh) 2006-05-03 2007-05-02 适合于蚀刻高纵横比特征的真空处理室

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2007800002081A Division CN101473060B (zh) 2006-05-03 2007-05-02 适合于蚀刻高纵横比特征的真空处理室

Publications (2)

Publication Number Publication Date
CN105185729A true CN105185729A (zh) 2015-12-23
CN105185729B CN105185729B (zh) 2018-12-14

Family

ID=37296024

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201510428987.1A Active CN105185729B (zh) 2006-05-03 2007-05-02 适合于蚀刻高纵横比特征的真空处理室
CN201310231575.XA Expired - Fee Related CN103352201B (zh) 2006-05-03 2007-05-02 适合于蚀刻高纵横比特征的真空处理室

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201310231575.XA Expired - Fee Related CN103352201B (zh) 2006-05-03 2007-05-02 适合于蚀刻高纵横比特征的真空处理室

Country Status (7)

Country Link
US (2) US8440049B2 (zh)
JP (3) JP3130205U (zh)
KR (2) KR100898195B1 (zh)
CN (2) CN105185729B (zh)
DE (2) DE202006011604U1 (zh)
TW (5) TWM318185U (zh)
WO (1) WO2007131057A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109155242A (zh) * 2016-05-20 2019-01-04 应用材料公司 用于半导体处理的气体分配喷头

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2601545Y2 (ja) * 1992-12-22 1999-11-22 富士重工業株式会社 塵芥収集車のホッパドア開閉装置
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US11136667B2 (en) 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US8198567B2 (en) * 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
JP2009170648A (ja) * 2008-01-16 2009-07-30 Disco Abrasive Syst Ltd プラズマエッチング装置
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
KR101522251B1 (ko) * 2008-09-22 2015-05-21 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 피쳐들을 식각하기에 적합한 식각 반응기
WO2010041213A1 (en) * 2008-10-08 2010-04-15 Abcd Technology Sarl Vapor phase deposition system
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
CN102498558B (zh) 2009-08-07 2016-03-30 应用材料公司 将基板放置在处理腔室中的设备和让处理腔室中的基板朝向中心的方法
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
DE102009044276A1 (de) * 2009-10-16 2011-05-05 Aixtron Ag CVD-Reaktor mit auf einem mehrere Zonen aufweisenden Gaspolster liegenden Substrathalter
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
DE102010027224A1 (de) * 2010-07-15 2012-01-19 Forschungszentrum Jülich GmbH Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US9068265B2 (en) * 2011-02-01 2015-06-30 Applied Materials, Inc. Gas distribution plate with discrete protective elements
US9337067B2 (en) 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9887071B2 (en) * 2011-12-16 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone EPD detectors
JP5829509B2 (ja) * 2011-12-20 2015-12-09 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US20130273239A1 (en) * 2012-03-13 2013-10-17 Universal Display Corporation Nozzle design for organic vapor jet printing
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
KR101734821B1 (ko) * 2013-03-15 2017-05-12 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조시 기판들을 프로세싱하도록 적응된 프로세싱 시스템들, 장치, 및 방법들
US9666466B2 (en) * 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US20150001180A1 (en) * 2013-06-28 2015-01-01 Applied Materials, Inc. Process kit for edge critical dimension uniformity control
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
TW201518538A (zh) * 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
EP3077568B1 (en) * 2013-12-02 2019-02-20 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of a process chamber
TWI661471B (zh) * 2013-12-13 2019-06-01 日商荏原製作所股份有限公司 真空容器之基座的設置構造
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
KR102275077B1 (ko) * 2014-05-30 2021-07-12 세메스 주식회사 기판 처리 장치 및 방법
CN105321838B (zh) * 2014-06-25 2018-02-13 沈阳芯源微电子设备有限公司 一种热盘工艺密闭腔自动调整装置
US9840777B2 (en) 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
JP6335341B2 (ja) * 2014-07-23 2018-05-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 可変型温度制御式基板支持アセンブリ
US10431435B2 (en) * 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
DE102014014070A1 (de) * 2014-09-29 2016-03-31 Forschungszentrum Jülich GmbH Vorrichtung zum geregelten Wärmeübergang auf und von einem Bauteil
CN105779932B (zh) * 2014-12-26 2018-08-24 北京北方华创微电子装备有限公司 用于处理腔室的工艺内衬和物理气相沉积设备
TWI613753B (zh) * 2015-02-16 2018-02-01 靜電吸附承盤側壁之改良密封件
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
ITUB20153316A1 (it) 2015-09-01 2017-03-01 Carlos S R L Calzatura elettronica
JP6639657B2 (ja) * 2015-10-04 2020-02-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 熱質量が小さい加圧チャンバ
US9805963B2 (en) 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
WO2017062087A1 (en) * 2015-10-08 2017-04-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
TWI587442B (zh) * 2016-04-15 2017-06-11 台灣美日先進光罩股份有限公司 電漿製程的光罩基板支撐結構
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11017984B2 (en) * 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10770270B2 (en) * 2016-06-07 2020-09-08 Applied Materials, Inc. High power electrostatic chuck with aperture-reducing plug in a gas hole
JP6710783B2 (ja) * 2016-06-15 2020-06-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高出力プラズマエッチングプロセスのためのガス分配プレートアセンブリ
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102005843B1 (ko) * 2016-12-15 2019-10-02 에이피티씨 주식회사 분리형 웨이퍼 서셉터 및 이를 포함하는 반도체 공정 챔버 장비
US11022877B2 (en) * 2017-03-13 2021-06-01 Applied Materials, Inc. Etch processing system having reflective endpoint detection
JP6789155B2 (ja) * 2017-03-15 2020-11-25 東京エレクトロン株式会社 塗布処理装置及びカップ
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP7122212B2 (ja) * 2018-02-15 2022-08-19 東京エレクトロン株式会社 プラズマ処理装置
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
WO2019187785A1 (ja) * 2018-03-26 2019-10-03 日本碍子株式会社 静電チャックヒータ
JP7152970B2 (ja) * 2019-03-01 2022-10-13 株式会社ニューフレアテクノロジー 気相成長装置
JP7246247B2 (ja) * 2019-05-15 2023-03-27 東京エレクトロン株式会社 基板処理装置及び監視方法
US11415463B2 (en) * 2019-06-04 2022-08-16 Applied Materials, Inc. Contactless workpiece temperature sensor
CN112071733B (zh) * 2019-06-10 2024-03-12 中微半导体设备(上海)股份有限公司 用于真空处理设备的内衬装置和真空处理设备
WO2021146099A1 (en) * 2020-01-13 2021-07-22 Lam Research Corporation Multizone gas distribution plate for trench profile optimization
US20230115256A1 (en) * 2020-03-26 2023-04-13 Tomoegawa Co., Ltd. Electrostatic chuck device and sleeve for electrostatic chuck device
CN114093739B (zh) * 2020-08-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种气体流量调节装置和调节方法及等离子体处理装置
US20220108891A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Modular zone control for a processing chamber
US11694908B2 (en) * 2020-10-22 2023-07-04 Applied Materials, Inc. Gasbox for semiconductor processing chamber
US11794296B2 (en) 2022-02-03 2023-10-24 Applied Materials, Inc. Electrostatic chuck with porous plug
KR20230138619A (ko) * 2022-03-24 2023-10-05 성균관대학교산학협력단 소모성 금속 부재를 포함하는 식각용 플라즈마 처리 장치

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010015175A1 (en) * 2000-02-21 2001-08-23 Toshio Masuda Plasma processing system and apparatus and a sample processing method
US6381021B1 (en) * 2000-06-22 2002-04-30 Applied Materials, Inc. Method and apparatus for measuring reflectivity of deposited films
US20020150519A1 (en) * 2001-04-12 2002-10-17 Applied Materials, Inc. Plasma reactor electrode
US20040027781A1 (en) * 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20040149699A1 (en) * 2000-03-17 2004-08-05 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US20050092435A1 (en) * 2002-03-27 2005-05-05 Tokyo Electron Limited Processing device, electrode, electrode plate, and processing method

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01166007A (ja) * 1987-12-23 1989-06-29 Mitsubishi Rayon Co Ltd 光ファイバ端面の処理方法及びこれに用いる光プラグ
US5427621A (en) * 1993-10-29 1995-06-27 Applied Materials, Inc. Method for removing particulate contaminants by magnetic field spiking
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US6159297A (en) * 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5910221A (en) 1997-06-18 1999-06-08 Applied Materials, Inc. Bonded silicon carbide parts in a plasma reactor
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JP4162773B2 (ja) * 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
DE60015884T2 (de) * 1999-09-20 2005-03-17 Canon K.K. Alkylsiloxanenthaltende Epoxidharzzusammensetzung, Verwendung als Oberflächenmodifizierungsmittel, Tintenstrahldruckkopf und Flüssigkeitsstrahlaufzeichnungsgerät
US6398929B1 (en) * 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
JP3643540B2 (ja) * 2000-02-21 2005-04-27 株式会社日立製作所 プラズマ処理装置
US7011039B1 (en) 2000-07-07 2006-03-14 Applied Materials, Inc. Multi-purpose processing chamber with removable chamber liner
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
JP3764639B2 (ja) * 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
US6716302B2 (en) 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6713127B2 (en) * 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
AU2003209041A1 (en) * 2002-02-06 2003-09-02 Intier Automotive Seating Of America, Inc. Two way locking rotary drive clutch assembly
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
JP4102873B2 (ja) 2002-03-29 2008-06-18 東京エレクトロン株式会社 プラズマ処理装置用電極板及びプラズマ処理装置
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6846726B2 (en) * 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US20050136657A1 (en) * 2002-07-12 2005-06-23 Tokyo Electron Limited Film-formation method for semiconductor process
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20050016684A1 (en) * 2003-07-25 2005-01-27 Applied Materials, Inc. Process kit for erosion resistance enhancement
US7311779B2 (en) * 2003-10-06 2007-12-25 Applied Materials, Inc. Heating apparatus to heat wafers using water and plate with turbolators
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7267787B2 (en) 2004-08-04 2007-09-11 Intematix Corporation Phosphor systems for a white light emitting diode (LED)
US7268076B2 (en) * 2004-10-05 2007-09-11 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US20060075968A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Leak detector and process gas monitor
US7833381B2 (en) * 2005-08-18 2010-11-16 David Johnson Optical emission interferometry for PECVD using a gas injection hole
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) * 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010015175A1 (en) * 2000-02-21 2001-08-23 Toshio Masuda Plasma processing system and apparatus and a sample processing method
US20040149699A1 (en) * 2000-03-17 2004-08-05 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6381021B1 (en) * 2000-06-22 2002-04-30 Applied Materials, Inc. Method and apparatus for measuring reflectivity of deposited films
US20020150519A1 (en) * 2001-04-12 2002-10-17 Applied Materials, Inc. Plasma reactor electrode
US20050092435A1 (en) * 2002-03-27 2005-05-05 Tokyo Electron Limited Processing device, electrode, electrode plate, and processing method
US20040027781A1 (en) * 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109155242A (zh) * 2016-05-20 2019-01-04 应用材料公司 用于半导体处理的气体分配喷头
CN109155242B (zh) * 2016-05-20 2023-05-09 应用材料公司 用于半导体处理的气体分配喷头

Also Published As

Publication number Publication date
DE202006012740U1 (de) 2006-10-12
KR20080015779A (ko) 2008-02-20
TWM318185U (en) 2007-09-01
JP3128562U (ja) 2007-01-18
US20140020834A1 (en) 2014-01-23
US20070256786A1 (en) 2007-11-08
TW201250833A (en) 2012-12-16
US9991109B2 (en) 2018-06-05
KR100898195B1 (ko) 2009-05-18
CN103352201A (zh) 2013-10-16
TW200805486A (en) 2008-01-16
US8440049B2 (en) 2013-05-14
KR20090005253A (ko) 2009-01-12
CN103352201B (zh) 2016-06-08
WO2007131057A4 (en) 2009-03-19
JP2009536461A (ja) 2009-10-08
CN105185729B (zh) 2018-12-14
JP5031028B2 (ja) 2012-09-19
DE202006011604U1 (de) 2006-11-02
TWI385744B (zh) 2013-02-11
KR100900596B1 (ko) 2009-06-02
WO2007131057A3 (en) 2009-02-05
TW200802667A (en) 2008-01-01
TWM316492U (en) 2007-08-01
TWI463562B (zh) 2014-12-01
WO2007131057A2 (en) 2007-11-15
JP3130205U (ja) 2007-03-22

Similar Documents

Publication Publication Date Title
CN103352201B (zh) 适合于蚀刻高纵横比特征的真空处理室
CN101473060B (zh) 适合于蚀刻高纵横比特征的真空处理室
KR101514942B1 (ko) 반도체 장치의 rf 전력 전달 시스템
US8444926B2 (en) Processing chamber with heated chamber liner
US6403491B1 (en) Etch method using a dielectric etch chamber with expanded process window
CN101308771B (zh) 气体流动扩散器
US20070091535A1 (en) Temperature controlled semiconductor processing chamber liner
KR20010113558A (ko) 세라믹 기판 지지체
TW201719801A (zh) 在半導體製造中可流動沈積之系統及裝置
TW201842610A (zh) 用以降低發弧的氦插塞設計
WO2020125468A1 (zh) 腔室进气结构以及反应腔室
CN107230655A (zh) 用于等离子体处理的双区式加热器
KR200431206Y1 (ko) 삽입부 없이 고종횡비 미세구조물을 에칭하기에 적절한상부 챔버 라이너
JPS6230329A (ja) ドライエツチング装置
KR200427163Y1 (ko) 높은 종횡비 피쳐의 에칭에 적합한 기판 지지부
EP1167572A2 (en) Lid assembly for a semiconductor processing chamber
TW202045762A (zh) 用於真空處理設備的內襯裝置和真空處理設備

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant