TWM318185U - Substrate support suitable for using in a semiconductor processing chamber - Google Patents

Substrate support suitable for using in a semiconductor processing chamber Download PDF

Info

Publication number
TWM318185U
TWM318185U TW095211750U TW95211750U TWM318185U TW M318185 U TWM318185 U TW M318185U TW 095211750 U TW095211750 U TW 095211750U TW 95211750 U TW95211750 U TW 95211750U TW M318185 U TWM318185 U TW M318185U
Authority
TW
Taiwan
Prior art keywords
substrate support
base
protrusion
wall
gas
Prior art date
Application number
TW095211750U
Other languages
English (en)
Inventor
Sharma Pamarthy
Huutri Dao
Xiao-Ping Zhou
Kelly A Mcdonough
Jivko Dinev
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/381,523 external-priority patent/US8475625B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TWM318185U publication Critical patent/TWM318185U/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

M318185 八、新型說明: 【新型所屬之技術領域^ 本創作涉及-種用以於半導體基板及其同類物質上餘 刻高深寬比之結構的真空製程腔,特別是一種適用於真空 製程腔中而用以蝕刻高深寬比結構之基板支撐件。、…二 【先前技術】 基於對更快速、更有效之積體電路(化㈣…以 circuit ; IC )設備之需求,IC製程技術面臨許多新的挑戰, 包括需要於-基板上“口 :半導體晶圓)蝕刻具有高深寬 比(aspect ratio )之結構(如··溝槽或孔洞)。舉例來說, 某些動態隨機存取記憶體(dynamic rand〇m access memory )中所使用的深溝槽儲存結構係需要將具高深寬比 的溝槽蝕刻於半導體基板上。矽溝槽深蝕刻(deep smc〇n trench etching)通常於一反應性離子蝕刻(reactive i〇n etching ; RIE )製程中進行,並利用氧化矽為遮罩材料。 一種習知之系統已於钱刻鬲深寬比之緯構上表現十分 優異’係為CENTURA HARTtm蝕刻系統(購自加州聖克 拉拉的 Applied Materials 公司)。CENTURA HARTtm 姓刻 系統利用MERIE反應器’並在維持溝槽由中心至邊緣的深 度均一性為5 %之下,蝕刻出具有深寬比高達7 〇 ··丨之溝 槽。然而,為了能夠製造出具有次90nm關鍵尺寸(sub_90nm critical dimension)的積體電路,電路設計者則被要求在 南深寬比情況下改善溝槽之均一性。故必須要改善餘刻之 6
M318185 性能而促使下一代設備之實現。 因此,現今需要一可蝕刻高深寬比結構之改良設備。 【新型内容】 本創作之實施例提供一適用於蝕刻高深寬比結構之設 備,如:製程腔。其他實施例包括利用於該製程腔中的一 基板支撐件。 於一實施例中,基板支撐件包括一靜電吸座,而吸座 包括一主體,並由一上壁、一中壁以及一下壁定義出一外 徑。中壁之高度係小於下壁,但大於上壁。吸座亦包括嵌 設其中的一嵌位電極。 於另一實施例中,適用於半導體製程腔之基板支撐件 包括一靜電吸座、一基部以及一升降銷導引組件,而升降 銷導引組件結合至基部,並部分延伸至靜電吸座之主體。 於一實施例中,製程腔包括一腔室主體,而腔室主體 具有一噴氣頭組件以及一基板支撐組件設置於内。喷氣頭 組件包括至少二流體分離之充氣部、一可供光學計量訊號 傳遞之部位,以及多個穿設於噴氣頭組件之氣體通道,而 氣體通道使充氣部係流體連通至腔室主體之内部空間内。 於另一實施例中,製程腔包括一腔室主體,而腔室主 體具有一喷氣頭組件以及一基板支撐組件設置於内。喷氣 頭組件包括一内部氣體流動區域、一外部氣體流動區域以 及一可供光學計量訊號傳遞之部位;其中内部、外部區域 彼此係為流體分離。基板支撐組件包括至少二獨立控溫且 7
M318185 橫向間隔之區域。光學計量系統係透過喷氣頭組件之 遞區域來觀察腔室主體之内部空間。基板支撐組件具 其結合之一偏壓電源以及至少二電漿電源。 於另一實施例中,製程腔包括一腔室主體,而腔 體具有一配氣板以及一基板支撐組件設置於内。配氣 括一組外部氣流孔洞、一組内部氣流孔洞以及一組光 量孔洞。内部氣體流動區域透過第一組氣流孔洞而流 通至腔室主體之内部空間;外部氣體流動區域則與内 體流動區域呈現流體分離,並透過第二組氣流孔洞而 連通至腔室主體之内部空間;陶瓷插塞具有多個孔洞 對準於光學計量孔洞及一可視窗。基板支撐組件設置 室主體内,並包括至少二獨立控溫且橫向間隔之區域 學計量系統透過可視窗、插塞内之孔洞以及光學計量 所定義出的光學通道來觀察腔室主體之内部空間。基 撐組件具有與其結合之一偏壓電源以及至少二電漿電 於另一實施例中,係提供一蝕刻高深寬比結構 法,包括:提供多種氣體至混合歧管;控制源自混合 之氣體流至製程腔中不同區域之比例;以及繞過混合 而提供至少一直接注入氣體至製程腔中至少一區域。 又另一實施例中,係提供一包括有一配氣板結合 上部區域之喷氣頭組件。配氣板包括一組外部氣流孔 一組内部氣流孔洞以及一組光學計量孔洞。上部區域 一流體連通至外部氣流孔洞之第一充氣部,以及流體 至内部氣流孔洞之第二充氣部,而該些充氣部於上部 可傳 有與 室主 板包 學計 體連 部氣 流體 ,其 於腔 〇光 孔洞 板支 原。 之方 歧管 歧管 至一 洞、 包括 連通 區域 8
M318185 中係為流體分離。陶瓷插塞穿設上部區域,並具有對準於 光學計量孔洞之光傳遞部位。 【實施方式】 「第1圖」所示係為適用於基板144上蝕刻高深寬比 結構之製程腔1 〇 〇的一實施例。雖然圖中所示之製程腔1 〇 〇 已包括多個可使蝕刻性能較佳的結構,但亦可使用其他之 製程腔而仍適用於本創作中所揭露之一個或多個結構。 製程腔100包括一腔室主體102以及一上蓋104,因 而定義出一内部空間106。腔室主體102通常由鋁、不鏽 鋼或其他適合材料所製成,而腔室主體1 〇 2通常包括側壁 108及一底部110,一基板輸入口(圖中未示)通常設置於 側壁1 0 8,並選擇性地以一間縫閥(s 1 i t v a 1 v e )而密封之, 以協助基板144於製程腔100之進出動作。一排氣口 126 設置於腔室主體1 02内,並將内部空間1 06連接至一抽氣 系統1 2 8。抽氣系統1 2 8通常包括一或多個泵以及節流閥, 而用以排空以及調節製程腔1 〇〇中内部空間1 06的壓力。 於一實施例中,抽氣系統1 2 8維持内部空間1 0 6内的壓力 於一操作壓力下,通常介於10毫托〜20托(Torr )之間。 上蓋104係密閉並支撐於腔室主體 102的側壁 108 上,上蓋1 04亦可開啟而允許進入製程腔1 00之内部空間. 106。上蓋104包括一可視窗142以方便進行光學製程監 控,於一實施例中,可視窗1 42包括石英或其他適合材料 而可傳遞一光學監控系統1 40所使用之訊號。 9
M318185 光學監控系統1 40係定位於透過可視窗丨42而可觀測 腔室主體102之至少一内部空間1〇6,以及/或置於基板支 撑組件1 4 8上方之基板1 4 4。於一實施例中,光學監控系 統1 4 0係結合至上蓋1 〇 4,而協助利用光學計量之積體蝕 刻製程’以提供調整製程而補償饋入圖樣的不一致(如: CD、厚度等)所需之訊息、提供製程狀態監控(如:電漿 監控、溫度監控等),以及/或終點監測等。一種光學監控 系統亦可適用於本創作,即為EyeD® full-spectrum, interferometric metrology module ( EyeD®* 光譜干涉計量 組件)’其係講自加州聖克拉拉的A p p 1 i e d M a t e r i a 1 s公司。 於一實施例中’光學監控系統i 4〇可用於量測CDs、 薄膜厚度以及電漿特性,而光學監控系統丨4〇可採用較非 破壞性之光學量測技術其中之一,如:光譜學、干涉術、 散射術、反射術等同類技術。舉例來說,光學監控系統1 4〇 亦可用以進行一干涉監控技術(例如:計算時域中之干涉 條紋以及量測頻域中之條紋位置等),而於基板i 4 4上形成 結構之同時量測其I虫刻深度分佈情形。如何使用一光學監 控之範例已揭露於本創作受讓人所受讓之美國專利申請序 號第60/4 79601號(於2003年6月18日申請,發明名稱 為「Method and System for Monitoring an Etch Process」)、美國專利公告第6413837號(於2002年7月2 曰公告,發明名稱為「Film Thickness Control Using Spectral Interferometry」)以及美國專利申請序號第 6 0/46 2 4 93號(於2003年4月1 1日中請,發明名稱為 10
M318185 「Process Control Enhancement and Fault Detection Using In-Situ and Ex - si tu Metrologies and Data Retrieval In Multiple Pass Wafer Processing」)。 氣體分配盤(gas panel) 158結合至製程腔100以提 供内部空間1 〇 6製程氣體以及/或清洗氣體。於「第1圖」 所示之實施例中,進氣孔1 3 2 ’、1 3 2 ’’係設置於上蓋1 04, 並提供氣體由氣體分配盤1 5 8輸送至製程腔1 〇〇的内部空 間100中。 喷氣頭組件1 3 0係結合至上蓋1 〇 4的内表面11 4 ’並 包括多個孔洞而使氣體自進氣孔1 3 2 ’、1 3 2 ’’通過喷氣頭組 件1 3 0而流至製程腔1 〇〇之内部空間106,且以一預定分 散方式而散佈至製程腔1 00中進行加工之基板1 44表面。 喷氣頭組件1 3 0同時亦包括一可傳遞一光學計量訊號 之部位,光傳遞部位或通道1 3 8係適用於使光學監控系統 140觀測内部空間1〇6及/或置於基板支撐組件148上之基 板144。通道1 3 8可為一物質、一孔洞或是設置於噴氣頭 組件1 30上之多個孔洞,其係可實質傳遞來自或反射至光 學監控系統1 40之能量的波長。於一實施例中,通道1 3 8 包括一可視窗142以防止氣體自通道138洩漏,而可視窗 142可為〆藍寶石板、石英板或是其他合適物質。可視窗 142亦可設置於上蓋1(>4。 於一實施例中,喷氣頭組件13 0係可區分為多個區 域,藉此可分區控制氣體流向製程腔1 〇〇之内部空間1 06。 於「第1圖」所示之實施例中,喷氣頭組件13〇包括一内 11
M318185 部區域1 34以及一外部區域1 36,兩者係分別透過不同的 進氣孔132’、132’’而連接至氣體分配盤158。 「第2圖」係噴氣頭組件1 3 0之一實施例的剖面圖, 喷氣頭組件130通常包括一基部2〇2、上及下充氣板204、 206、一插塞208以及一配氣板21〇。上及下充氣板204、 2 0 6係相隔一距離而相互結合,並設置於基部2 〇 2之凹部 270内而定義出喷氣頭組件13〇之上部結構。由上、下充 氣板204、206所定義出的充氣區藉由一障壁234而區分為 二流體分離之區域。「第2圖」所示之實施例中,障壁2 3 4 將充氣部2 1 8與充氣部2 2 0區分開來,而充氣部2 1 8、2 2 0 係分別由基部2 02上之進氣孔1 3 2,、1 3 2,,進氣,而進氣孔 132’、132”係由進氣管222、224穿過基部202與上充氣 板204而形成。氣體通道242(於下方再詳述之)係穿過 下充氣板206以及配氣板210而使充氣部218、220内之氣 體進入製程腔1〇〇之内部空間106。氣體通道242之設置 數目與分佈係視欲提供製程腔1 〇 〇中預定之氣體分佈情形 而做選擇性調整。 形成於基部2 0 2内之凹部2 7 0可包括一或多個台階以 定位上、下充氣板204、206,如「第2圖」所示之實施例, 凹部270包括一内台階240與一外台階284,内台階240 提供一表面而抵靠下充氣板2 0 6所設置之處。一密封件(未 標號)係設置於内台階240與下充氣板206之間以防土漏 氣。外台階2 8 4提供一凹陷入基部2 〇 2之凹部,而使配氣 板2 1 0能填裝入下充氣板2 0 6與基部2 〇 2之間的間隔内。 12
M318185 基部202通常包括一自内部外徑286而朝外延伸之突 唇216,定義於突唇216與内部外徑286之間的突出部288 係將喷氣頭組件1 3 0支撐於上蓋1 04以及/或外襯墊1 1 6上 方。突出部288通常垂直於突唇216以及内部外徑286, 且上述兩者通常平行於腔室主體1 02之中心線,並於該中 心線為同中心。 内部外徑286係終止於基部202的底表面290,而底 表面290通常面對製程區域,且就其本身而言,其上可附 著一保護性材料,如·· Y2〇3。 基部202亦包括多個形成於其内部之管道212,而管 道2 1 2連接至一流體源2 1 4,流體源2 1 4提供一熱交換流 體(如:空氣或水),其係循環通過管道2 12而調節基部 2 0 2以及噴氣頭組件1 3 0之溫度。 通道1 3 8係穿設於喷氣頭組件1 3 0而利於光學監控系 統1 40對於腔室製程以及/或基板性質進行監控。通道1 3 8 包括同軸排列之孔洞226、264、254、262,第一孔洞226 形成於基部202中,第二孔洞264形成於上充氣板204中, 第三孔洞2 5 4形成於下充氣板2 0 6中,而孔洞2 6 2則形成 於配氣板21 0中。可視窗1 42係密封設置於通道1 3 8,以 防止氣體通過喷氣頭組件 1 3 0而洩漏至光學監控系統 1 40。於「第2圖」所示之實施例中,上充氣板2 04上具有 一凹部258以容設可視窗142。Ο型圈(並未標示於「第2 圖」)係使可視窗142可密封至上充氣板204以及基部202。 插塞 208至少設置在上充氣板 204 中的第二孔洞 13
M318185 264,插塞208係可傳遞光學監控系統140所使用之訊號。 於一實施例中,插塞2 0 8包括多個具高深寬比之通道2 6 0, 不但可防止電漿於通道2 6 0内形成,亦可使光學監控系統 140與製程腔1 00的内部空間106之間形成一分界面。於 一實施例中,通道2 6 0具有至少為1 0 : 1之深寬比(高度 比上直徑),比如為1 4 : 1。於另一實施例中,通道2 6 0具 有一直徑小於或相當於DEBYE長度及/或電子平均自由行 程(e 1 e c t r ο n m e a n f r e e p a t h ),如·’小於 1 · 5 m m,又例如 為約0.9 mm。於另一實施例中,通道260定義出高達60 %的全透光區(open area),又另一實施例中,約略有37 個通道260形成並穿過插塞208。 形成於插塞2 0 8中之通道2 6 0係對準於配氣板2 1 0上 之計量孔洞2 6 2,且計量孔洞2 6 2群聚於配氣板2 1 0的中 心,而其所具有之密度、直徑(或寬度)、斷面以及全透光 區皆適以促進計量訊號之有效傳遞而通過配氣板 2 1 0。於 一實施例中,孔洞2 6 2之數量與斷面圖皆與通道2 6 0相似。 可視窗142使得通道260以及孔洞262無氣流通過,但卻 允許光學之傳遞,藉此,通道260、孔洞262以及可視窗 142係利於光學監控系統 140對於製程腔 100之光學監 控,而不會出現真空度洩漏或是電漿對於定義出光學觀測 路徑之結構的損傷。 錐形座25 6形成於上充氣板204中,而使第二孔洞264 結合至凹部2 5 8。錐形座2 5 6係與插塞2 0 8之喇叭形部位 3 04相互緊配,如「第3圖」所示,喇叭形部位304係位 14
M318185 於插塞208之頭部302以及延伸桿3 06之間。 插塞20 8通常以一可與製程中之化學反應相容的 製成。於一實施例中,插塞2 0 8係由一介質材料製成, 陶瓷。於另一實施例中,插塞208係為鋁。 上、下充氣板204、206結合至基部202,而上充 2 0 4亦結合至下充氣板2 0 6。於一實施例中,上充氣相 藉由多個插銷2 2 8而結合至下充氣板2 0 6,插銷2 2 8 端係插入分別形成於上、下充氣板204、206之洞23 0、 插銷228可藉由鎖固元件或是黏著物固定之,或是以 之方法而摩擦固定之。「第4圖」之剖面圖顯示插銷 由形成於下充氣板2 0 6之洞2 3 2而延伸,只要洞2 3 0 不延伸穿過其相對應之板(204、206 ),則插銷228周 漏氣現象即可避免。 請再配合參閱「第5〜6圖」,配氣板2 1 〇至少與 氣板2 0 6或基部2 〇 2其中之一相結合。於一實施例中 著層502將配氣板21〇結合至下充氣板2〇6 ,並於其 義出多個環狀的充氣部5 〇 8,而充氣部5 〇 8使得氣體 242沿著一般半徑或是一半徑範圍而定位,並與流體 而促使通過噴氣頭組件丨3 〇之氣體流動以一既定半徑 而呈現均一性。 於一貫施例中,黏著層5 0 2包括多個黏著環5 0 4 多個黏著珠粒5 0 6,黏著環5 0 4係呈現同中心排列而 充氣部508。多個黏著珠粒5〇6亦於黏著環5〇4之間 同中心排列。黏著珠粒5〇6與黏著環5〇4相隔一距離 材料 丨如: 氣板 L 204 的兩 232, 緊迫 228 ' 232 圍之 下充 ,黏 中定 通道 連接 位置 以及 黏結 呈現 ,使 15
M318185 得氣體沿著黏著珠粒506而於共享同一充氣部5 08的 242之間流動。 再往回參閱「第2圖」,形成於下充氣板206部分 體通道242通常包括一第一鑽孔244、一節流孔246 第二鑽孔248。第一鑽孔244係與充氣部220(或2U 通而使氣體進入氣體通道242 ;第二鑽孔248則對準 氣板2 1 0中的孔洞250,而將氣體運送至製程腔1 00 内部空間1 〇 6。 於一實施例中,第一、第二鑽孔244、248之直徑 度皆實質大於節流孔246,以利於氣體通道242之有 造。於「第2圖」所示之實施例中,與充氣部2 2 0 (或 相通之第一鑽孔244相較於與製程腔1 00之内部空間 相通之第二鑽孔248具有較大的直徑以及較低的高深 配氣板2 1 0可為一平盤,而孔洞2 5 0之空間分佈 配氣板2 1 0之中心區域往外之模式,當一組孔洞2 5 0 部區域1 3 6之流體相連,而另一組之孔洞2 5 0則與内 域134之流體相連接。孔洞250係為氣體通道242之 分,因此可使氣體通過配氣板2 1 0而進入製程腔1 0 0 部空間106内。 為了延長喷氣頭組件1 3 0之使用壽命,配氣板2 : 少以纪或是其氧化物來製造或是覆蓋之。於一實施例 配氣板2 1 0係由大量的釔或是其氧化物製成,以提供 氟化化學物質之抗性;於另一實施例中,配氣板2 1 0 量的Y203所製成。 通道 的氣 及一 )相 於配 中的 與深 效製 218 ) 106 比。 係由 與外 部區 一部 之内 _〇至 中, 其對 由大 16
M318185 「第7圖」係為喷氣頭組件700之另一實施例,喷氣 頭組件7 0 0係實質相似於喷氣頭組件1 3 0,其具有插塞7 0 8 而防止電漿上升至通道726,而通道726係穿過喷氣頭組 件7 00而形成以協助光學計量。可視窗142亦設置於通道 7 2 6而做為氣體之屏障。 喷氣頭組件 700 包括一與充氣板 704結合之基部 702,充氣板704包括形成於其内之一對環狀溝槽 712、 7 1 4,並以基部702而限制並定義出内、外充氣部7 1 6、7 1 8。 氣體由氣體分配盤1 5 8,並透過其相對應之進氣孔1 3 2 ’、 132’’而提供至内、外充氣部716、718,接著使氣體各自受 控於内部、外部區域1 3 4、1 3 6,並由喷氣頭組件7 0 0而延 伸至製程腔1 00之内部空間1 06。 充氣板704包括一插塞孔720,其對準於基部702中 所形成的孔洞 706 以及配氣板 710中所形成的計量孔 728,並定義出該通道726。插塞孔720通常包括一凹部722 以容設可視窗1 4 2以及錐形座7 2 4。錐形座7 2 4係與插塞 7 0 8的喇叭形部位接合而將插塞7 0 8定位於充氣板7 0 4内。 「第8圖」係繪示由氣體分配盤1 5 8輸送至製程腔1 00 之氣體的路線分配及控制示意圖。氣體分配盤1 5 8通常包 括多個結合至混合歧管8 1 0以及流量控制器8 1 4之氣體來 源(以下簡稱「氣源」)。 一般來說,源自各個氣源的流量係受到控制閥8 0 8的 調控,控制閥8 0 8係控制源自氣源之流體的流量、速率、 壓力等其中之一,而控制閥8 0 8可包括一個以上的閥門、 17
M318185 調節器以及/或流量控制裝置。 於一實施例中,氣體分配盤1 5 8包括 802、至少一製程氣源804以及至少一載素 氣源804以及載氣氣源806之流體藉由獨 連接至混合歧管8 1 0,多種源自製程氣源 源8 06之氣體於混合歧管8 1 0中結合成為 體(pre-delivery gas mixture)0 因此,混 運送前混合氣體的組成係可藉由其相對控 性開啟而改變,藉此,製程氣源804以及 預定組合則可形成。舉例來說,至少一源 之製程氣體以及至少一源自載氣氣源8 0 6 合歧管8 1 0中呈現任何之組合方式。製程 SiCl4、HBr、NF3、02 以及 SiF4 其中一; N2、He、Ar或其他不與製程氣體以及非反 應之氣體。 流量控制器8 1 4藉由初級進氣管 8 1 8 1 0接合,而流量控制器8 1 4係用以將源 之運送前混合氣體分散為次混合物(sub· 過不同的進氣管路而輸送至製程腔 1〇〇。 管路的數量與喷氣頭組件1 3 0中之區域( 部)數目相同。於「第8圖」所示之實施 路8 1 6、8 1 8將流量控制器8 1 4結合至其 132’、132,,。 流量控制器8 1 4通常用以控制次混合 至少一直接氣源 u氣源806。製程 立的氣體管路而 804以及載氣氣 一運送前混合氣 合歧管8 1 0中之 制閥8 0 8之選擇 載氣氣源806之 自製程氣源 804 之載氣可以於混 氣體的實例包括 載氣之實例包括 應性氣體產生反 2而與混合歧管 自混合歧管810 -mixture),以透 一般來說,進氣 或是分離之充氣 例中,二進氣管 相對應之進氣孔 物流入各進氣管 18
M318185 路8 1 6、8 1 8之比例,藉此,流入各個區域以及最終至基板 1 4 4各部位的氣體次混合物之比例皆可被控制。流量控制 器8 1 4可採用電子或機械裝置而分散運送前混合氣體,於 一實施例中,流量控制器8 1 4可相應於控制器1 5 0之訊號 而動力控制該比例,藉此,針對不同批次的基板之間、各 基板之間以及/或單一基板之單一腔室製程(in-situ p r o c e s s i n g )的比例皆可改變。於另一實施例中,流量控制 器8 1 4係設定而使進氣管路8 1 6、8 1 8之間的比例固定。亦 可藉由流量控制器8 1 4中的一或多個孔而設定該比例,藉 此,來自初級進氣管8 1 2之氣流優先地於進氣管路8 1 6、 8 1 8之間而分散。 於一實施例中,流量控制器8 1 4提供内部區域1 3 4相 對於外部區域1 3 6較多之氣體。另一實施例中,流量控制 器8 1 4提供外部區域1 3 6相對於内部區域 1 3 4較多之氣 體。又另一實施例中,於第一階段基板製程中,流量控制 器8 1 4提供内部區域1 3 4相對於外部區域1 3 6較多之氣 體,接著改變比例,而使於第二階段基板製程(單一腔室 製程)中,則提供外部區域1 3 6相對於内部區域1 3 4較多 之氣體。流量控制器8 1 4亦可控制輸送至製程腔1 00中不 同區域的流量比例呈現其他之順序或比例。 氣體分配盤1 5 8之直接(注入)氣源8 02亦可提供製 程腔1 0 0之内部空間1 〇 6 —個直接注入氣體。來自直接氣 源802的直接注入氣體量係受到控制閥808之調控。 於一實施例中,直接注入氣體係提供給至少一進氣管 19
室主體102之底部110, 及傳感器導線其中之一) 路0 M318185 路8 1 6、8 1 8。於另一實施例中,直接注入氣體係進入二直 接進氣管路820、822,且兩者再分別進入進氣管路816、 8 1 8。又另一實施例中,直接注入氣體係提供給與進氣孔 1 3 2,、1 3 2,,連接之至少一進氣管。再另一實施例中’直接 注入氣體係提供給喷氣頭組件1 3 0 ( 7 0 0 )之至少〆充氣部 218、 220 (716、 718)° 於「第8圖」所示之實施例中,係提供給各區域(134、 1 3 6 )相同含量之直接注入氣體。另外,第二流量控制器 8 24 (以虛線框表示,並與流量控制器8 1 4相似)可用於提 供各區域(1 3 4、1 3 6 )不同比例之直接注入氣體。 請往回參閱「第1圖」,基板支撐組件1 4 8係置於製程 腔1 0 0之内部空間1 〇 6内,並位於喷氣頭組件1 3 〇之下方。 基板支撐組件148係於製造過程中支撐基板144,而基板 支撐組件1 4 8通常包括穿過該組件1 4 8之多個升降銷(圖 中未示),係用以將基板144抬離基板支撐組件ι48,以便 於自動手臂(圖中未示)以一習知方式而替換基板144。 於一實施例中,基板支撐組件148包括一安裝板Η?、 一基部164以及一靜電吸座166。安裝板162係結合至腔 且包括物件(如:流體、電線以 通往基部丨64以及吸座166之通 至少基部164或吸座166其中夕,, 一甲之一包括有至少一選擇 性之嵌入式加熱器i 76、至少一 、擇性之嵌入式隔離器1 7 4 以及控制基板支撐組件丨4 8之側❿ 1 J ’皿曲線的多個導管。於「第 20
M318185 1圖」所示之實施例中,一環狀隔離器174以及二導管168、 1 7 0設置於基部1 6 4,而一電阻加熱器1 7 6則設置於吸座 1 6 6。導管1 6 8、1 7 0係流體連通至流體源1 7 2,而於其中 循環有一溫度調控流體。加熱器1 7 6由電源1 7 8調控之。 導管1 6 8、1 7 0以及加熱器1 7 6係用於控制基部1 6 4之溫 度,從而加熱及/冷卻靜電吸座1 6 6,藉此,至少某種程度 地控制置於靜電吸座1 66上之基板1 44的溫度。 兩個分離之冷卻通道(或導管)1 6 8、1 7 0係形成於基 部1 64中,而定義出至少二獨立之溫控區,亦可增加額外 的冷卻通道以及/或通道佈局而定義出額外的溫控區。於一 實施例中,第一冷卻通道1 6 8係排列於第二冷卻通道1 70 之徑向内側,故溫控區為同中心,而冷卻通道 1 6 8、1 7 0 可以為徑向排列或是其他的幾何配置。冷卻通道1 6 8、1 7 0 可結合至具有單一溫控熱傳流體之單一流體源1 72,或是 分別結合至不同的熱傳流體源。 隔離器1 74之材料係為與基部1 64之相鄰區域具有不 同熱傳導係數之材料所製成。於一實施例中,隔離器174 相對於基部1 64具有較小之熱傳導係數;而於另一實施例 中,隔離器174係由一具有非等向性(即··方向獨立)熱 傳導係數之材料製成。隔離器1 74係用以改變基板支撐組 件148之間,由基部164傳導至導管168、170之局部熱傳 導速率,而相對於基部1 64之其他鄰近部位,於其熱傳導 路徑上並無隔離器1 74來改變熱傳導速率。隔離器1 74係 橫向設置於第一、第二冷卻通道1 6 8、1 7 0之間,以提供基 21 M318185 板支撐組件148中所定義的各溫控區之間更佳的熱分 果。 於「第1圖」所示之實施例中,隔離器i74設置 管168、170之間,因而阻擋橫向的熱傳導,並促進了 基板支撐組件1 48之橫向溫控區分佈。因此,藉由控 入物(如上述隔離器174等)的數目、形狀、尺寸、 以及熱傳導係數,則靜電吸座166以及置於其上之基相 的溫度變化特徵可以被控制。雖然「第丨圖」所示之 器174係成環形,但隔離器174之形狀亦可以為其他 式。 一熱傳導膠或是黏著劑(圖中未示)係可選擇性 置於基部164以及靜電吸座166之間,而熱傳導膠促 電吸座1 66以及基部1 64之間的熱交換情形。於一試 施例中,黏著劑係將靜電吸座i 66物理性黏著至基部 另外(圖中未示),基板支撐件丨4 8亦可包括一零件( 夾子、螺栓等同類物件)而用以將靜電吸座丨66固定 部 1 6 4。 靜電吸座1 6 6以及基部1 6 4之溫度可藉由多個感 來監控之。於「第1圖」所示之實施例中,第一溫度 器1 9 0及第二溫度感測器丨9 2係以徑向間隔定位,藉 第一溫度感測器1 9 0提供控制器1 5 0有關基板支撐 1 4 8之中央區域以公制表示的溫度,而第二溫度感測器 則提供控制器1 5 0有關基板支撐組件1 4 8之周圍區域 制表示的溫度。 離效 於導 橫跨 制後 位置 L 144 隔離 之形 地設 進靜 驗實 164 ° 即: 至基 測器 感測 此, 組件 192 以公 22
M318185 靜電吸座166設置於基部164上,且其周圍環設有一 遮蓋環146,靜電吸座166可由鋁、陶瓷或其他適合於製 程中支撐基板1 44之材料所製成。於一實施例中,靜電吸 座166為陶瓷,或者是,靜電吸座166可由真空吸座、機 械式吸座或是其他合適之基板支撐件來替代之。 靜電吸座1 6 6通常由陶瓷或是其他相似之介質材料所 形成,並包括由吸座電源 1 8 2所控制之至少一嵌位電極 (clamping electrode) 180。電極180(或其他設置於吸座 166或基部164内之電極)更可結合至一或多個RF電源, 以維持製程中所形成之電漿,以及/或製程腔1 〇 〇中的其他 氣體。 於「第1圖」所示之實施例中,電極1 8 0係透過一匹 配電路(matching circuit) 188而結合至一第一 RF電源 184以及一第二RF電源186。第一、第二RF電源184、 186通常可產生頻率範圍約為50 kHz〜3 GHz之RF訊號, 以及高達10000瓦的電力。匹配電路188係將第一、第二 RF電源1 8 4、1 8 6的電阻匹配至電漿電阻。可將源自第一、 第二RF電源184、186之電力結合並單一輸送給電極180; 或是將第一、第二RF電源1 84、1 8 6之電力分別輸送至電 極 1 8 0。 靜電吸座1 6 6可包括由電源1 7 8所控制之至少一嵌入 式加熱器1 7 6,而靜電吸座1 6 6更可包括多個氣體通道(圖 中未示),如溝槽,其係形成於吸座1 6 6之基板支撑表面, 並流體連通至一熱傳導氣體源(或背面氣體源)。於操作過 23
M318185 程中,背面氣體(如氦氣,H e )係於一控制壓力 氣體通道中,以促進靜電吸座166與基板144之 導。通常,至少靜電吸座166之基板接觸表面會;ί 其可抵抗於基板製程中所採用的化學物質與溫度 「第1 2圖」為基板支撐組件1 4 8的部分剖s 示升降銷導引組件1 2 0 0之一實施例。一般來說, 組件148中至少利用三個升降銷導引組件1 200。 升降銷導引組件1200主要設置於基板支撐 基部164中所形成的階形洞1204内,而階形洞 於穿設在靜電吸座1 66的孔洞1 202。一部份的升 組件1200延伸至孔洞1202,因而於基部164與 之間提供一個對準結構。 升降銷導引組件1 200通常包括一導引件12 定位器1 2 1 8。一彈性元件;[2 2 0設置於導引件1 2 位器1 2 1 8之間,以提供升降銷導引組件1 2 0 0組 支撐組件1 4 8上方的緩衝物,並調節基板支撐組 熱膨脹與熱收縮。於一實施例中,彈性元件1 2 2 0 型圈,並且是由可與製程化學物質以及製程環境 性材料所製成。 導引件1210包括一具有上突出物124*0以及 1 228之主體1 2 1 6。導引件1 2 1 0亦包括同中心之 二穿孔1 2 2 4、1 2 2 6 ’兩者係提供升降銷(圖中未 升降銷導引組件1 2 0 〇之通道。上突出物1 2 4 0係 一凹部1238接合,其中凹部1238形成於靜電吸 下而供八 間的熱傳 Γ 一塗層, 〇 ^圖,其% 基板支待 組件1 4 8 1 2 0 4對準 降銷導引 .吸座166 1 0以及一 1 0以及定 裝至基板 件148之 係為一 〇 相容之彈 下突出物 第一、第 示)穿過 延伸而與 座1 6 6面 24
M318185 向於基部1 64之表面。下突出物1 228係限制並接合於 位器1 2 1 8所延伸出的套筒1 2 3 0。缺口 1 2 2 2則設置於 出物1 228與主體1216之間以容設彈性元件1220。 定位器 1 2 1 8 包括一由套筒 1 2 3 0所延伸之螺 1 2 3 2,而螺紋部1 2 3 2包括一穿固結構1 2 3 4以協助將 器1218固定至基部164。穿固結構1234可以為一狹 十字穴(Phillips drive)、六角孔、扳鉗孔或其他可用 準定位器1 2 1 8之結構。通道1 2 3 6係穿設於定位器 中,並對準於導引件1210之第一、第二穿孔1 224、 以容設升降銷。 階形洞 1204形成於基部 164 中,並包括一主 1 2 0 8、一台階1 2 0 6以及一進入孔1 2 1 2。台階1 2 0 6延 主穿孔1 2 0 8,而進入孔1 2 1 2包括一螺紋部1 2 1 4而用 定位器1 2 1 8接合。將定位器1 2 1 8緊固至基部1 64的 部1 2 3 2時,定位器1 2 1 8與彈性元件1 2 2 0接觸,並使 體1 2 1 6抵靠基部1 64之階形洞1 204的台階1 2 1 6,藉 則將升降銷導引組件.1 2 0 0緊固於基板支撐組件1 4 8的 164 上。 「第9〜1 0圖」繪示外襯墊1 1 6之一實施例的爆 視圖及部分剖面圖。外襯墊11 6可由抗電漿或是抗氟 所製造或是覆蓋之。於一實施例中,外襯墊1 1 6由鋁製 於另一實施例中,外襯墊1 1 6由釔、釔合金或是其氧 來製造或是覆蓋之;又另一實施例中,外襯墊1 1 6由 的Y2〇3所製成,而内襯墊1 1 6亦可由相同材料所製成 由定 下突 紋部 定位 缝、 以對 1218 1226 穿孔 伸至 以與 螺紋 得主 汝匕, 基部 炸透 材料 成; 化物 大量 25
M318185 於「第9〜10圖」中所示之實施例中,外襯墊 括一上襯墊902以及一下襯墊904。下襯墊904之上 與上襯墊902之下緣9 1 0相緊配,如:於槽口接合( j oint )中。 下襯墊9 0 4通常為一中空圓柱狀物,而用以與債 之内表面緊密貼合,下襯墊904包括一凹槽或風門 其係對準腔室主體 1 02之排氣孔 126而利於對内 106進行抽真空及排氣。 上襯墊902通常包括一主體914,而主體914 延伸出一凸緣912,凸緣912通常為多角形,而於 例中,多角形凸緣912係以45度之角度而截去棱角 主體914通常為圓柱狀,並具有一内壁916以 壁934。突唇918由内壁916而往内延伸,並於喷 件1 3 0 —旦安裝至製程腔1 00時,提供一支撐處。 溝槽9 2 0係形成於突唇9 1 8内,而可與喷氣頭組件 間呈現氣密狀態。 上襯墊902之主體914可設置一孔洞928,故 室主體 102之可視窗(圖中未示)而目視觀測内 106。圍繞在孔洞928之上襯墊902的外壁934部分 蓋一移動式之可視窗鑲嵌塊924,而可視窗鑲嵌塊 藉由多個固定件926而定位於上襯墊902之一凹處 未示),則鑲嵌塊924與外壁934係為嵌平的。故當 鑲嵌塊924的保護塗層與可視窗/腔室主體之界面 磨損時,在保護塗層破損而暴露出外襯墊1 1 6的主 116包 .緣 9 0 8 ^ rebate | 壁 108 906, 部空間 之上端 本實施 〇 及一外 氣頭組 0型圈 130之 可自腔 部空間 可以覆 924可 (圖中 可視窗 接觸而 要材料 26
M318185 之前,則必須將其替換。 狹縫93 8係形成於主體914而允許基板144進出製程 腔100。凹部932則形成於上襯墊902之外壁934,並圍繞 於狹缝9 3 8周圍。而一移動式之門鑲嵌塊9 3 0則設置於狹 縫9 3 8上,用以保護上襯墊9 0 2與間縫閥接口接觸時所造 成之磨損。鑲嵌塊930亦包括一狹缝940,其係對準上襯 墊9 02之狹縫93 8,藉此有助於基板144通過外襯墊1 16。 鑲嵌塊930藉由多個固定件936而固定於凹部932,則鑲 嵌塊9 3 0與外壁9 3 4係為嵌平的。故當鑲嵌塊9 3 0的保護 塗層與間缝閥接口 /腔室主體之界面接觸而磨損時,在保護 塗層破損而暴露出外襯墊11 6的主要材料之前,則必須將 其替換。鑲嵌塊924、93 0通常由與襯墊相同之材料而製造 或覆蓋之。 「第11圖」繪示内襯墊118與覆蓋在基板支撐組件 1 4 8的外上表面之遮蓋環1 4 6相接合的一實施例。内襯墊 1 1 8通常包括一較大直徑之上部1 1 4 0,以及一較小直徑之 下部1 1 4 2。傾斜部則位於内襯墊1 1 8之外徑區而結合較大 直徑之上部1 1 40以及較小直徑之下部1 1 42。 凸緣1132自上、下部1140、1142之接合處往内延伸, 凸緣1132具有一底面1134而使内襯墊118與基板支撐組 件1 4 8相互定位。Ο型圈溝槽1 1 3 6形成於凸緣1 1 3 2之上 表面而密封内襯墊118。 遮蓋環146設置於基板支撐組件148上方,且内襯墊 118之上端1128插入遮蓋環146。遮蓋環146通常具有一 27 M318185 環形主體 11 0 2,且其係由一抗電漿以及/或抗化學物質之 材料所製成或覆蓋之。於一實施例中,遮蓋環1 4 6係由釔 或是其氧化物來製造或是覆蓋之;於一實施例中,氣體遮 蓋環1 46則是由大量的釔製成,而提供對於氟化化學物質 之抗性;於另一實施例中,遮蓋環1 4 6由石英製成。
主體1102通常包括一頂面1104以及一底面1126。第 一脊1118、第二脊1122,以及第三脊1120係自主體1102 的底面1 1 2 6往下延伸。於「第1 1圖」所示之實施例中, 第一、第二、第三脊1118、1122、1120為同中心之環狀物。 第一、第二脊1118、1122設置於遮蓋環146之内部, 並於其間定義出一狹縫而用以將内襯墊 1 1 8的上端 1 1 2 8 夾持於内。第一脊1 1 1 8以及第三脊1 1 2 0皆相較於第二脊 1122由主體1102而更往遠處延伸,第三脊1120延伸至基 板支撐組件1 48的狹縫11 80中,藉此使遮蓋環1 46以及基 板支撐組件1 4 8兩者之間定位。 凸出部1 1 1 6係由主體1 1 02而往徑向之内側延伸,並 緊接第三脊1 1 2 0,凸出部111 6包括一上表面1 1 5 0,而其 與靜電吸座166之上表面1152於實質上為同平面。當將基 板144置放於基板支樓組件148之上方時’基板144之周 圍(「第1 1圖」中未示)則覆蓋於靜電吸座16 6以及凸出 部1116之上表面1150的交界面。 内壁1114位於凸出部1116與主體1102的頂面1104 之間,而内壁1 1 1 4之直徑大於凸出部1 1 1 6之内徑。一般 來說,内壁1 1 1 4之直徑係用以提供與基板1 44之間具有一 28
M318185 適當之空間。 主體1 1 02的頂面1 1 04通常包括一内部區域1 1 1 0以及 一外部區域1 1 0 8,而内部區域111 0相對於外部區域11 0 8 較為提高。内部區域11 1 〇亦可以與頂面1 1 04之外部區域 1 1 0 8呈平行定位。於「第1 1圖」所示之實施例中,一傾 斜區域1 1 1 2係設置於頂面1 1 0 4之内部、外部區域1 1 1 0、 1 1 0 8兩者之間的過渡區。 「第11圖」亦包括靜電吸座1 6 6之一實施例的細節。 靜電吸座166包括一定義於吸座166的上、下表面1152、 1198 之間的階形外徑表面 (stepped outer diameter surface)。階形外徑表面通常包括一上壁1188、一中壁1192 以及一下壁 1196。上、中、下壁 1188、1192、1196 —般 為垂直的,且上壁1188較中壁1192短,而中壁1192又較 下壁1196短。上壁1188起始於上表面1152,並往下延伸 出一上突出部1190,上突出部1190將上壁1188結合至中 壁1192,下突出部1194則結合中壁1192及下壁1196,下 壁1196再結合至下表面1198。上、下突出部1190、1194 通常呈水平,且下突出部1 1 94大於上突出部1 1 90。階形 外徑表面由上、中、下壁1188、1192、1196及上、下突出 部1 1 9 0、1 1 9 4所定義出,其產生一結構而能與遮蓋環1 4 6 緊配,並將其定位於基板支撐組件1 4 8上之一預定位置。 於操作過程中,製程腔1 〇〇可利用於基板1 44上蝕刻 一具有高深寬比之結構。一實施例中,於基板1 44上之矽 層上蝕刻一具有高深寬比之溝槽的方法可以於製程腔 100 29
M318185 中進行,而矽層上覆蓋有一具圖樣之 mask ),此部分係為習知的。該方法起 控於1〜3 0 0毫托(m T )之間,施加約 之偏壓電源於基板1 44上,而於一實施 頻率為約2兆赫(Μ Η z )。 通過喷氣頭組件1 3 0之多個氣流區 漿係藉由施加500〜2800瓦之電源至基 維持之。於一實施例中,電源之頻率為 室之磁場(B-field)約為 1〜140高斯 罩之孔洞而被電漿蝕刻,以形成具有深 之溝槽。 製程氣體、直接注入氣體以及惰性 供至腔室内以進行電漿蝕刻,而該混合 NF3、 〇2、SiF4、SiCl4 以及 Ar。於一 混合歧管8 1 0之製程氣體包括HBr以及 SiCl4係可選擇性的提供。於一試驗實 seem 白勺 HBr、 10 〜200 seem 的 NF3 、 〇' 以及0〜2 0 0 s c c m的A r係提供至混合山j 蝕刻300 mm基板之製程。製程氣體提 比例係相應於結構之密度、尺寸以及橫 作為直接注入氣體,並繞過混合歧管8 : 組件1 3 0之充氣部。 已證實上述之製程腔100可以於基 刻具有良好均一性之高深寬比結構。於 遮罩層(patterned 始於將腔室壓力調 500〜2800 瓦(W) 例中,偏壓電源之 的氣體所形成之電 板支撐組件148而 6 0兆赫。施加於腔 (G)。>6夕層透過遮 寬比至少為 80:1 氣體之混合物係提 物至少包括HBr、 實施例中,提供至 NF3,而 〇2、SiF4、 施*例中,5 0〜5 0 0 〜200 seem 的 SiF4 ί管8 10,而適用於 供至充氣部之流量 向位置。SiCl4係用 ί 0而提供至喷氣頭 板144之表面上|虫 習知製程腔以及上 30
M318185 述之製程腔1 00中進行蝕刻矽製程的比較數據顯示, 了邊緣至中心之深寬比均一性,習知系統所具有邊緣 心之深寬比約為1 · 3 5,而上述之製程腔1 00具有之邊 中心的深寬比為約1.04,而使得本創作之製程腔使用 造下一代之設備。 惟本創作雖以較佳實施例說明如上,然其並非用 定本創作,任何熟習此技術人員,在不脫離本創作的 和範圍内所作的更動與潤飾,仍應屬本創作的技術範 而本創作之技術範疇由下方之申請專利範圍來定義之 【圖式簡單說明】 本創作於上方所詳述之特徵可詳細地被暸解,而 本創作更特定的描述則簡短摘錄於上,可參閱實施 述,且部分的實施例係繪示於附圖中。然而,值得注 是,附圖僅繪示本創作之一般實施例,而並非用以限 範圍,其他相同效力之實施例應同屬本創作之範疇。 改善 至中 緣至 於製 以限 精神 疇, 針對 例所 意的 制其
第1圖,繪示本創作之製程腔的一實施例之剖面圖。 第2圖,繪示噴氣頭的一實施例之剖面圖。 第3圖,繪示第2圖的喷氣頭之插塞的一實施例剖面 第4圖,繪示第2圖的喷氣頭之剖面圖。 第5圖,繪示第2圖的噴氣頭之另一剖面圖。 第6圖,沿著第5圖的剖面線6 - 6所繪示之喷氣頭的 剖面圖。 圖。 部分 31
M318185 第7圖,繪示喷氣頭的另一實施例之剖面圖。 第8圖,繪示氣體控制之一實施例的流程圖,用以 1圖之製程腔中氣體的流動路線以及控制。 第9〜1 0圖,繪示襯墊之一實施例的透視圖及部分4 第1 1圖,繪示基板支撐組件支撐一遮蓋環的實施例 剖面圖。 第1 2圖,繪示基板支撐組件之剖面圖,用以說明升 引組件之一實施例。 為了幫助瞭解,採用相同之元件標號來表示圖 之元件,一實施例中之元件可利用於另一實施例中 贅述之。 說明第 J面圖。 之部分 降銷導 中相同 而不再 【主要元件符號說明】 100 製 程 腔 102 腔 室 主 體 104 上 蓋 106 内 部 空 間 108 側 壁 110 底 部 112 内 表 面 114 内 表 面 116 外 襯 墊 118 内 襯 墊 126 排 氣 孔 128 抽 氣 系 統 130 喷 氣 頭 組 件 1325 、1 32 進氣孔 134 内 部 區 域 136 外 部 區 域 138 光 傳 遞 部 位(或通 道) 140 光 學 監 控系統 142 可 視 窗 144 基 板 146 遮 蓋 環 148 基 板 支 撐組件 32 M318185
150 控制器 158 氣體分配盤 162 安裝板 164 基部 166 (靜電)吸座 168、 170導管(或冷卻通道) 172 流體源 174 隔離器 176 加熱器 178 (加熱器)電源 180 (嵌位)電極 182 吸座電源 184 第一 RF電源 186 第二RF電源 188 匹配電路 190 第一溫度感測器 192 第二溫度感測器 202 基部 204 上充氣板 206 下充氣板 208 插塞 210 配氣板 212 管道 214 流體源 216 突唇 218 充氣部 220 充氣部 222 ' 224 進氣管 226 (第一)孔洞 228 插銷 230 ' 232 洞 234 障壁 240 内台階 242 氣體通道 244 第一鑽孔 246 節流孔 248 第二鑽孔 250 孔洞 254 (第三)孔洞 256 錐形座 258 凹部 260 通道 262 孔洞 264 (第二)孔洞 270 凹部 284 外台階 286 内部外徑 288 突出部 33 M318185
290 底表面 302 304 喇形部位 306 502 黏著層 504 506 黏著珠粒 508 700 喷氣頭組件 702 704 充氣板 706 708 插塞 710 712 環狀溝槽 714 716 内充氣部 718 720 插塞孔 722 724 錐形座 726 728 計量?L 802 804 製程氣源 806 808 控制閥 810 812 初級進氣管 814 816、 8 18 進氣管路 820 824 第二流量控制器 902 904 下襯墊 906 908 上緣 910 912 凸緣 914 916 内壁 918 920 0型圈溝槽 924 926 固定件 928 930 (門)鑲嵌塊 932 頭部 延伸桿 黏著環 充氣部 基部 孔洞 配氣板 環狀溝槽 外充氣部 凹部 通道 直接(注入)氣源 載氣氣源 混合歧管 流量控制器 822 直接進氣管路 上襯墊 凹槽(或風門) 下緣 主體 突唇 (可視窗)鑲嵌塊 孔洞 凹部 34 M318185
934 外壁 936 固定件 938 狹縫 940 狹縫 1102 主 體 1104 頂 面 1108 外 部 區 域 1110 内 部 區 域 1112 傾 斜 區 域 1114 内 壁 1116 凸 出 部 1118 第 一 脊 1120 第 三 脊 1122 第 二 脊 1126 底 面 1128 上 端 1132 凸 緣 1134 底 面 1136 0 型 圈 溝槽 1140 上 部 1142 下 部 1150 上 表 面 1152 上 表 面 1180 狹 縫 1188 上 壁 1190 上 突 出 部 1192 中 壁 1194 下 突 出 部 1196 下 壁 1198 下 表 面 1200 升 降 銷 導引組件 1202 孔 洞 1204 階 形 洞 1206 台 階 1208 主 穿 孔 1210 導 引 件 1212 進 入 孔 1214 螺 紋 部 1216 主 體 1218 定 位 器 1220 彈 性 元 件 1222 缺 口 1224 第 一 穿 孔 1226 第 二 穿 孔 1228 下 突 出 物 1230 套 筒 1232 螺 紋 部 1234 穿 固 結 構 35 M318185 1236 通道 1238 凹部 1240 上突出物
36

Claims (1)

  1. M318185 九、申請專利範圍: 1. 一種適用於一半導體製程腔中之基板支撐件,該基板支 撐件包括: 一靜電吸座,包括: 一主體,具有一由一上壁、一中壁以及一下壁定 義出之外徑;其中該中壁之一高度小於該下壁之一高 度,且大於該上壁之一高度;以及
    一嵌設於該靜電吸座内之嵌位電極。 2.如申請專利範圍第1項所述之基板支撐件,其中該主體 更包括陶瓷。 3.如申請專利範圍第1項所述之基板支撐件,其更包括: 一定義於該上壁與該中壁之間的上突出部;以及 一定義於該中壁與該下壁之間的下突出部。
    4. 如申請專利範圍第3項所述之基板支撐件,其中該上突 出部較該下突出部短。 5. 如申請專利範圍第1項所述之基板支撐件,其更包括: 一設置於該主體内之電阻加熱器。 6. 如申請專利範圍第1項所述之基板支撐件,其更包括: 37
    M318185 至少一設置於該主體内之溫度感測器。 7.如申請專利範圍第1項所述之基板支撐件,其更包括: 一設置於該主體内之第一溫度感測器;以及 一設置於該主體内之第二溫度感測器,並徑向排列於 該第一溫度感測器之内側。 8.如申請專利範圍第1項所述之基板支撐件,其更包括: 一基部;以及 一升降銷導引組件,結合至該基部並部分延伸至該主 體。 9.如申請專利範圍第8項所述之基板支撐件,其中該基部 更包括: 至少二分離之冷卻通道,形成於該基部内,用以於該 些冷卻通道内流通一熱傳流體。 1 0.如申請專利範圍第9項所述之基板支撐件,其中該基 部更包括: 介於該些分離的冷卻通道之間,並嵌設於該基部内之 一熱隔離器。 11 .如申請專利範圍第8項所述之基板支撐件,其中該升 38 M318185 降銷導引組件更包括: 一導引件,具有一上突出物以及一下突出物’該上突 出物與該主體之一下表面的一凹部接合;以及 一定位器,結合至該基部,並具有一沿著至少一部份 之該下突出物的周圍而延伸之套筒。
    1 2.如申請專利範圍第1 1項所述之基板支撐件,其中該升 降銷導引組件更包括: 一彈性元件,係夾置於該導引件以及該定位器之間。 13. —種適用於一半導體製程腔中之基板支撐件,該基板 支撐件包括: 一靜電吸座,包括: 一陶瓷主體,具有設置於一階形外壁之一上突出 部以及一下突出部,該上突出部較該下突出部短;以 及 一嵌設於該主體内之嵌位電極;以及 一基部;以及 一升降銷導引組件,結合至該基部並部分延伸至該主 體。 1 4.如申請專利範圍第1 3項所述之基板支撐件,其中該階 形外壁更包括: 39 M318185 一上壁; 一中壁;以及 *"F ° 1 5 .如申請專利範圍第1 4項所述之基板支撐件,其中該中 壁之一高度小於該下壁之一高度,且大於該上壁之一高度。
    1 6.如申請專利範圍第1 5項所述之基板支撐件,其更包括: 一設置於該主體内之電阻加熱裔, 一設置於該主體内之第一溫度感測器;以及 一設置於該主體内之第二溫度感測器,並徑向排列於 該第一溫度感測器之内側。 1 7.如申請專利範圍第1 3項所述之基板支撐件,其中該基 部更包括: 至少二分離之冷卻通道,形成於該基部内,用以於該 些冷卻通道内流通一熱傳流體。 1 8.如申請專利範圍第1 7項所述之基板支撐件,其中該基 部更包括: 介於該些分離的冷卻通道之間,並嵌設於該基部内之 一熱隔離器。 40
    M318185 1 9.如申請專利範圍第1 3項所述之基板支撐件,其中該升 降銷導引組件更包括: 一導引件,具有一上突出物以及一下突出物,該上突 出物與該主體之一下表面的一凹部接合;以及 一定位器,結合至該基部,並具有一沿著至少一部份 之該下突出物的周圍而延伸之套筒。 2 0.如申請專利範圍第1 9項所述之基板支撐件,其中該升 降銷導引組件更包括: 一彈性元件,係夾置於該導引件以及該定位器之間。 2 1 . —種適用於一半導體製程腔中之基板支撐件,該基板 支樓件包括· 一靜電吸座,包括: 一陶瓷主體,具有設置於一階形外壁之一上突出 部以及一下突出部,該上突出部較該下突出部短;以 及 一嵌設於該靜電吸座内之嵌位電極; 一設置於該主體内之電阻加熱器; 一設置於該主體内之第一溫度感測器;以及 一設置於該主體内之第二溫度感測器,並徑向排 列於該第一溫度感測器之内側;以及 41 M318185 一基部,包括: 至少二分離之冷卻通道,形成於該基部内,用以 於該些冷卻通道内流通一熱傳流體;以及 一熱隔離器,介於該些分離的冷卻通道之間,並 嵌設於該基部内;以及
    一升降銷導引組件,係結合至該基部並部分延伸至該 主體,該升降銷導引組件包括: 一導引件,具有一上突出物以及一下突出物,該 上突出物與該主體之一下表面的一凹部接合;以及 一定位器,結合至該基部,並具有一沿著至少一 部份之該下突出物的周圍而延伸之套筒;以及 一彈性元件,係夾置於該導引件以及該定位器之 間。 42
TW095211750U 2006-05-03 2006-06-30 Substrate support suitable for using in a semiconductor processing chamber TWM318185U (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/381,523 US8475625B2 (en) 2006-05-03 2006-05-03 Apparatus for etching high aspect ratio features
US11/421,208 US8440049B2 (en) 2006-05-03 2006-05-31 Apparatus for etching high aspect ratio features

Publications (1)

Publication Number Publication Date
TWM318185U true TWM318185U (en) 2007-09-01

Family

ID=37296024

Family Applications (5)

Application Number Title Priority Date Filing Date
TW095211750U TWM318185U (en) 2006-05-03 2006-06-30 Substrate support suitable for using in a semiconductor processing chamber
TW95214804U TWM316492U (en) 2006-05-03 2006-08-21 A liner suitable for covering the interior wall of a semiconductor processing chamber
TW096115650A TWI385744B (zh) 2006-05-03 2007-05-02 適於蝕刻高深寬比特徵結構之真空處理室以及其組件
TW096115649A TWI463562B (zh) 2006-05-03 2007-05-02 適於蝕刻高深寬比特徵結構之真空處理室以及其組件
TW101127249A TW201250833A (en) 2006-05-03 2007-05-02 Vacuum processing chamber suitable for etching high aspect ratio features and components of same

Family Applications After (4)

Application Number Title Priority Date Filing Date
TW95214804U TWM316492U (en) 2006-05-03 2006-08-21 A liner suitable for covering the interior wall of a semiconductor processing chamber
TW096115650A TWI385744B (zh) 2006-05-03 2007-05-02 適於蝕刻高深寬比特徵結構之真空處理室以及其組件
TW096115649A TWI463562B (zh) 2006-05-03 2007-05-02 適於蝕刻高深寬比特徵結構之真空處理室以及其組件
TW101127249A TW201250833A (en) 2006-05-03 2007-05-02 Vacuum processing chamber suitable for etching high aspect ratio features and components of same

Country Status (7)

Country Link
US (2) US8440049B2 (zh)
JP (3) JP3130205U (zh)
KR (2) KR100900596B1 (zh)
CN (2) CN103352201B (zh)
DE (2) DE202006011604U1 (zh)
TW (5) TWM318185U (zh)
WO (1) WO2007131057A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI454336B (zh) * 2008-01-15 2014-10-01 Applied Materials Inc 高溫真空吸座組件
TWI587442B (zh) * 2016-04-15 2017-06-11 台灣美日先進光罩股份有限公司 電漿製程的光罩基板支撐結構
TWI660400B (zh) * 2013-12-13 2019-05-21 日商荏原製作所股份有限公司 頂板開關機構及檢查裝置
US10325799B2 (en) 2009-08-07 2019-06-18 Applied Materials, Inc. Dual temperature heater
TWI737319B (zh) * 2019-06-04 2021-08-21 美商應用材料股份有限公司 無接觸式工件溫度感測器

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2601545Y2 (ja) * 1992-12-22 1999-11-22 富士重工業株式会社 塵芥収集車のホッパドア開閉装置
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8475625B2 (en) 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
JP2009170648A (ja) * 2008-01-16 2009-07-30 Disco Abrasive Syst Ltd プラズマエッチング装置
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
WO2010033924A2 (en) * 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US20110239940A1 (en) * 2008-10-08 2011-10-06 Giacomo Benvenuti Vapor phase deposition system
WO2010102125A2 (en) * 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
DE102009044276A1 (de) * 2009-10-16 2011-05-05 Aixtron Ag CVD-Reaktor mit auf einem mehrere Zonen aufweisenden Gaspolster liegenden Substrathalter
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
DE102010027224A1 (de) * 2010-07-15 2012-01-19 Forschungszentrum Jülich GmbH Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US9068265B2 (en) * 2011-02-01 2015-06-30 Applied Materials, Inc. Gas distribution plate with discrete protective elements
US9337067B2 (en) 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9887071B2 (en) * 2011-12-16 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone EPD detectors
JP5829509B2 (ja) * 2011-12-20 2015-12-09 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US20130273239A1 (en) 2012-03-13 2013-10-17 Universal Display Corporation Nozzle design for organic vapor jet printing
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
WO2014150234A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc Processing systems, apparatus, and methods adapted to process substrates in electronic device manufacturing
US9666466B2 (en) * 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US20150001180A1 (en) * 2013-06-28 2015-01-01 Applied Materials, Inc. Process kit for edge critical dimension uniformity control
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
CN105765103B (zh) * 2013-12-02 2018-09-25 应用材料公司 用于原位清洁工艺腔室的方法和装置
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
KR102275077B1 (ko) * 2014-05-30 2021-07-12 세메스 주식회사 기판 처리 장치 및 방법
CN105321838B (zh) * 2014-06-25 2018-02-13 沈阳芯源微电子设备有限公司 一种热盘工艺密闭腔自动调整装置
US9840777B2 (en) * 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
JP6335341B2 (ja) * 2014-07-23 2018-05-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 可変型温度制御式基板支持アセンブリ
US10431435B2 (en) * 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
DE102014014070A1 (de) * 2014-09-29 2016-03-31 Forschungszentrum Jülich GmbH Vorrichtung zum geregelten Wärmeübergang auf und von einem Bauteil
CN105779932B (zh) * 2014-12-26 2018-08-24 北京北方华创微电子装备有限公司 用于处理腔室的工艺内衬和物理气相沉积设备
TWI613753B (zh) * 2015-02-16 2018-02-01 靜電吸附承盤側壁之改良密封件
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
ITUB20153316A1 (it) 2015-09-01 2017-03-01 Carlos S R L Calzatura elettronica
KR102189211B1 (ko) * 2015-10-04 2020-12-09 어플라이드 머티어리얼스, 인코포레이티드 작은 열 질량의 가압 챔버
US9805963B2 (en) 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
KR102537309B1 (ko) * 2015-10-08 2023-05-25 어플라이드 머티어리얼스, 인코포레이티드 감소된 배면 플라즈마 점화를 갖는 샤워헤드
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11017984B2 (en) * 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
KR102156390B1 (ko) * 2016-05-20 2020-09-16 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리를 위한 가스 분배 샤워헤드
US10770270B2 (en) * 2016-06-07 2020-09-08 Applied Materials, Inc. High power electrostatic chuck with aperture-reducing plug in a gas hole
WO2017218044A1 (en) * 2016-06-15 2017-12-21 Applied Materials, Inc. Gas distribution plate assembly for high power plasma etch processes
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102005843B1 (ko) * 2016-12-15 2019-10-02 에이피티씨 주식회사 분리형 웨이퍼 서셉터 및 이를 포함하는 반도체 공정 챔버 장비
US11022877B2 (en) * 2017-03-13 2021-06-01 Applied Materials, Inc. Etch processing system having reflective endpoint detection
JP6789155B2 (ja) * 2017-03-15 2020-11-25 東京エレクトロン株式会社 塗布処理装置及びカップ
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
JP7122212B2 (ja) * 2018-02-15 2022-08-19 東京エレクトロン株式会社 プラズマ処理装置
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102411272B1 (ko) * 2018-03-26 2022-06-22 엔지케이 인슐레이터 엘티디 정전척 히터
JP7152970B2 (ja) * 2019-03-01 2022-10-13 株式会社ニューフレアテクノロジー 気相成長装置
JP7246247B2 (ja) * 2019-05-15 2023-03-27 東京エレクトロン株式会社 基板処理装置及び監視方法
CN112071733B (zh) * 2019-06-10 2024-03-12 中微半导体设备(上海)股份有限公司 用于真空处理设备的内衬装置和真空处理设备
WO2021146099A1 (en) * 2020-01-13 2021-07-22 Lam Research Corporation Multizone gas distribution plate for trench profile optimization
WO2021192935A1 (ja) * 2020-03-26 2021-09-30 株式会社巴川製紙所 静電チャック装置、静電チャック装置用スリーブ
CN114093739B (zh) * 2020-08-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种气体流量调节装置和调节方法及等离子体处理装置
US20220108891A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Modular zone control for a processing chamber
US11694908B2 (en) * 2020-10-22 2023-07-04 Applied Materials, Inc. Gasbox for semiconductor processing chamber
US11794296B2 (en) 2022-02-03 2023-10-24 Applied Materials, Inc. Electrostatic chuck with porous plug
WO2023182827A1 (ko) * 2022-03-24 2023-09-28 성균관대학교산학협력단 소모성 금속부재를 포함하는 식각용 플라즈마 처리 장치

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01166007A (ja) * 1987-12-23 1989-06-29 Mitsubishi Rayon Co Ltd 光ファイバ端面の処理方法及びこれに用いる光プラグ
US5427621A (en) 1993-10-29 1995-06-27 Applied Materials, Inc. Method for removing particulate contaminants by magnetic field spiking
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US6159297A (en) * 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5910221A (en) 1997-06-18 1999-06-08 Applied Materials, Inc. Bonded silicon carbide parts in a plasma reactor
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JP4162773B2 (ja) * 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6586495B1 (en) * 1999-09-20 2003-07-01 Canon Kabushiki Kaisha Alkylsiloxane-containing epoxy resin composition, surface modifying method using the same, ink-jet recording head and liquid-jet recording apparatus
US6398929B1 (en) 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
TW580735B (en) * 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
JP3643540B2 (ja) * 2000-02-21 2005-04-27 株式会社日立製作所 プラズマ処理装置
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6381021B1 (en) * 2000-06-22 2002-04-30 Applied Materials, Inc. Method and apparatus for measuring reflectivity of deposited films
US7011039B1 (en) 2000-07-07 2006-03-14 Applied Materials, Inc. Multi-purpose processing chamber with removable chamber liner
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
JP3764639B2 (ja) * 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
US6797639B2 (en) 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6713127B2 (en) 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
CN100404309C (zh) * 2002-02-06 2008-07-23 英提尔美国汽车座椅设备有限公司 双向锁止旋转驱动离合器总成
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
JP4128383B2 (ja) * 2002-03-27 2008-07-30 東京エレクトロン株式会社 処理装置及び処理方法
JP4102873B2 (ja) * 2002-03-29 2008-06-18 東京エレクトロン株式会社 プラズマ処理装置用電極板及びプラズマ処理装置
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6846726B2 (en) * 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US20050136657A1 (en) * 2002-07-12 2005-06-23 Tokyo Electron Limited Film-formation method for semiconductor process
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20050016684A1 (en) 2003-07-25 2005-01-27 Applied Materials, Inc. Process kit for erosion resistance enhancement
US7311779B2 (en) * 2003-10-06 2007-12-25 Applied Materials, Inc. Heating apparatus to heat wafers using water and plate with turbolators
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7267787B2 (en) 2004-08-04 2007-09-11 Intematix Corporation Phosphor systems for a white light emitting diode (LED)
US7268076B2 (en) 2004-10-05 2007-09-11 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US20060075968A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Leak detector and process gas monitor
US7833381B2 (en) * 2005-08-18 2010-11-16 David Johnson Optical emission interferometry for PECVD using a gas injection hole
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) * 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI454336B (zh) * 2008-01-15 2014-10-01 Applied Materials Inc 高溫真空吸座組件
US10325799B2 (en) 2009-08-07 2019-06-18 Applied Materials, Inc. Dual temperature heater
US11133210B2 (en) 2009-08-07 2021-09-28 Applied Materials, Inc. Dual temperature heater
TWI660400B (zh) * 2013-12-13 2019-05-21 日商荏原製作所股份有限公司 頂板開關機構及檢查裝置
TWI587442B (zh) * 2016-04-15 2017-06-11 台灣美日先進光罩股份有限公司 電漿製程的光罩基板支撐結構
TWI737319B (zh) * 2019-06-04 2021-08-21 美商應用材料股份有限公司 無接觸式工件溫度感測器
US11415463B2 (en) 2019-06-04 2022-08-16 Applied Materials, Inc. Contactless workpiece temperature sensor

Also Published As

Publication number Publication date
JP5031028B2 (ja) 2012-09-19
KR20080015779A (ko) 2008-02-20
TWM316492U (en) 2007-08-01
TW200805486A (en) 2008-01-16
KR100900596B1 (ko) 2009-06-02
TW201250833A (en) 2012-12-16
TWI463562B (zh) 2014-12-01
DE202006011604U1 (de) 2006-11-02
TWI385744B (zh) 2013-02-11
JP3128562U (ja) 2007-01-18
WO2007131057A3 (en) 2009-02-05
DE202006012740U1 (de) 2006-10-12
WO2007131057A2 (en) 2007-11-15
JP3130205U (ja) 2007-03-22
KR20090005253A (ko) 2009-01-12
US9991109B2 (en) 2018-06-05
CN103352201B (zh) 2016-06-08
US20070256786A1 (en) 2007-11-08
WO2007131057A4 (en) 2009-03-19
CN105185729B (zh) 2018-12-14
TW200802667A (en) 2008-01-01
KR100898195B1 (ko) 2009-05-18
CN105185729A (zh) 2015-12-23
CN103352201A (zh) 2013-10-16
JP2009536461A (ja) 2009-10-08
US8440049B2 (en) 2013-05-14
US20140020834A1 (en) 2014-01-23

Similar Documents

Publication Publication Date Title
TWM318185U (en) Substrate support suitable for using in a semiconductor processing chamber
US8475625B2 (en) Apparatus for etching high aspect ratio features
JP7169319B2 (ja) ガス孔に開口縮小プラグを有する大電力静電チャック
TWI720793B (zh) 具有減少的背側電漿點火的噴淋頭
KR100926587B1 (ko) 경사면 증착을 줄이기 위한 하드웨어 개발
TWI534886B (zh) A plasma etching method and a method for manufacturing the semiconductor device
TWI484576B (zh) 半導體真空處理設備用之薄膜黏接劑
EP1167573A1 (en) Substrate support for a semiconductor processing chamber
TW201401431A (zh) 具有冷卻底座之靜電夾盤
JPH11330219A (ja) 静電吸着装置
KR200431206Y1 (ko) 삽입부 없이 고종횡비 미세구조물을 에칭하기에 적절한상부 챔버 라이너
KR200427163Y1 (ko) 높은 종횡비 피쳐의 에칭에 적합한 기판 지지부
TWI790777B (zh) 用於反向擴散控制的半導體腔室部件及用於半導體處理的方法
TW202324592A (zh) 用於靜電卡盤氣體輸送的多孔塞
JP2014503611A (ja) チャンバコンポーネントを接合するために使用される接着材料
KR20000034534A (ko) 반도체 소자의 식각 방법