TWI790777B - 用於反向擴散控制的半導體腔室部件及用於半導體處理的方法 - Google Patents

用於反向擴散控制的半導體腔室部件及用於半導體處理的方法 Download PDF

Info

Publication number
TWI790777B
TWI790777B TW110137952A TW110137952A TWI790777B TW I790777 B TWI790777 B TW I790777B TW 110137952 A TW110137952 A TW 110137952A TW 110137952 A TW110137952 A TW 110137952A TW I790777 B TWI790777 B TW I790777B
Authority
TW
Taiwan
Prior art keywords
mounting block
block member
mounting
mounting plate
recess
Prior art date
Application number
TW110137952A
Other languages
English (en)
Other versions
TW202231916A (zh
Inventor
佟明樂
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202231916A publication Critical patent/TW202231916A/zh
Application granted granted Critical
Publication of TWI790777B publication Critical patent/TWI790777B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)

Abstract

示例性半導體處理系統可以包括遠端電漿源和處理室。處理室可以包括氣箱,該氣箱界定進入處理室的通路。系統可以包括位於遠端電漿源與處理室之間的配接器。配接器可以包括界定中心孔的安裝塊。遠端電漿源可以安置在安裝塊的第一表面上。配接器可以包括安裝板,該安裝板之特徵在於具有安裝塊安置在其上的第一表面。安裝板可以界定與穿過安裝塊界定的中心孔軸向對齊的中心孔。安裝板可以在安裝板的第一表面中界定凹槽,該凹槽圍繞穿過安裝板的中心孔延伸。凹槽可以在安裝塊與安裝板之間形成體積。

Description

用於反向擴散控制的半導體腔室部件及用於半導體處理的方 法 相關申請案之交叉引用
本申請案請求於2020年10月15日提交的名稱為「SEMICONDUCTOR CHAMBER COMPONENTS FOR BACK DIFFUSION CONTROL」的美國專利申請案申請號第17/071,683號的權益和優先權,其全部內容藉由引用方式併入本文。
本技術涉及用於半導體製造的部件和設備。更具體地,本技術涉及處理室分配部件和其他半導體處理裝備。
藉由在基板表面上產生複雜圖案化材料層的處理,積體電路成為可能。在基板上產生圖案化材料需要用於形成和去除材料的受控方法。前驅物通常被輸送到處理區域並且被分配以在基板上均勻地沉積或蝕刻材料。處理室的許多態樣可能會影響處理均勻性,諸如腔室內的處理條件的均勻性、穿過部件的流動的均勻性,以及其他處理和部件參數。此外,材料流入腔室的方式可能會影響進入腔室的擴散,以及沿著分開的流動路徑的反向擴散。
因此,需要可用於產生高品質裝置和結構的改進系統和方法。本技術解決了這些和其他需求。
示例性半導體處理系統可以包括遠端電漿源。系統可以包括處理室。處理室可以包括氣箱,該氣箱界定進入處理室的通路。系統可以包括位於遠端電漿源與處理室之間的配接器。配接器可以包括界定中心孔的安裝塊。遠端電漿源可以安置在安裝塊的第一表面上。配接器可以包括安裝板。安裝板的特徵可以在於具有安裝塊安置在其上的第一表面。安裝板可以界定與穿過安裝塊界定的中心孔軸向對齊的中心孔。安裝板可以在安裝板的第一表面中界定凹槽,該凹槽圍繞穿過安裝板的中心孔延伸。凹槽可以在安裝塊與安裝板之間形成體積。
在一些實施例中,安裝塊可以包括第一安裝塊構件,遠端電漿源安置在該第一安裝塊構件上。第一安裝塊構件可以界定圍繞第一安裝塊構件的外表面周向延伸的凹槽。安裝塊可以包括與第一安裝塊構件耦接的第二安裝塊構件。第二安裝塊構件可以安置在安裝板上。第二安裝塊構件可以界定孔,該孔流體地進入在第一安裝塊構件中界定的凹槽。第一安裝塊構件的特徵可以在於具有與安裝塊的第一表面相對的第二表面。第一安裝塊構件可以界定複數個孔,該複數個孔從在第一安裝塊構件中界定的凹槽延伸到第一安裝塊構件的第二表面。複數個孔可以對在安裝塊與安裝板之間形成的體積提供流體通路。
在第一安裝塊構件中界定的複數個孔可藉由圍繞第一安裝塊構件周向界定的凹槽流體地進入穿過第二安裝塊構件界定的孔。圍繞第一安裝塊構件周向界定的凹槽可以包括第一凹部和第二凹部。第一凹部可以在第一安裝塊構件內比第二凹部延伸更大的距離。第二安裝塊構件可以與第一安裝塊構件耦接,以在第二凹部與第一凹部之間提供流動路徑。第一凹部可以從穿過第二安裝塊構件的孔垂直偏移。第一凹部可以藉由第二凹部從穿過第二安裝塊構件的孔流體地進入。系統可以包括閥,該閥對在第二安裝塊構件中界定的孔提供流體通路。
本技術的一些實施例可以包括半導體處理室配接器。配接器可以包括界定中心孔的安裝塊。安裝塊的特徵可以在於具有第一表面和與第一表面相對的第二表面。配接器可以包括安裝板,該安裝板界定與安裝塊的中心孔軸向對齊的中心孔。安裝板的特徵可以在於具有第一表面和與第一表面相對的第二表面。安裝塊的第二表面可以安置在安裝板的第一表面上。安裝板可以在安裝板的第一表面中界定凹槽,該凹槽圍繞穿過安裝板的中心孔延伸。凹槽可以在安裝塊與安裝板之間形成體積。
在一些實施例中,安裝塊可以包括第一安裝塊構件。第一安裝塊構件可以界定圍繞第一安裝塊構件的外表面周向延伸的凹槽。安裝塊可以包括與第一安裝塊構件耦接的第二安裝塊構件。第二安裝塊構件可以安置在安裝板上。第二安裝塊構件可以界定孔,該孔流體地進入在第一 安裝塊構件中界定的凹槽。第一安裝塊構件的特徵可以在於具有與安裝塊的第一表面相對的第二表面。第一安裝塊構件可以界定複數個孔,該複數個孔從在第一安裝塊構件中界定的凹槽延伸到第一安裝塊構件的第二表面。複數個孔可以對在安裝塊與安裝板之間形成的體積提供流體通路。
在第一安裝塊構件中界定的複數個孔可以藉由圍繞第一安裝塊構件周向界定的凹槽流體地進入穿過第二安裝塊構件界定的孔。圍繞第一安裝塊構件周向界定的凹槽可以包括第一凹部和第二凹部。第一凹部可以在第一安裝塊構件內比第二凹部延伸更大的距離。第二安裝塊構件可以與第一安裝塊構件耦接,以在第二凹部與第一凹部之間提供流動路徑。第一凹部可以從穿過第二安裝塊構件的孔垂直偏移。第一凹部可以藉由第二凹部從穿過第二安裝塊構件的孔流體地進入。
本技術的一些實施例可以包括用於半導體處理的方法。方法可以包括使前驅物流入半導體處理系統的處理區域。基板可以安置在半導體處理系統的半導體處理室的處理區域內的基板支撐件上。方法可以包括使惰性氣體流入在遠端電漿源與半導體處理室之間界定的區域。區域可以包括旁路裝置。旁路裝置可以包括位於遠端電漿源與半導體處理室之間的配接器。配接器可以包括界定中心孔的安裝塊。遠端電漿源可以安置在安裝塊的第一表面上。配接器可以包括安裝板。安裝板的特徵可以在於具有安裝塊 安置在其上的第一表面。安裝板可以界定與穿過安裝塊界定的中心孔軸向對齊的中心孔。惰性氣體的流動可以在處理室與遠端電漿源之間的安裝板的中心孔中形成氣幕。方法可以包括在半導體處理室的處理區域內產生前驅物的電漿。方法可以包括在基板上沉積材料。
在一些實施例中,安裝板可以在安裝板的第一表面中界定凹槽,該凹槽圍繞穿過安裝板的中心孔延伸。凹槽可以在安裝塊與安裝板之間形成體積。方法可以包括使用旁路裝置的可調整閥來調整惰性氣體的流速,以調整氣幕,以防止反向擴散到遠端電漿源中。
這樣的技術可以提供優於傳統系統和技術的許多好處。例如,本技術的實施例可以限制或防止回流到遠端電漿單元中。此外,部件可以允許形成可以限制湍流並且保持穿過處理室的流動分佈(flow profile)的氣幕。結合以下描述和附圖更詳細地描述這些和其他實施例以及它們的許多優點和特徵。
100:系統
102:正面開口晶圓盒
104:機械臂
106:固持區
108a~108f:處理室
109a~109c:串聯部分
110:機械臂
200:系統
201:側壁
202:腔室主體
203:電源箱
204:蓋
206:陰影環
208:分配系統
212:側壁
216:底壁
218:氣體輸送組件
220A,220B:處理區域
222:通道
224:通道
225:圓周泵送腔
226:桿
227:襯墊組件
228:基座
229:基板
230:桿
231:排氣口
232:加熱元件
233:法蘭
235:圓周環
238:底座組件
240:前驅物入口通道
244:阻擋板
246:面板
247:冷卻通道
248:氣箱
258:介電隔離器
260:基板傳送端口
261:基板升降銷
264:泵送系統
265:射頻源
300:系統
310:腔室主體
315:基板支撐件
320:支撐台板
322:半導體基板
325:軸
330:氣箱
335:阻擋板
340:面板
345:出口歧管
350:遠端電漿源單元
355:配接器
400:配接器
405:安裝塊
406:第一表面
407:第二表面
408:中心孔
410:安裝板
411:第一表面
412:第二表面
414:中心孔
415:凹槽/凹部
420:第一安裝塊構件
422:第一凹部
424:肩部
425:孔
430:第二安裝塊構件
432:壁架
435:孔
440:閥
505:流體管
510:彈性體元件
600:方法
605:操作
610:操作
615:操作
620:操作
藉由參考說明書的其餘部分和圖式,可以實現對所揭示技術的本質和優點的進一步理解。
圖1示出了根據本技術的一些實施例的示例性處理系統的頂視圖。
圖2示出了根據本技術的一些實施例的示例性電漿系統的示意性截面圖。
圖3示出了根據本技術的一些實施例的示例性處理系統的示意性截面圖。
圖4示出了根據本技術的一些實施例的示例性配接器的示意性截面圖。
圖5示出了根據本技術的一些實施例的示例性配接器的示意性分解圖。
圖6示出了根據本技術的一些實施例的示例性半導體處理方法的操作。
其中一些圖作為示意圖被包括在內。應理解的是,這些圖是為了說明的目的,並且不被認為是按比例的,除非特別說明是按比例的。此外,作為示意圖,提供這些圖是為了幫助理解,並且可以不包括與現實表示相比的所有態樣或資訊,並且可以包括用於說明目的之誇大材料。
在附圖中,相似的部件及/或特徵可以具有相同的元件符號。此外,相同類型的不同部件可以藉由在元件符號後加上在相似部件之間區別的字母來區別。如果說明書中僅使用第一元件符號,則說明適用於任何一個具有相同第一元件符號的相似部件,而與字母無關。
電漿增強沉積處理可以激發一種或多種成分前驅物,以促進在基板上形成膜。可以產生任何數量的材料膜來發展半導體結構,包括導電和介電膜,以及促進材料轉移和去除的膜。例如,可以形成硬掩模膜,以促進基板的圖案化,同時硬掩模膜保護要以其他方式保持的底層材 料。在許多處理室中,數種前驅物可以在氣體面板中被混合並且被輸送到腔室的處理區域,在該處理區域中可以設置基板。儘管蓋疊層(lid stack)的部件可能會影響進入處理室的流動分配,但許多其他處理變數可能會類似地影響沉積的均勻性。
一些半導體處理形成會產生細顆粒的材料,該等細顆粒可能會穿過蓋疊層回流。這些回流材料可能沉積在上游部件上。一些處理系統包括遠端電漿源單元,該遠端電漿源單元與腔室連接,並且可以將電漿流出物輸送到腔室中,例如用於清潔處理。因為遠端電漿源可能在沉積操作期間關閉,所以在單元內產生空隙空間,並且回流顆粒可能流入遠端電漿源。這些材料可能會沉積在遠端電漿源中,並且可能在電漿產生操作期間導致損壞或被摻入。一些傳統技術可能試圖藉由使用隔離閥或提供穿過遠端電漿源的吹掃來防止這種相互作用。隔離閥是昂貴的部件,並且在結合時可能會過度增加系統高度。穿過遠端電漿源單元進行吹掃也可能導致問題。例如,遠端電漿源相對於處理室可以是相對較大的體積。為了提供穿過該體積的充足吹掃,可能需要更高的吹掃體積及/或流速。這可能會在腔室內產生湍流,這可能會影響沉積處理的發生。此外,穿過遠端電漿源的吹掃可能會夾帶諸如氟化鋁的顆粒,該等顆粒可能會沉積在正在處理的基板上,導致基板出現缺陷或損壞。
本技術藉由使用下游配接器來克服了這些挑戰,該下游配接器可以在腔室上游提供旁路流(bypass flow),該旁路流可以不流動穿過遠端電漿單元。旁路流可以產生氣幕,以限制或防止回流,同時還提供進入處理室的可調流(tunable flow),該可調流可用於引導處理前驅物。可以藉由使用用於旁路流的配接器,使用較低的流速及/或較低的吹掃量,這可以促進沉積或其他處理操作的發生。
儘管剩餘的揭示將例行地識別使用所揭示技術的特定沉積處理,但將易於理解的是,該等系統和方法同樣適用於其他沉積和清潔室,以及可能發生在所述腔室中的處理。因此,不應將本技術認為是僅限於與這些特定的沉積處理或腔室一起使用。在描述根據本技術的實施例的對該系統的附加變化和調整之前,本揭示將討論一種可能的系統和腔室,該系統和腔室可以包括根據本技術的實施例的蓋疊層部件。
圖1示出了根據實施例的沉積、蝕刻、烘烤及固化室的處理系統100的一個實施例的頂視圖。在圖中,一對正面開口晶圓盒102供應不同尺寸的基板,該等基板由機械臂104接收並且在被放置到基板處理室108a-f中之一者中之前被放置到低壓固持區106中,基板處理室108a-f位於串聯部分109a-c中。第二機械臂110可用於將基板晶圓從固持區106運送到基板處理室108a-f並返回。每個基板處理室108a-f可以被裝備以執行數個基板處理操作,除 了電漿增強化學氣相沉積、原子層沉積、物理氣相沉積、蝕刻、預清潔、脫氣、定向及其他基板處理(包括退火、灰化等)之外,基板處理操作還包括本文所述的半導體材料的疊層的形成。
基板處理室108a-f可以包括一個或多個系統部件,用於在基板上沉積、退火、固化及/或蝕刻介電或其他膜。在一個配置中,兩對處理室(例如108c-d和108e-f)可用於在基板上沉積介電材料,並且第三對處理室(例如108a-b)可用於蝕刻沉積的介電質。在另一配置中,所有的三對腔室(例如108a-f)可以被配置為在基板上沉積交替介電膜的疊層。所述的任何一個或多個處理可以在與不同實施例中所示的製造系統分開的腔室中進行。將理解的是,系統100考慮了用於介電膜的沉積、蝕刻、退火及固化室的附加配置。
圖2示出了根據本技術的一些實施例的示例性電漿系統200的示意性截面圖。電漿系統200可以示出一對處理室108,其可以被裝配在一個或多個上述串聯部分109中,並且根據本技術的實施例,其可以包括面板或其他部件或組件。電漿系統200通常可以包括腔室主體202,該腔室主體202具有界定一對處理區域220A和220B的側壁212、底壁216及內側壁201。每個處理區域220A-220B可以被類似地配置,並且可以包括相同的部件。
例如,處理區域220B(其部件也可以被包括在處理區域220A中)可以包括穿過形成在電漿系統200中的底 壁216中的通道222而設置在處理區域中的基座228。基座228可以提供適於在基座的暴露表面(諸如主體部分)上支撐基板229的加熱器。基座228可以包括加熱元件232(例如電阻加熱元件),該加熱元件232可以加熱和控制基板溫度在期望的處理溫度。基座228還可以由遠端加熱元件來加熱,諸如燈組件或任何其他加熱裝置。
基座228的主體可以藉由法蘭233耦接到桿226。桿226可以將基座228與電源插座或電源箱203電耦接。電源箱203可以包括控制在處理區域220B內的基座228的高度和移動的驅動系統。桿226還可以包括用於向基座228提供電力的電力接口。電源箱203還可以包括用於電力和溫度指示器的接口,諸如熱電偶接口。桿226可以包括適於可拆卸地與電源箱203耦接的底座組件238。在電源箱203上方示出了圓周環235。在一些實施例中,圓周環235可以是適於作為機械止動件或平台的肩部,該機械止動件或平台被配置為在基座組件238與電源箱203的上表面之間提供機械接口。
穿過形成在處理區域220B的底壁216中的通道224可以包括桿230,並且桿230可用於定位穿過基座228的主體設置的基板升降銷261。基板升降銷261可以將基板229與基座選擇性地隔開,以利用機器人來促進基板229的交換,該機器人用於將基板229穿過基板傳送端口260來傳送入和傳送出處理區域220B。
腔室蓋204可以與腔室主體202的頂部耦接。蓋204可以容納耦接到其上的一個或多個前驅物分配系統208。前驅物分配系統208可以包括前驅物入口通道240,該前驅物入口通道240可以將反應物和清潔前驅物穿過氣體輸送組件218輸送到處理區域220B中。氣體輸送組件218可以包括氣箱248,該氣箱248具有設置在面板246中間的阻擋板244。射頻(「RF」)源265可以與氣體輸送組件218耦接,並且可對氣體輸送組件218供電,以促進在氣體輸送組件218的面板246與基座228之間產生電漿區域,該電漿區域可以是腔室的處理區域。在一些實施例中,可以將RF源與腔室主體202的其他部分(諸如基座228)耦接,以促進電漿產生。介電隔離器258可以設置在蓋204與氣體輸送組件218之間,以防止將RF功率傳導到蓋204。陰影環206可以設置在基座228的外圍上,其接合基座228。
可選的冷卻通道247可以形成在氣體分配系統208的氣箱248中,以在操作期間冷卻氣箱248。諸如水、乙二醇、氣體等的傳熱流體可以藉由冷卻通道247來循環,使得氣箱248可以保持在預定溫度。襯墊組件227可以設置在處理區域220B內且靠近腔室主體202的側壁201、212,以防止側壁201、212暴露於處理區域220B內的處理環境。襯墊組件227可以包括圓周泵送腔225,該圓周泵送腔225可以耦接到泵送系統264,該泵送系統264被配置為從處理區域220B排出氣體和副產物並且控制在 處理區域220B內的壓力。複數個排氣口231可以形成在襯墊組件227上。排氣口231可以被配置為允許氣體以促進在系統200內的處理的方式從處理區域220B流到圓周泵送腔225。
圖3示出了根據本技術的一些實施例的示例性處理系統300的示意性局部截面圖。圖3可以說明例如與系統200中的部件有關的更多細節,並且可以介紹下面更詳細討論的部件。系統300被理解為包括先前在一些實施例中討論的系統200的任何特徵或態樣。系統300可用於執行半導體處理操作,包括如前所述的硬掩模材料的沉積,以及其他沉積、去除及清潔操作。系統300可以示出所討論的腔室部件的局部視圖,並且其可以被結合在半導體處理系統中。系統300的任何態樣還可以與由本領域具有通常知識者容易理解的其他處理室或系統結合。
系統300可以包括腔室主體310,如圖所示,腔室主體310可以包括側壁和底座,以及在一些實施例中包括蓋,所有這些可以至少部分地界定內部體積,該內部體積可以包括基板可以在其中被處理的處理區域。如前所述,基座或基板支撐件315可以穿過腔室的底座延伸到處理區域中。基板支撐件可以包括支撐台板320,該支撐台板320可以支撐半導體基板322。支撐台板320可以與軸325耦接,該軸325可以延伸穿過腔室的底座。系統300還可以包括位於腔室的內部體積內或部分界定腔室的內部體積的蓋疊層或氣體分配部件,這可以促進處理前驅物更 均勻地穿過腔室的輸送。該等部件可以包括氣箱330,該氣箱330可以穿過氣體輸送系統且穿過腔室主體的蓋來接收前驅物。
在一些實施例中,阻擋板335可用作扼流器以促進穿過部件的前驅物的橫向或徑向分配。阻擋板335可以安置在面板340上,如圖所示,面板340可以界定穿過面板的複數個孔,並且前驅物可以穿過該等孔被輸送以接近處理區域和基板。面板還可以與用於在腔室的處理區域內產生處理前驅物的電漿的電源耦接。系統300可以包括處理室外部的附加部件,這可以對要被輸送到腔室中的前驅物或流體提供進入位置。
例如,出口歧管345可以位於氣箱330或一些其他腔室部件上,並且可以穿過中心孔提供進入腔室的流體通路,該中心孔可以與如圖所示的氣箱的中心孔軸向對齊。儘管未示出,但應理解的是,出口歧管345可以與銲件或入口歧管流體耦接,該銲件或入口歧管可以向出口歧管提供前驅物以分配到處理室中。另外與出口歧管345耦接的可以是遠端電漿源單元350,其可以安置在配接器355上。儘管出口歧管可以提供進入處理室或通往用於輸送前驅物的中心孔的通路或旁路通道,但穿過出口歧管的中心孔可以與穿過配接器355的中心孔軸向對齊,並且與遠端電漿源單元350的出口耦接。在清潔操作或任何其他半導體處理操作期間,遠端電漿源單元可以產生要被輸送到處理室用於清潔或其他處理操作的電漿流出物。雖然在一些 處理操作期間該單元可能未被使用,但到遠端電漿源單元的流動路徑可能被保持,這可能允許回流顆粒進入以沉積。為了限制這種侵入,本技術可以提供穿過配接器355的吹掃。
圖4示出了根據本技術的一些實施例的示例性配接器400的示意性截面圖。配接器400可以被包括在先前描述的任何處理系統中,並且可以說明上述配接器355的附加態樣。配接器400可以包括在別處示出的配接器的任何特徵、部件或特性,並且可以被包括在任何腔室系統中,該腔室系統可以包括與處理室相關地安置的配接器。
如圖所示,配接器400可以包括安裝塊405和安裝板410,安裝塊405可以安置在安裝板410上。安裝塊405的特徵可以在於具有第一表面406和與該第一表面相對的第二表面407。遠端電漿單元(諸如遠端電漿單元350)可以安置在第一表面406上或與第一表面406耦接,而第二表面407可以安置在安裝板410上。安裝塊405可以界定中心孔408,該中心孔408可以延伸穿過安裝塊並且與穿過安裝板410的中心孔414軸向對齊。安裝板410的特徵還在於具有安裝塊安置於其上的第一表面411,以及具有與該第一表面相對的第二表面412。在第一表面411內,可以形成凹槽415,其可以圍繞中心孔414延伸,並且在安裝塊與安裝板之間形成所圖所示的體積。
如圖所示,在一些實施例中,安裝塊405可以包括第一安裝塊構件420和第二安裝塊構件430。第一安裝塊 構件420可以是遠端電漿源單元可以與其耦接的部件,並且可以是可以界定數個凹槽的部件,當安裝塊構件彼此耦接時,該數個凹槽可以界定吹掃流動路徑。如圖所示,第一安裝塊構件420可以界定第一凹部422和形成肩部424的第二凹部,該肩部424從第一安裝塊構件的第二表面407垂直形成。肩部424可以界定第二凹部,因為肩部424可以在安裝塊的第二表面端處從第一安裝塊構件的外邊緣凹陷。延伸穿過第一安裝塊構件420的肩部424的可以是複數個孔425,該複數個孔425穿過第一安裝塊構件420來垂直形成並且從第一安裝塊構件420的第一凹部422延伸到安裝塊的第二表面407。複數個孔425可以對形成在安裝塊405與安裝板410之間的體積提供流體通路。
第一凹部422可以圍繞第一安裝塊構件的外表面來界定並且可以圍繞第一安裝塊構件的外表面周向延伸,在一些實施例中,這可以提供圍繞第一安裝塊的通道。與由肩部424形成的第二凹部相比,第一凹部422可以在第一安裝塊構件上徑向向內延伸更大的距離。然而,如下所述的流動路徑可以藉由使肩部424從距第一安裝塊構件的第二表面的外徑向尺寸凹陷而形成,距第一安裝塊構件的第二表面的外徑向尺寸可以小於距第一安裝塊構件的第一表面的外徑向尺寸。
第二安裝塊構件430的特徵可以在於具有接觸第一安裝塊構件420的第一表面或區域及安置在安裝板410上的第二表面。例如,第二安裝塊構件430可以界定壁架 432,第一安裝塊構件420安置在該壁架432上。肩部424還可以在第一安裝塊構件420的第二表面407處界定凹陷壁架,第二安裝塊構件430可以在該凹陷壁架內延伸。第二安裝塊構件430可以具有延伸到壁架中並且安置在安裝板410上的突出部。第二安裝塊構件430還可以界定橫向延伸穿過第二安裝塊的孔435。如圖所示,孔435可以流體地進入由肩部424形成的第二凹部。例如,孔435可以橫向完全延伸穿過第二安裝塊構件430,這可以提供用於將吹掃氣體輸送到配接器中的流體通路。閥440可以流體耦接在入口歧管與配接器之間。閥可以是常閉的,這可以在不流動吹掃時限制電漿流出物從遠端電漿源單元回流入銲件。
如圖所示,第二安裝塊構件430可以部分地延伸到第一安裝塊構件420中,這可以保持在肩部424與第二安裝塊構件430之間的圓周間隙。藉由安置到第一安裝塊中並且保持間隙,可以形成遠離安裝板410垂直延伸的流動路徑。如圖所示的流動路徑可以從孔435延伸到第一安裝塊構件420的凹陷部分中,並且可以產生沿著肩部424垂直流入第一凹部422的流動路徑。利用孔425,可以產生從閥440、穿過孔435並且延伸到形成的圓周通道中的流動路徑,並且該流動路徑可以穿過孔425進入在安裝塊405與安裝板之間的體積。如圖所示,第一凹部422可以穿過第二安裝塊構件430從孔435來垂直偏移。第一凹部422可以藉由由肩部圍繞安裝塊形成的垂直通道來流體地進入孔 435。流動可以沿著安裝板410的凹部415繼續,並且可以被輸送到中心孔414中。
流動路徑可以確保第一凹部422可以被周向填充,並且可以穿過每個孔425形成等效流動。可以圍繞安裝塊形成任何數量的孔425,包括大於或約5個、大於或約10個、大於或約15個、大於或約20個、大於或約30個、大於或約40個、大於或約50個或更多個。流動路徑可以在處理室與遠端電漿單元之間產生氣幕。因為體積可以從遠端電漿源延伸的體積顯著減小,所以可以輸送受控流,該受控流可以對任何回流材料產生阻擋流。
轉到圖5,示出了根據本技術的一些實施例的示例性配接器400的示意性分解圖。如圖所示,可以示出了配接器的附加特徵,其可以對上述配接器的特徵提供附加功能。例如,在安裝塊405的第一表面406內,可以是在表面內形成的凹槽。流體管505可以安置在凹槽內,其可以輸送用於冷卻安置在配接器400的第一表面406上的遠端電漿單元的基座的流體。此外,彈性體元件510(諸如O形環)可以安置在安裝板410與安裝塊405之間。彈性體元件可以安置在安裝板410的凹陷部分的徑向外側,在本技術的實施例中,這可以確保穿過安裝塊輸送的材料的流體隔離。
轉到圖6,示出了根據本技術的一些實施例的半導體處理的示例性方法600的操作。該方法可以在各種處理室中來執行,包括上述處理系統200或處理系統300,其可以包括根據本技術的實施例的配接器組件或部件,諸如前 面討論的任何配接器或配接器部件或特性。方法600可以包括數個可選操作,該等可選操作可以與根據本技術的方法的一些實施例具體相關或不相關。
方法600可以包括一種處理方法,該處理方法可以包括用於形成硬掩模膜的操作或其他沉積操作。該方法可以包括在方法600開始之前的可選操作,或者該方法可以包括附加操作。例如,方法600可以包括以與所示不同的順序執行的操作。在一些實施例中,在操作605處,方法600可以包括將一種或多種前驅物流入處理室。例如,前驅物可以流入諸如被包括在系統200中的腔室,並且在將前驅物輸送到腔室的處理區域中以與安置在基板支撐件上的基板相互作用之前,該腔室可以使前驅物流動穿過氣箱、阻擋板或面板中之一者或多者。在一些實施例中,前驅物可以是或包括含碳前驅物、含矽前驅物或任何其他沉積前驅物,儘管可以類似地執行任何其他沉積處理、蝕刻處理或其他處理。
在一些實施例中,如前所述,包括安裝塊和安裝板的配接器可以被包括在處理室的外部區域處的系統中。還可以包括前述的配接器的任何其他特性,包括上述配接器400的任何態樣。如上所述,由於腔室的特性,在其中執行處理的腔室可能會跨基板產生平面溫度偏斜。在操作610處,惰性氣體可以流入由在處理室與遠端電漿單元之間的旁路裝置界定的區域。例如,如前所述的配接器可以提供體積,該體積為要流動的吹掃氣體提供通路以產生氣 幕,以控制腔室內的回流。配接器可以包括如前所述的配接器的任何特徵、部件或特性。
在操作615處,諸如藉由對面板提供RF功率以產生電漿,可以在處理區域內產生前驅物的電漿。在操作620處,在電漿中形成的材料(諸如含碳材料或含矽材料)可以被沉積在基板上。惰性氣體可以被流動穿過配接器,並且可以調整流速以控制和防止回流或電漿流出物或材料進入遠端電漿系統單元。藉由利用如上所述的配接器,本技術可以提供對遠端電漿源的保護,同時限制在處理室上游包括附加部件。這些配置可以允許用吹掃氣體產生氣幕,以及控制流入處理室的流體,否則這可能會影響沉積或其他處理條件。
在前面的描述中,為了解釋的目的,已經闡述了許多細節以便提供對本技術的不同實施例的理解。然而,對於本領域具有通常知識者而言,顯而易見的是,某些實施例可以在沒有這些細節中的一些的情況下或在有附加細節的情況下來實施。
已經揭示了數個實施例,本領域具有通常知識者將理解的是,在不背離實施例的精神的情況下,可以使用不同修改、替代構造和均等物。此外,為了避免不必要地混淆本技術,並未描述許多眾所周知的處理和元件。因此,以上描述不應被視為限制本技術的範疇。
在提供數值範圍的情況下,應理解的是,除非上下文另有明確說明,否則該範圍的上限與下限之間的每個 中間值(到下限的單位的最小分數)也是被明確揭示的。包括了在規定範圍中的任何規定值或未規定的中間值與該規定範圍中的任何其他規定或中間值之間的任何較窄的範圍。彼等較小範圍的上限和下限可以獨立地被包括或被排除在該範圍中,並且任一、均無或兩個限值都被包括在其中的較小範圍中的每個範圍也被包括在本技術內,但受限於規定範圍中的任何明確排除的限制。當規定範圍包括一個或兩個限值時,排除其中一個或兩個限值的範圍也被包括在內。
如本文和所附申請專利範圍中使用的,除非上下文另有明確規定,單數形式「一(a)」、「一(an)」及「該(the)」包括複數引用。因此,例如,對「一通道」的引用包括複數個這樣的通道,並且對「該孔」的引用包括對一個或多個孔及本領域具有通常知識者已知的其均等物的引用,等等。
此外,當在本說明書和以下申請專利範圍中使用時,術語「包括(comprise)」、「包括(comprising)」、「包含(contain)」、「包含(containing)」、「包括(include)」及「包括(including)」意欲指定規定特徵、整數、部件或操作的存在,但它們不排除存在或增加一個或多個其他特徵、整數、部件、操作、動作或群組。
400:配接器
405:安裝塊
406:第一表面
407:第二表面
408:中心孔
410:安裝板
411:第一表面
412:第二表面
414:中心孔
415:凹槽/凹部
420:第一安裝塊構件
422:第一凹部
424:肩部
425:孔
430:第二安裝塊構件
432:壁架
435:孔
440:閥

Claims (20)

  1. 一種半導體處理系統,包括: 一遠端電漿源; 一處理室,其中該處理室包括一氣箱,該氣箱界定進入該處理室的一通路;及 一配接器,該配接器位於該遠端電漿源與該處理室之間,其中該配接器包括: 一安裝塊,該安裝塊界定一中心孔,其中該遠端電漿源安置在該安裝塊的一第一表面上,及 一安裝板,其中該安裝板的特徵在於具有該安裝塊安置在其上的一第一表面,其中該安裝板界定與穿過該安裝塊界定的該中心孔軸向對齊的一中心孔,其中該安裝板在該安裝板的該第一表面中界定一凹槽,該凹槽圍繞穿過該安裝板的該中心孔延伸,並且其中該凹槽在該安裝塊與該安裝板之間形成一體積。
  2. 如請求項1所述之半導體處理系統,其中該安裝塊包括: 一第一安裝塊構件,該遠端電漿源安置在該第一安裝塊構件上,其中該第一安裝塊構件界定圍繞該第一安裝塊構件的一外表面周向延伸的一凹槽;及 一第二安裝塊構件,該第二安裝塊構件與該第一安裝塊構件耦接,其中該第二安裝塊構件安置在該安裝板上,並且其中該第二安裝塊構件界定一孔,該孔流體地進入在該第一安裝塊構件中界定的該凹槽。
  3. 如請求項2所述之半導體處理系統,其中該第一安裝塊構件的特徵在於具有與該安裝塊的該第一表面相對的一第二表面,並且其中該第一安裝塊構件界定複數個孔,該複數個孔從在該第一安裝塊構件中界定的該凹槽延伸到該第一安裝塊構件的該第二表面。
  4. 如請求項3所述之半導體處理系統,其中該複數個孔對在該安裝塊與該安裝板之間形成的該體積提供流體通路。
  5. 如請求項4所述之半導體處理系統,其中在該第一安裝塊構件中界定的該複數個孔可藉由圍繞該第一安裝塊構件周向界定的該凹槽流體地進入穿過該第二安裝塊構件界定的該孔。
  6. 如請求項2所述之半導體處理系統,其中圍繞該第一安裝塊構件周向界定的該凹槽包括一第一凹部和一第二凹部,其中該第一凹部在該第一安裝塊構件內比該第二凹部延伸一更大的距離。
  7. 如請求項6所述之半導體處理系統,其中該第二安裝塊構件與該第一安裝塊構件耦接,以在該第二凹部與該第一凹部之間提供一流動路徑。
  8. 如請求項7所述之半導體處理系統,其中該第一凹部從穿過該第二安裝塊構件的該孔垂直偏移,並且其中該第一凹部可藉由該第二凹部從穿過該第二安裝塊構件的該孔流體地進入。
  9. 如請求項2所述之半導體處理系統,進一步包括一閥,該閥對在該第二安裝塊構件中界定的該孔提供流體通路。
  10. 一種半導體處理室配接器,包括: 一安裝塊,該安裝塊界定一中心孔,其中該安裝塊的特徵在於具有一第一表面和與該第一表面相對的一第二表面;及 一安裝板,該安裝板界定與該安裝塊的該中心孔軸向對齊的一中心孔,其中: 該安裝板的特徵在於具有一第一表面和與該第一表面相對的一第二表面, 該安裝塊的該第二表面安置在該安裝板的該第一表面上, 該安裝板在該安裝板的該第一表面中界定一凹槽,該凹槽圍繞穿過該安裝板的該中心孔延伸,及 該凹槽在該安裝塊與該安裝板之間形成一體積。
  11. 如請求項10所述之半導體處理室配接器,其中該安裝塊包括: 一第一安裝塊構件,其中該第一安裝塊構件界定圍繞該第一安裝塊構件的一外表面周向延伸的一凹槽;及 一第二安裝塊構件,該第二安裝塊構件與該第一安裝塊構件耦接,其中該第二安裝塊構件安置在該安裝板上,並且其中該第二安裝塊構件界定一孔,該孔流體地進入在該第一安裝塊構件中界定的該凹槽。
  12. 如請求項11所述之半導體處理室配接器,其中該第一安裝塊構件的特徵在於具有與該安裝塊的該第一表面相對的一第二表面,並且其中該第一安裝塊構件界定複數個孔,該複數個孔從在該第一安裝塊構件中界定的該凹槽延伸到該第一安裝塊構件的該第二表面。
  13. 如請求項12所述之半導體處理室配接器,其中該複數個孔對在該安裝塊與該安裝板之間形成的該體積提供流體通路。
  14. 如請求項13所述之半導體處理室配接器,其中在該第一安裝塊構件中界定的該複數個孔可藉由圍繞該第一安裝塊構件周向界定的該凹槽流體地進入穿過該第二安裝塊構件界定的該孔。
  15. 如請求項11所述之半導體處理室配接器,其中圍繞該第一安裝塊構件周向界定的該凹槽包括一第一凹部和一第二凹部,並且其中該第一凹部在該第一安裝塊構件內比該第二凹部延伸一更大的距離。
  16. 如請求項15所述之半導體處理室配接器,其中該第二安裝塊構件與該第一安裝塊構件耦接,以在該第二凹部與該第一凹部之間提供一流動路徑。
  17. 如請求項16所述之半導體處理室配接器,其中該第一凹部從穿過該第二安裝塊構件的該孔垂直偏移,並且其中該第一凹部可藉由該第二凹部從穿過該第二安裝塊構件的該孔流體地進入。
  18. 一種用於半導體處理的方法,包括以下步驟: 使一前驅物流入一半導體處理系統的一處理區域,其中一基板安置在該半導體處理系統的一半導體處理室的該處理區域內的一基板支撐件上; 使一惰性氣體流入在一遠端電漿源與該半導體處理室之間界定的一區域,該區域包括一旁路裝置,其中該旁路裝置包括位於該遠端電漿源與該半導體處理室之間的一配接器,其中該配接器包括: 一安裝塊,該安裝塊界定一中心孔,其中該遠端電漿源安置在該安裝塊的一第一表面上,及 一安裝板,其中該安裝板的特徵在於具有該安裝塊安置在其上的一第一表面,其中該安裝板界定與穿過該安裝塊界定的該中心孔軸向對齊的一中心孔,並且其中該惰性氣體的流動在該處理室與該遠端電漿源之間的該安裝板的該中心孔中形成一氣幕; 在該半導體處理室的該處理區域內產生該前驅物的一電漿;及 在該基板上沉積一材料。
  19. 如請求項18所述之方法,其中該安裝板在該安裝板的該第一表面中界定一凹槽,該凹槽圍繞穿過該安裝板的該中心孔延伸,其中該凹槽在該安裝塊與該安裝板之間形成一體積。
  20. 如請求項18所述之方法,進一步包括以下步驟: 使用該旁路裝置的一可調整閥來調整該惰性氣體的一流速,以調整該氣幕,以防止反向擴散到該遠端電漿源中。
TW110137952A 2020-10-15 2021-10-13 用於反向擴散控制的半導體腔室部件及用於半導體處理的方法 TWI790777B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/071,683 2020-10-15
US17/071,683 US20220122819A1 (en) 2020-10-15 2020-10-15 Semiconductor chamber components for back diffusion control

Publications (2)

Publication Number Publication Date
TW202231916A TW202231916A (zh) 2022-08-16
TWI790777B true TWI790777B (zh) 2023-01-21

Family

ID=81185225

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110137952A TWI790777B (zh) 2020-10-15 2021-10-13 用於反向擴散控制的半導體腔室部件及用於半導體處理的方法

Country Status (3)

Country Link
US (1) US20220122819A1 (zh)
TW (1) TWI790777B (zh)
WO (1) WO2022081343A1 (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201009875A (en) * 2008-06-26 2010-03-01 Mks Instr Inc Particle trap for a plasma source
TW201445611A (zh) * 2013-05-22 2014-12-01 Dae-Kyu Choi 具有自主管理功能的遠距電漿系統及其自主管理方法
US20150129131A1 (en) * 2013-11-14 2015-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus and pre-clean system
US20170162366A1 (en) * 2015-12-08 2017-06-08 Asm Ip Holding B.V. Film forming apparatus, recording medium, and film forming method
US20190333786A1 (en) * 2018-02-15 2019-10-31 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5846330A (en) * 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201009875A (en) * 2008-06-26 2010-03-01 Mks Instr Inc Particle trap for a plasma source
TW201445611A (zh) * 2013-05-22 2014-12-01 Dae-Kyu Choi 具有自主管理功能的遠距電漿系統及其自主管理方法
US20150129131A1 (en) * 2013-11-14 2015-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus and pre-clean system
US20170162366A1 (en) * 2015-12-08 2017-06-08 Asm Ip Holding B.V. Film forming apparatus, recording medium, and film forming method
US20190333786A1 (en) * 2018-02-15 2019-10-31 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus

Also Published As

Publication number Publication date
TW202231916A (zh) 2022-08-16
US20220122819A1 (en) 2022-04-21
WO2022081343A1 (en) 2022-04-21

Similar Documents

Publication Publication Date Title
TWI757487B (zh) 多區半導體基板材支撐件
JP2011176365A (ja) 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法
TWI797524B (zh) 有針對性的加熱控制系統
TWI811815B (zh) 用於半導體處理室的氣箱
CN115867691A (zh) 用于半导体处理腔室的非对称排气泵送板设计
TWI790507B (zh) 用於處理腔室的多區域流動氣箱
TWI790777B (zh) 用於反向擴散控制的半導體腔室部件及用於半導體處理的方法
TWI811817B (zh) 處理腔室沉積限制
CN116134582A (zh) 多阶段泵送衬垫
US20220165567A1 (en) Systems and methods for deposition residue control
US11804363B2 (en) Chamber components for gas delivery modulation
US20230120710A1 (en) Downstream residue management hardware
US20220108891A1 (en) Modular zone control for a processing chamber
US20230033058A1 (en) Reactor with inductively coupled plasma source
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
WO2023220308A1 (en) Multi-path helical mixer for asymmetric wafer bow compensation
TW202229637A (zh) 斜面背部沉積消除
KR20220153634A (ko) 고 전도도 프로세스 키트
TW202213615A (zh) 具有邊緣流體控制的面板