JP2011176365A - 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法 - Google Patents
化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法 Download PDFInfo
- Publication number
- JP2011176365A JP2011176365A JP2011120470A JP2011120470A JP2011176365A JP 2011176365 A JP2011176365 A JP 2011176365A JP 2011120470 A JP2011120470 A JP 2011120470A JP 2011120470 A JP2011120470 A JP 2011120470A JP 2011176365 A JP2011176365 A JP 2011176365A
- Authority
- JP
- Japan
- Prior art keywords
- processing system
- protective barrier
- chemical
- heat treatment
- chemical processing
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000000126 substance Substances 0.000 title claims abstract description 25
- 238000012545 processing Methods 0.000 title claims description 126
- 238000000034 method Methods 0.000 title claims description 49
- 230000001681 protective effect Effects 0.000 claims abstract description 91
- 230000004888 barrier function Effects 0.000 claims abstract description 90
- 238000010438 heat treatment Methods 0.000 claims abstract description 83
- 238000011282 treatment Methods 0.000 claims abstract description 16
- 239000002344 surface layer Substances 0.000 claims abstract description 15
- 238000009413 insulation Methods 0.000 claims abstract description 13
- 239000000758 substrate Substances 0.000 claims description 124
- 238000012993 chemical processing Methods 0.000 claims description 90
- 239000000463 material Substances 0.000 claims description 42
- 230000008569 process Effects 0.000 claims description 42
- 230000032258 transport Effects 0.000 claims description 32
- 229920001343 polytetrafluoroethylene Polymers 0.000 claims description 19
- 239000004810 polytetrafluoroethylene Substances 0.000 claims description 19
- 229910052751 metal Inorganic materials 0.000 claims description 17
- 239000002184 metal Substances 0.000 claims description 17
- 229910018072 Al 2 O 3 Inorganic materials 0.000 claims description 9
- 229910021193 La 2 O 3 Inorganic materials 0.000 claims description 9
- 229910052782 aluminium Inorganic materials 0.000 claims description 7
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 7
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 6
- 229910000838 Al alloy Inorganic materials 0.000 claims description 5
- 229910052734 helium Inorganic materials 0.000 claims description 5
- 229910052739 hydrogen Inorganic materials 0.000 claims description 5
- 238000002347 injection Methods 0.000 claims description 5
- 239000007924 injection Substances 0.000 claims description 5
- 229910052786 argon Inorganic materials 0.000 claims description 3
- 238000012423 maintenance Methods 0.000 claims description 2
- 239000006185 dispersion Substances 0.000 claims 1
- 239000007789 gas Substances 0.000 description 78
- 238000001816 cooling Methods 0.000 description 14
- 239000010410 layer Substances 0.000 description 14
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 12
- 238000005530 etching Methods 0.000 description 11
- 238000001514 detection method Methods 0.000 description 10
- 229920002120 photoresistant polymer Polymers 0.000 description 7
- BFKJFAAPBSQJPD-UHFFFAOYSA-N tetrafluoroethene Chemical group FC(F)=C(F)F BFKJFAAPBSQJPD-UHFFFAOYSA-N 0.000 description 7
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 238000009529 body temperature measurement Methods 0.000 description 6
- 230000008859 change Effects 0.000 description 6
- 229910052697 platinum Inorganic materials 0.000 description 6
- 230000008878 coupling Effects 0.000 description 5
- 238000010168 coupling process Methods 0.000 description 5
- 238000005859 coupling reaction Methods 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 235000012239 silicon dioxide Nutrition 0.000 description 4
- 238000002955 isolation Methods 0.000 description 3
- 229910000640 Fe alloy Inorganic materials 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 2
- CYUOWZRAOZFACA-UHFFFAOYSA-N aluminum iron Chemical compound [Al].[Fe] CYUOWZRAOZFACA-UHFFFAOYSA-N 0.000 description 2
- 239000006117 anti-reflective coating Substances 0.000 description 2
- DGJPLFUDZQEBFH-UHFFFAOYSA-N argon xenon Chemical compound [Ar].[Xe] DGJPLFUDZQEBFH-UHFFFAOYSA-N 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 238000003486 chemical etching Methods 0.000 description 2
- 238000004891 communication Methods 0.000 description 2
- 239000002826 coolant Substances 0.000 description 2
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 229910052743 krypton Inorganic materials 0.000 description 2
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 2
- 229910052747 lanthanoid Inorganic materials 0.000 description 2
- 150000002602 lanthanoids Chemical class 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 229910000623 nickel–chromium alloy Inorganic materials 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 230000003287 optical effect Effects 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- -1 polytetrafluoroethylene Polymers 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 239000010935 stainless steel Substances 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 238000009966 trimming Methods 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 229910052684 Cerium Inorganic materials 0.000 description 1
- 229910052692 Dysprosium Inorganic materials 0.000 description 1
- 229910052693 Europium Inorganic materials 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- KBQHZAAAGSGFKK-UHFFFAOYSA-N dysprosium atom Chemical compound [Dy] KBQHZAAAGSGFKK-UHFFFAOYSA-N 0.000 description 1
- 229920001971 elastomer Polymers 0.000 description 1
- 239000000806 elastomer Substances 0.000 description 1
- OGPBJKLSAFTDLK-UHFFFAOYSA-N europium atom Chemical compound [Eu] OGPBJKLSAFTDLK-UHFFFAOYSA-N 0.000 description 1
- 239000010408 film Substances 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 1
- 238000001393 microlithography Methods 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 239000013307 optical fiber Substances 0.000 description 1
- UPSOBXZLFLJAKK-UHFFFAOYSA-N ozone;tetraethyl silicate Chemical compound [O-][O+]=O.CCO[Si](OCC)(OCC)OCC UPSOBXZLFLJAKK-UHFFFAOYSA-N 0.000 description 1
- RVZRBWKZFJCCIB-UHFFFAOYSA-N perfluorotributylamine Chemical compound FC(F)(F)C(F)(F)C(F)(F)C(F)(F)N(C(F)(F)C(F)(F)C(F)(F)C(F)(F)F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F RVZRBWKZFJCCIB-UHFFFAOYSA-N 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000003134 recirculating effect Effects 0.000 description 1
- 229910052706 scandium Inorganic materials 0.000 description 1
- SIXSYDAISGFNSX-UHFFFAOYSA-N scandium atom Chemical compound [Sc] SIXSYDAISGFNSX-UHFFFAOYSA-N 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 229910052727 yttrium Inorganic materials 0.000 description 1
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 1
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67748—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
-
- C—CHEMISTRY; METALLURGY
- C25—ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
- C25D—PROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
- C25D11/00—Electrolytic coating by surface reaction, i.e. forming conversion layers
- C25D11/02—Anodisation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67103—Apparatus for thermal treatment mainly by conduction
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67248—Temperature monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67253—Process monitoring, e.g. flow or thickness monitoring
Abstract
【課題】化学処理チャンバ及び/又は熱処理チャンバを保護するシステムを提供する。
【解決手段】内表面の少なくとも一部分上に形成された保護バリアを有する温度制御された化学処理チャンバを備え、基材上の露出表面層を非プラズマ環境の下で化学的に変化させる化学処理システムと;温度制御された熱処理チャンバを備え、化学変化された基材上の前記表面層を熱処理する熱処理システムと;前記熱処理システムおよび前記化学処理システムに接続された断熱組立体と;を備える処理システムにより上記課題が達成される。
【選択図】図2
【解決手段】内表面の少なくとも一部分上に形成された保護バリアを有する温度制御された化学処理チャンバを備え、基材上の露出表面層を非プラズマ環境の下で化学的に変化させる化学処理システムと;温度制御された熱処理チャンバを備え、化学変化された基材上の前記表面層を熱処理する熱処理システムと;前記熱処理システムおよび前記化学処理システムに接続された断熱組立体と;を備える処理システムにより上記課題が達成される。
【選択図】図2
Description
本発明は、基材を処理するシステムおよび方法に関し、より詳細には、化学処理チャンバ及び熱処理チャンバを保護するシステムおよび方法に関する。
半導体プロセスにおいて、ドライプラズマエッチングプロセスは、シリコン基材上にパターン形成された細いラインに沿ってあるいはビアホール(vias)内又は接点で材料をエッチング又は除去するために用いられる。プラズマエッチングプロセスは、一般的に、プロセスチャンバ内で、例えばフォトレジスト層等の被覆するようにパターン形成された保護層を備えた半導体基材を位置決めすることを含む。いったん、基材がチャンバ内に位置決めされると、雰囲気プロセス圧力を得るために真空ポンプを調整しつつ、イオン化性や解離性を有する混合ガスが、予め決められた所定の流量でチャンバ内に導入される。その後、誘導的または容量的に伝送されるラジオ周波数(RF)電力、又は、例えば電子サイクロトロン共鳴(ECR)等を用いたマイクロ波電力によって加熱された複数の電極によって、存在する複数のガス種の一部分がイオン化されたときに、プラズマが形成される。さらに、加熱された各電極により、周囲のガス種のうちのいくつかのガス種を解離し、露出表面の化学エッチングに適した一又は複数の反応性ガス種が生成する。いったんプラズマが形成されると、選択された複数の基材表面がプラズマによってエッチングされる。以上のプロセスは、基材の選択された各領域において、種々の形態(例えばトレンチ、ビアホール、接点、ゲート等)をエッチングするための所望の反応物の濃度およびイオン密度(ion populations)といった好適条件を得るために、調整される。エッチングが必要とされる部分のこのような基材の材料は、二酸化珪素(SiO2)、低比誘電率材料、ポリシリコンおよび窒化珪素を含む。
材料のプロセス中には、上記の各形態にエッチングする際に、概して、対応する各形態が形成される下方のフィルムに対して、マスク層内に形成されたパターンの転写が行われる。このマスクは、(ネガ又はポジとされた)フォトレジスト等の感光性材料、フォトレジスト及び反射防止コーティング(ARC)等の層を含む多層体、又は、下方のハードマスク層に対して第1層内のパターンの転写により形成されたフォトレジスト等のハードマスクによって構成することができる。
本発明は、化学処理チャンバ及び/又は熱処理チャンバを保護するシステムおよび方法に関する。
本発明の第1の態様によれば、少ないメンテナンスで基材を処理する処理システムであって、内表面の少なくとも一部分上に形成された保護バリアを有する温度制御された化学処理チャンバを備え、基材上の露出表面層を非プラズマ環境の下で化学的に変化させる化学処理システムと;温度制御された熱処理チャンバを備え、化学変化された基材上の前記表面層を熱処理する熱処理システムと;前記熱処理システムおよび前記化学処理システムに接続された断熱組立体と;を備えている処理システムが提供される。
また、本発明の第2の態様によれば、基材上の露出表面層を化学的に変化させる化学処理システムであって、非プラズマ環境を提供するよう構成され、内表面の少なくとも一部分上に形成された保護バリアを有する温度制御された化学処理チャンバと;該化学処理チャンバ内に取り付けられた温度制御された基材ホルダと;前記化学処理チャンバに接続された真空吸引システムと;前記化学処理チャンバ内にプロセスガスを導入する温度制御されたガス分配システムに接続され、複数のガス噴射オリフィスを備えたガス分配板と;を備えている化学処理システムが提供される。
材料プロセスの手順において、パターンエッチングは、エッチング時に下方に位置する薄膜に対してパターンを転写するマスクを用意するために、続けてパターン形成される基材の上面に対してフォトレジスト等の感光性材料の薄膜層を適用することを含む。この感光性材料のパターン形成は、一般に、(ポジティブのフォトレジストの場合には)感光性材料の照射領域の除去によって得られ、又は、(ネガティブのフォトレジストの場合には)現像液を用いる非照射領域の除去によって得られる、例えばマイクロリソグラフィーシステムを用いるレチクル(及び関連する光学系)を介した放射源による感光性材料の露光を含む。
さらに、多層とされた複数のハードマスクを、エッチング技術の中に組み込むことができる。例えば、ハードマスクを用いたエッチング技術の際には、感光性材料層のマスクパターンは、主たるエッチング工程に先立ち、別のエッチング工程を用いてハードマスク層に対して転写される。ハードマスクは、例えば、二酸化ケイ素(SiO2)、窒化ケイ素(Si3N4)、カーボン等のシリコンプロセス用の複数の材料から選択することができる。
形成される構造の寸法を減じるために、ハードマスクを、例えば以下の2つのステップのプロセスを用いて横方向にトリムすることができる。この2つのステップのプロセスは、ハードマスク層の表面の化学状態を変化させるために、ハードマスク層の複数の露出表面に対して行う化学処理と、化学変化が施された表面の化学物質を脱離するために、ハードマスク層の各露出表面に対して行う熱処理とを含む。
図1は、本発明の一実施形態にかかる処理システムを概略的に示した代表図である。図1に示された実施形態では、例えばマスク層トリミングを用いて基材を処理する処理システム1が示されている。処理システム1は、第1処理システム10と、この第1処理システム10に接続された第2処理システム20とを備えることができる。例えば、第1処理システム10は、熱処理システムを備えることができ、また、第2処理システム20は、化学処理システムを備えることができる。さらに、図1に示されているように、第1処理システム10及び第2処理システム20の内外へ基材を輸送するとともに多要素製造システム(multi-element manufacturing system)40と基材を交換するために、輸送システム30を第1処理システムに接続することができる。
第1処理システム10、第2処理システム20及び輸送システム30は、例えば、多要素製造システム40に接続された処理要素を備えることができる。多要素製造システム40は、エッチングシステム、蒸着システム、コーティングシステム、パターンニングシステム、メトロロジー(metrology)システムといったような各機器を有する処理要素との間で、機材の輸送を許容することができる。第1及び第2のシステムで発生する各処理を隔離するために、隔離組立体50を、各システムを接続するために利用することができる。例えば、隔離組立体50は、断熱を行う少なくとも一つの断熱組立体と、真空隔離を行うゲートバルブ組立体とを備えることができる。他の実施形態では、各処理システム10,20及び輸送システム30を、いずれかのシーケンスに位置させることができる。
また、コントローラ60を、第1処理システム10、第2処理システム20、及び輸送システム30に接続することができる。例えば、コントローラ60は、第1処理システム10、第2処理システム20、及び輸送システム30を制御するために使用することができる。また、コントローラ60を、多要素製造システム40内の制御要素(図示せず)に接続することができる。
あるいは、第1処理システム10、第2処理システム20、及び輸送システム30を、異なった構成とすることができる。例えば、積層配置(stacked arrangement)又は並列配置(side-by-side arrangement)としてもよい。
一般に、図1に示した処理システム1の第1処理システム10及び第2処理システム20の少なくとも一つは、基材の通過を許容する少なくとも2つの輸送用開口を備えている。例えば、図1に示したように、第1処理システム10は、2つの輸送用開口を備えており、第1輸送用開口は、第1処理システム10と輸送システム30との間の基材の通過を許容し、第2輸送用開口は、第1処理システム10と第2処理システム20との間の基材の通過を許容する。あるいは、各処理システムは、基材の通過を許容する少なくとも一つの輸送用開口を備えることができる。
図2は、本発明の一実施形態にかかる処理システムを示した概略断面図である。図示した実施態様では、基材に対して化学処理及び熱処理を行う処理システム200が示されている。処理システム200は、熱処理システム210と、この熱処理システム210に接続された化学処理システム220とを備えることができる。熱処理システム210は、温度制御が可能とされた熱処理チャンバ211を備えることができる。化学処理システム220は、温度制御が可能とされた化学処理チャンバ221を備えることができる。以下に詳述するように、熱処理チャンバ211と化学処理チャンバ221は、断熱組立体230を用いて互いに断熱され得るようになっており、また、ゲートバルブ組立体296を用いて互いに真空隔離され得るようになっている。
図3は、本発明の一実施形態にかかる化学処理システムを示した概略断面図である。図2及び図3に示されているように、化学処理システム220は、さらに、化学処理チャンバ221から実質的に断熱されるとともに基材242を支持するように構成された温度制御された基材ホルダ240と、センタリング用リング243とを備えることができる。センタリング用リング243は、ポリテトラフルオロエチレン(PTFE)及び/又はテトラフルオロエチレン(TFE)から製造することができる。また、基材ホルダ240は、基材ホルダ240の1又は2以上の露出表面上に形成された保護バリア241を備えることができる。ある態様では、保護バリア241は、陽極酸化処理された金属と、PTFE及び/又はTFEが含浸された陽極酸化表面とによって得ることができる。例えば、保護バリアは、硬質陽極酸化(hard-anodised)アルミニウムまたは硬質陽極酸化アルミ合金と、TFE及び/又はPTFEを含浸させた硬質陽極酸化表面とによって形成することができる。他の態様では、保護バリア241は必要とされない。
本発明の他の形態では、保護バリアは、Al2O3、イットリア(Y2O3)、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3、及びDyO3のうちの少なくとも一つを含むことができる。また、本発明の各形態では、保護バリア222は、第3族元素(周期表の第3族)及びランタニド元素(Lanthanon element)のうちの少なくとも一つを含むことができる。本発明の他の形態では、第3族元素は、イットリウム、スカンジウム及びランタンのうちの少なくとも一つを含むことができる。本発明の他の形態では、ランタニド元素は、セリウム、ジスプロシウム(Dysprosium)及びユウロピウム(Europium)のうちの少なくとも一つを含むことができる。
本発明の一態様では、保護バリア241は、最小厚さを有することができる。ここで、最小厚さは、少なくとも一つの内表面にわたって一定として特定することができる。他の態様では、最小厚さは、各内表面にわたって可変とすることができる。あるいは、最小厚さは、表面の第1部分にわたって一定値とし、表面の第2部分にわたって可変とすることができる。例えば、可変とされた厚さは、曲面上、角部上、又は孔内で生じ得る。例えば、最小厚さは、約0.5μmから約500μmの範囲をとり得る。あるいは、最小厚さは、約100μmから約200μmの範囲をとすることができ;又は、最小厚さは少なくとも約120μmとすることができる。
さらに、基材ホルダ240は、該基材ホルダ240の頂面上に形成された保護バリア245を備えることができる。この保護バリア245は、上述の保護バリア241と同様の材料の範囲から選択された材料で構成でき、かつ、同様の厚さを有することができる。あるいは、保護バリア245は必要とされない。
また、化学処理システム220は、さらに、化学処理チャンバ221内の圧力を制御するために、化学処理チャンバ221に接続された真空吸引システム250と、上方組立体260とを備えることができる。上方組立体260は、化学処理チャンバ221内の処理空間262内へとプロセスガスを導入するためのガス分配システムを備えることができる。
また、化学処理チャンバ221は、該化学処理チャンバ221の1又は2以上の内表面上に形成された保護バリア222を備えることができる。この保護バリア222は、上述の保護バリア241と同様の材料の範囲から選択された材料で構成でき、かつ、同様の厚さを有することができる。あるいは、保護バリア222は必要とされない。
さらに、上方組立体260の1又は2以上の内表面上に、保護バリア261を形成することができる。この保護バリア261は、上述の保護バリア241と同様の材料の範囲から選択された材料で構成でき、かつ、同様の厚さを有することができる。あるいは、保護バリア261は必要とされない。
本発明は、例えば、保護バリアを受け取るための1又は2以上の表面を準備し、そして、これらの表面上に保護バリアを形成するといった多ステッププロセスを含むことができる。
図2及び図5に示されているように、熱処理システム210は、さらに、熱処理チャンバ211内に取り付けられるとともに熱処理チャンバ211から実質的に断熱され、かつ基材242’を支持するように構成された温度制御された基材ホルダ270と、熱処理チャンバ211内を排気する真空吸引システム280と、基材リフト組立体290と、熱処理チャンバ211に接続された駆動システム530とを備えることができる。基材リフト組立体290は、(実線で示された)保持面と、(破線で示された)基材ホルダ270またはこれらの間に位置する輸送面との間で、基材242”を垂直方向に輸送することができる。熱処理チャンバ211は、さらに、上方組立体284を備えることができる。
また、熱処理チャンバ211は、熱処理チャンバ211内の1又は2以上の内表面上に形成された保護バリア212を備えることができる。この保護バリア212は、上述の保護バリア241と同様の材料の範囲から選択された材料で構成でき、かつ、同様の厚さを有することができる。あるいは、保護バリア212は必要とされない。
また、熱処理チャンバ211、化学処理チャンバ221、及び断熱組立体230は、基板を輸送することができる共通開口294を定義している。この共通開口294は、処理中に、各チャンバ211,221内で独立して処理するために、ゲートバルブ組立体296を用いて閉塞し、シールされ得るようになっている。
さらに、図1に示した輸送システムと基材を交換するために、熱処理チャンバ211内に、輸送用開口298を形成することができる。輸送システム(図示せず)から熱処理チャンバ221を断熱するために、第2断熱組立体231を組み込むことができる。輸送用開口298は(図1と同様に)熱処理チャンバ211の一部として示されているが、この輸送用開口298は、(図1に示したチャンバ位置を逆にして)熱処理チャンバ211ではなく化学処理チャンバ221内に形成することもできる。
さらに、ゲートバルブ組立体296、共通開口294、及び/又は輸送用開口298の各露出表面に、保護バリア(図示せず)を設けることができる。この保護バリアは、上述の保護バリア241と同様の材料の範囲から選択された材料で構成でき、かつ、同様の厚さを有することができる。あるいは、保護バリアは必要とされない。
図2及び図3に示されているように、化学処理システム220は、基材ホルダと、基材242を温度制御し処理するいくつかの操作機能を提供するための基材ホルダ組立体244とを備えることができる。基材ホルダ240及び基材ホルダ組立体244は、基材ホルダ240に対して基材242を電気的に(又は機械的に)クランプするために、静電式クランプシステム(又は機械式クランプシステム)を備えることができる。例えば、クランプシステムは、PTFE又はTFEを備えた頂面を備えることができる。
さらに、基材ホルダ240は、例えば、基材ホルダ240から熱を受け取るとともに熱交換システム(図示せず)へと熱を輸送でき、又は、加熱する際には熱交換システムから熱を輸送することができる再循環冷却剤を有する冷却システムをさらに備える。さらにまた、熱輸送ガスは、例えば、基材242と基材ホルダ240との間のギャップ間熱コンダクタンスを改善するために、裏面ガスシステムを介して、基材242の裏面へと供給される。例えば、基材242の裏面へと供給される熱輸送ガスとしては、ヘリウム、アルゴンキセノン、クリプトン等の不活性ガス、CF4,C4F8,C5F8,C4F6等のプロセスガス、又は、酸素、窒素、水素等の他のガスを用いることができる。このようなシステムは、温度を上昇または下降させるといった基材の温度制御が必要となったときに、利用することができる。例えば、上述の裏面ガスシステムは、(中央と端部の)2領域といった多領域ガス分配システムを備えることができる。基材242の中央と端部との間で裏面ガスギャップ圧力を独立に変化させることができる。他の形態では、化学処理チャンバ221のチャンバ壁部だけでなく基材ホルダ240内に、抵抗加熱要素や熱電加熱/冷却要素といった複数の加熱/冷却要素を設けることができる。
図7には、上述した機能のいくつかを実行する温度制御された基材ホルダ300の一実施形態が示されている。基材ホルダ300は、化学処理チャンバ221の下壁部に接続されるチャンバ結合部材310と、このチャンバ結合部材310に接続される断熱部材312と、この断熱部材312に接続される温度制御部材314とを備えることができる。チャンバ結合部材310及び温度制御部材314は、例えば、アルミニウム、ステンレス鋼、ニッケル等の導電性および熱伝導性を有する材料を用いて製造することができる。断熱部材312は、例えば、石英、アルミナ、TFE、PTFE等の比較的低い熱伝導率を有する熱抵抗材料を用いて製造することができる。
さらに、チャンバ結合部材310及び温度制御部材314は、これらの1又は2以上の外表面上に形成された保護バリア313を備えることができる。また、断熱部材312は、その1又は2以上の外表面上に形成された保護バリア311,315を備えることができる。各保護バリア311,313,315は、上述の保護バリア241と同様の材料の範囲から選択された材料で構成でき、かつ、同様の厚さを有することができる。あるいは、1又は2以上の保護バリア311,313,315は必要とされない。
温度制御部材314は、複数の冷却溝、複数の加熱溝、複数の抵抗熱要素、又は複数の熱電要素といった温度制御要素を備えることができる。例えば、図7に示すように、熱制御部材314は、冷却入口322及び冷却出口324を有する冷却溝320を備えることができる。冷却溝320は、例えば、温度制御部材314の伝導−対流冷却を提供するために、水、フロリナート(Fluorinert)、ガルデン(Galden)HT-135といった冷却剤の流れを許容する、温度制御部材314内に形成されたスパイラル状の流路とすることができる。あるいは、温度制御部材314は、対応する要素を流れる電流の方向に応じて、基材を加熱または冷却できる熱電素子の配列を備えることができる。例えば、熱電要素としては、アドバンスドサーモエレクトリック(Advanced Thermoelectric)社のモデルST-127-1.4-8.5M(40mm×40mm×3.4mmの熱電デバイスで、72Wの最大熱輸送能力を有する)を市場にて入手することができる。
また、基材ホルダ300は、さらに、セラミック層330を備えた静電式クランプ(ESC)328と、このセラミック層330ないに埋め込まれたクランプ用電極332と、電気接点336を用いてクランプ用電極332に接続された高電圧(HV)電圧供給源334とを備えることができる。ESC328は、例えば、単極または双極とすることができる。このようなクランプの構成及び実装については、静電式クランプシステムの技術分野における当業者であればよく知られているところである。ある実施形態では、基材ホルダの上面に、保護バリア243を設けることができる。この保護バリア243は、上述の保護バリア241と同様の材料の範囲から選択された材料で構成でき、かつ、同様の厚さを有することができる。あるいは、保護バリア243は必要とされない。
また、基材ホルダ300は、さらに、少なくとも一つのガス供給ライン342を介して基材242の裏面へ、ヘリウム、アルゴンキセノン、クリプトン等の不活性ガス、CF4,C4F8,C5F8,C4F6等のプロセスガス、又は、酸素、窒素、水素等の他のガスといった熱輸送ガスを供給する裏面ガス供給システム340を備えることができる。裏面ガスシステム340は、中央から端部まで半径方向に裏面ガスギャップ圧力を独立に変化させることができる(中央と端部の)2領域といった多領域ガス分配システムを備えることができる。
断熱部材312は、さらに、温度制御部材314と下方に位置するチャンバ結合部材310との間に付加的な断熱を提供するために、断熱ギャップ350を備えることができる。断熱ギャップ350は、ポンプシステム(図示せず)または真空吸引システム250の一部としての真空ラインを用いて排気できるようになっており、及び/又は、熱伝導率を変化させるためにガス供給源(図示せず)に接続されている。ガス供給源としては、熱輸送ガスを基材242の裏面に接続するために利用される裏面ガス供給源340とすることができる。
チャンバ結合部材310は、さらに、基材ホルダ300の上面と処理システム内の輸送面との間で昇降させて基材を垂直方向に輸送するために、3つ又はそれ以上のリフトピン362を上昇および下降させることができるリフトピン組立体360を備えることができる。
各部材310,312,314は、さらに、一方を他方に固定し、また基材ホルダ300を化学処理チャンバ221に固定するために、(ボルトやタップ形成された孔といった)固定装置を備えることができる。さらにまた、各部材310,312,314は、対応する部材に対する上述した各ユーティリティの通路を備え、また、処理システムの真空状態を確実に保つ必要がある部分に、エラストマーのOリングといった真空シールを利用することができる。
温度制御された基材ホルダ240の温度は、熱電対(例えばK型熱電対、白金センサ等)といった温度検出デバイス344を用いてモニタすることができる。さらに、コントローラは、基材ホルダ240の温度を制御するために、基材ホルダ組立体244へのフィードバックとして温度測定値を利用することができる。例えば、基材ホルダ240の温度変化を生じさせるために、流体流量、流体温度、熱輸送ガスの種類、熱輸送ガス圧力、クランプ力、抵抗加熱要素の電流値又は電圧値、熱電デバイスの電流値又は極性のうちの少なくとも一つを調整することができる。
図2及び図3を再び参照すると、化学処理システム220は、ガス分配システムを有する上方組立体260を備えることができる。
図8A及び図8B(図8Aの拡大図)に示された一実施形態では、少なくとも2つのガスから成るプロセスガスを分配するためのガス分配システム420は、ガス分配組立体424と、ガス分配組立体424に接続されるとともに第1ガスを化学処理チャンバ221の処理空間へと接続するように構成された第1ガス分配板430と、第1ガス分配板430に接続されるとともに第2ガスを化学処理チャンバ1221の処理空間へと接続するように構成された第2ガス分配板1432とを備えている。第1ガス分配板430は、ガス分配組立体424に接続されたときに、第1ガス分配プレナム440を形成する。また、第2ガス分配板432は、第1ガス分配板430に接続されたときに、第2ガス分配プレナム442を形成する。図示していないが、ガス分配プレナム440,442は、1又は2以上のガス分配バッフルプレートを備えている。第2ガス分配板432は、さらに、第1ガス分配板430内に形成された1又は2以上の流路446の配列に一致して接続される1又は2以上のオリフィス444の第1配列と、1又は2以上のオリフィス448の第2配列とを備えている。1又は2以上の流路446の配列に一致する1又は2以上のオリフィス444の第1配列は、第1ガス分配プレナム440から化学処理チャンバ221の処理空間へと第1ガスを分配するように構成されている。1又は2以上のオリフィス448の第2配列は、第2ガス分配プレナム442から化学処理チャンバ221の処理空間へと第2ガスを分配するように構成されている。プロセスガスとしては、例えば、NH3,HF,H2,O2,CO,CO2,Ar,He,等から構成することができる。各オリフィス444,448は、約0.1mm〜約10cmの直径を有し、約0.5mm〜約5cmの長さを有する。また、各オリフィスは、処理空間に露出する1又は2以上の表面状に形成された保護バリア261を備えることができる。この保護バリア261は、上述の保護バリア241と同様の材料の範囲から選択された材料で構成でき、かつ、同様の厚さを有することができる。あるいは、保護バリア261は必要とされない。上記構成により、第1ガス及び第2ガスは、処理空間内を除いて、互いに混合することなく処理空間へと独立して導入される。
図2及び図3を再び参照すると、化学処理システム220は、さらに、上昇された温度にて維持される温度制御された化学処理チャンバ221を備えている。例えば、壁部温度制御要素266を、壁部温度制御ユニット268に接続することができ、また、この壁部温度制御要素266を、化学処理チャンバ221に接続するように構成することができる。壁部温度制御要素は、例えば、抵抗加熱要素および/または冷却要素を備えることができる。化学処理チャンバ221の温度は、熱電対(例えばK型熱電対、白金センサ等)のような温度検出デバイスを用いてモニタすることができる。さらにまた、コントローラは、化学処理チャンバ221の温度を制御するために、壁部温度制御ユニット268へのフィードバックとして温度測定値を利用することができる。
図3を再び参照すると、化学K’処理システム200は、さらに、上方組立体260を備えている。上方組立体は、上方組立体および/またはプロセスガスを選択した温度に維持するために使用することができる温度制御されたガス分配システムを備えることができる。例えば、温度制御要素267を、ガス分配システム温度制御ユニット269に接続することができ、また、温度制御要素267を、ガス分配システム260に接続するように構成することができる。温度制御要素は、例えば、抵抗加熱要素および/または冷却要素を備えることができる。上方組立体および/またはプロセスガスの温度は、熱電対(例えばK型熱電対、白金センサ等)のような温度検出デバイスを用いてモニタすることができる。さらにまた、コントローラは、上方組立体および/またはプロセスガスの温度を制御するために、ガス分配システム温度制御ユニット269へのフィードバックとして温度測定値を利用することができる。
図2及び図3に示されているように、真空吸引システム250は、真空ポンプ252と、チャンバ圧を絞るためのゲートバルブ254とを備えることができる。真空ポンプ252は、例えば、排気速度が毎秒5000リットル(およびそれ以上)までの能力を有するターボ分子ポンプ(TMP)を備えることができる。例えば、TMPは、セイコー(Seiko)のSTP−A803真空ポンプ、又は荏原(Ebara)のET1301を用いることができる。TMPは、典型的には50mTorrよりも小さい低圧力での処理に適している。高圧(即ち100mTorrよりも大きい)又は低スループットプロセス(即ち、ガス流れ無し)の場合には、機械式ブースタポンプおよびドライ粗引きポンプを使用することができる。
図3を再び参照すると、化学処理システム220は、さらに、コントローラ235を備えることができる。このコントローラ235は、マイクロプロセッサ、メモリ、及びデジタルI/Oポートを有しており、温度検出デバイス及び圧力検出デバイス等の化学処理システム220からのモニタ出力だけでなく化学処理システム220に対する入力を発生させ、かつ通信するのに十分な制御用電圧を生成することができる。さらに、コントローラ235は、基材ホルダ組立体244、ガス分配システム260、真空吸引システム250、ゲートバルブ組立体296、壁部温度制御ユニット268、及びガス分配システム温度制御ユニット269に接続されるとともに情報の交換ができるようになっている。例えば、メモリ内に保存されたプログラムは、プロセスレシピに応じて、上述の化学処理システム220の各構成要素に対して入力を発生させるために利用することができる。コントローラ235の一例としては、テキサス州オースチンのデル社から入手可能なデル製PRECISION WORKSTATION 610TMが挙げられる。
図4には、化学処理システム1220の一例が示されており、この化学処理システム1220は、さらに、ハンドル1223、少なくとも一つの留め具1224及び少なくとも一つのヒンジ1227を有する蓋1222と、光学ビューポート1225と、少なくとも一つの圧力検出装置1226とを備えている。
図2及び図5に示されているように、熱処理システム210は、さらに、温度制御された基材ホルダ270を備えている。基材ホルダ270は、熱遮蔽体274を用いて熱処理チャンバ211から断熱された台272を備えている。例えば、基材ホルダ270は、アルミニウム、ステンレス鋼、又はニッケルから製造することができ、熱遮蔽体274は、PTFE、TFE、アルミナ、又は石英等の断熱材から製造することができる。基材ホルダ270は、さらに、その内部に埋め込まれた温度制御要素276を備えることができ、また、基材ホルダ温度調整コントローラ278が接続されている。温度制御要素276は、例えば、抵抗加熱要素および/または冷却要素を備えることができる。
基材ホルダ270の温度は、熱電対(例えばK型熱電対、白金センサ等)又は光ファイバ温度計のような温度検出デバイスを用いてモニタすることができる。さらにまた、コントローラ275は、基材ホルダ270の温度を制御するために、基材ホルダ温度制御ユニット278へのフィードバックとして温度測定値を利用することができる。
図5を再び参照すると、熱処理システム210は、さらに、選択された温度に維持され、温度制御された熱処理チャンバ211を備えている。例えば、壁部温度制御要素283は、壁部温度制御ユニット281に接続することができ、熱処理チャンバ211に接続することができる。温度制御要素は、例えば、タングステン、ニッケルクロム合金、アルミ鉄合金、窒化アルミニウム等のフィラメントのような抵抗加熱要素を用いることができる。あるいは、又は加えて、熱処理チャンバ211に複数の冷却要素を採用しても良い。熱処理チャンバ211の温度は、熱電対(例えばK型熱電対、白金センサ等)のような温度検出デバイスを用いてモニタすることができる。さらにまた、コントローラは、熱処理チャンバ211の温度を制御するために、壁部温度制御ユニット281へのフィードバックとして温度測定値を利用することができる。
図5を再び参照すると、熱処理システム210は、さらに、選択された温度にて維持することができ、温度制御された上方組立体284を備えることができる。例えば、上方組立体温度制御要素285は、上部組立体温度制御ユニット286に接続することができ、また、上方組立体284の温度に接続することができる。温度制御要素は、例えば、タングステン、ニッケルクロム合金、アルミ鉄合金、窒化アルミニウム等のフィラメントのような抵抗加熱要素を用いることができる。上方組立体284の温度は、熱電対(例えばK型熱電対、白金センサ等)のような温度検出デバイスを用いてモニタすることができる。さらにまた、コントローラは、上方組立体284の温度を制御するために、上方組立体温度制御ユニット286へのフィードバックとして温度測定値を利用することができる。上方組立体284は、付加的に、又は、代替的に、冷却要素を備えることができる。
図2及び図5を再び参照すると、熱処理システム210は、さらに、基材リフタ組立体290と、駆動システム530とを備えることができる。基材リフタ組立体290は、基材ホルダ270の上面から保持面またはこれらの間の輸送面へと基材242”を上昇させるだけでなく、基材ホルダ270の上面へと基材242’を下降させるように構成することができる。化学処理チャンバ221及び熱処理チャンバ211の内外へ基材を輸送するために利用される輸送システムを用いて、輸送面において、基材242”を交換することができる。輸送システムと化学処理チャンバ221及び熱処理チャンバ211との間で他の基材が交換されている間に、保持面において、基材242”を冷却することができる。
図9に示されているように、基材リフタ組立体290は、2又は3以上のタブ510を有するブレード500と、熱処理チャンバ211に対して基材リフタ組立体290を接続するためのフランジ520と、熱処理チャンバ211内でブレード500の垂直移動を許容する駆動システム530とを備えることができる。各タブ510は、上昇した位置において基材242”を把持するとともに、下方位置において、基材ホルダ270内に形成された収容キャビティ540(図5)内に収容するように構成されている。駆動システム530は、例えば、シリンダストローク長、シリンダストローク速度、位置精度、非回転精度等の各種仕様に合致して設計された空気圧式駆動システムを備えることができ、このような設計は、空気圧式駆動システムにおける当業者であれば周知の事項である。
さらに、保護バリア512を、ブレード500の1又は2以上の表面上に形成することができる。この保護バリア512は、上述の保護バリア241と同様の材料の範囲から選択された材料で構成でき、かつ、同様の厚さを有することができる。あるいは、保護バリア512は必要とされない。
図2及び図5に示されているように、熱処理システム210は、さらに、真空吸引システム280を備えることができる。真空吸引システム280は、例えば、真空ポンプと、ゲートバルブやバタフライバルブのようなスロットルバルブとを備えることができる。真空ポンプは、例えば、排気速度が毎秒5000リットル(およびそれ以上)までの能力を有するターボ分子ポンプ(TMP)を備えることができる。TMPは、典型的には50mTorrよりも小さい低圧力での処理に適している。高圧(即ち100mTorrよりも大きい)の場合には、機械式ブースタポンプおよびドライ粗引きポンプを使用することができる。
図5を再び参照すると、熱処理システム210は、さらに、コントローラ275を備えることができる。このコントローラ275は、マイクロプロセッサ、メモリ、及びデジタルI/Oポートを有しており、温度検出デバイス及び圧力検出デバイス等の熱処理システム210からのモニタ出力だけでなく熱処理システム210に対する入力を発生させ、かつ通信するのに十分な制御用電圧を生成することができる。さらに、コントローラ275は、基材ホルダ温度制御ユニット278、上部組立体温度制御ユニット286、上部組立体284、壁部温度制御ユニット281、真空吸引システム280、及び基材リフタ組立体290に接続されるとともに情報の交換ができるようになっている。例えば、メモリ内に保存されたプログラムは、プロセスレシピに応じて、上述の熱処理システム210の各構成要素に対して入力を発生させるために利用することができる。コントローラ275の一例としては、テキサス州オースチンのデル社から入手可能なデル製PRECISION WORKSTATION 610TMが挙げられる。
他の形態として、コントローラ235及びコントローラ275は、同一のコントローラとすることができる。
図6には、熱処理システム2210の一例が示されており、この熱処理システム2210は、さらに、ハンドル2213及び少なくとも一つのヒンジ2214を有する蓋2212と、光学ビューポート2215と、少なくとも一つの圧力検出装置2216と、少なくとも一つのアライメント装置2235と、少なくとも一つの固定装置2236とを備えている。さらに、熱処理システム2210は、基材が保持面に位置しているかを特定するための基材検出システム2217を備えることができる。基材検出システムは、例えば、キーエンス社のデジタルレーザセンサを備えることができる。
一実施形態において、図2に示したような処理システム200は、酸化物ハードマスク(oxide hard mask)をトリミングするための化学的酸化物除去(COR)システムとすることができる。処理システム200は、基材上の酸化物表面層のような露出表面層を化学的に処理するための化学処理システム220を備えることができる。これにより、露出表面上のプロセス化学物質の吸着が表面層の化学変化に影響を及ぼす。また、処理システム200は、基材を熱処理するための熱処理システム210を備えることができる。これにより、化学変化させられた基材上の露出表面層を脱離(蒸発)するために、基材温度が上昇させられる。
化学処理システム220では、処理空間262(図2)の温度が上昇させられ、HF及びNH3を含むプロセスガスが導入される。プロセス圧力は、約1mTorrから約100mTorrの範囲とすることができ、例えば、約2mTorrから約25mTorrの範囲とすることができる。プロセスガスの流量は、各ガス種に対して約1sccmから約200sccmの範囲とすることができ、例えば、約10sccmから約100sccmの範囲とすることができる。図2及び図3には、側方から化学処理チャンバ221にアクセスするように真空吸引システム250が示されているが、(三次元的に)均一な圧力場を得られるようになっている。表1には、処理圧力およびガス分配システム260と基材242の上面との距離に対する、基材表面における圧力均一の依存性が示されている。
熱処理システム210において、熱処理チャンバ211は、約50℃から約100℃の範囲の温度で加熱することができる。例えば、その温度を約80℃とすることができる。また、上方組立体は、約50℃から約100℃の範囲の温度で加熱することができる。例えば、その温度を約80℃とすることができる。基材は、約100℃超まで加熱することができる。例えば、その温度は、約100℃から約200℃までの範囲とすることができる。あるいは、その温度を約135℃とすることができる。
本明細書において説明した化学処理および熱処理によれば、熱酸化物に対する化学処理によって60秒あたり約10nm超の露出酸化物表面層のエッチング量を得ることができ、熱酸化物に対する化学処理によって180秒あたり約25nm超の露出酸化物表面層のエッチング量を得ることができ、オゾンTEOSの化学処理によって180秒あたり約10nm超の露出酸化物表面層のエッチング量を得ることができる。各処理によれば、基板全域に対して、約2.5%未満のエッチング量の変動に抑えることができる。
上述の通り、本発明の一実施形態について説明したが、本技術分野における当業者であれば、本発明の新規な教示および有利点から離れることなく多くの変形を施すことが可能である。したがって、これらの全ての変形例は、本発明の技術的範囲に含まれるものである。
Claims (37)
- 少ないメンテナンスで基材を処理する処理システムであって:
内表面の少なくとも一部分上に形成された保護バリアを有する温度制御された化学処理チャンバを備え、基材上の露出表面層を非プラズマ環境の下で化学的に変化させる化学処理システムと;
温度制御された熱処理チャンバを備え、化学変化された基材上の前記表面層を熱処理する熱処理システムと;
前記熱処理システムおよび前記化学処理システムに接続された断熱組立体と;
を備えている処理システム。 - 請求項1記載の処理システムにおいて、
前記断熱組立体は、少なくとも一つの露出表面上に、保護バリアを備えている処理システム。 - 請求項1記載の処理システムにおいて、
前記化学処理システムは、前記化学処理チャンバ内に取り付けられるとともに、露出表面の少なくとも一部分上に形成された保護バリアを有する温度制御された基材ホルダと、前記化学処理チャンバに接続された真空吸引システムと、露出表面の少なくとも一部分上に形成された保護バリアを有する複数のガス噴射オリフィスを備えるとともに、前記化学処理チャンバ内にプロセスガスを導入する温度制御されたガス分配システムに接続され、その露出表面の少なくとも一部分上に形成された保護バリアを有するガス分配板とを備え;
前記熱処理システムは、前記熱処理チャンバ内に取り付けられるとともに、露出表面の少なくとも一部分上に形成された保護バリアを有する温度制御された基材ホルダと、前記熱処理チャンバに接続された真空吸引システムとを備え;
前記化学処理システム及び前記熱処理システムに接続されるとともに、化学処理チャンバ温度、化学処理ガス分配システム温度、化学処理基材ホルダ温度、化学処理基材温度、化学処理プロセス圧力、化学処理ガス流量、熱処理チャンバ温度、熱処理基材ホルダ温度、熱処理基材温度、熱処理プロセス圧力および熱処理ガス流量のうちの少なくとも一つを制御するように構成された制御システムを備えている処理システム。 - 請求項1記載の処理システムにおいて、
前記化学処理チャンバの内表面上の前記保護バリアは、PTFE及び/又はTFEが含浸された陽極酸化金属を備えている処理システム。 - 請求項4記載の処理システムにおいて、
前記化学処理チャンバの内表面上の前記保護バリアは、TFE及び/又はPTFEが含浸された硬質陽極酸化金属を備えている処理システム。 - 請求項4記載の処理システムにおいて、
前記金属は、アルミニウム及びアルミ合金の少なくとも一つを含む処理システム。 - 請求項1記載の処理システムにおいて、
前記化学処理チャンバの内表面上の前記保護バリアは、Al2O3、Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3、及びDyO3のうちの少なくとも一つを含む処理システム。 - 請求項1記載の処理システムにおいて、
前記化学処理システムは、その少なくとも一部分上に形成された保護バリアを有する温度制御された基材ホルダを備え、
前記化学処理チャンバ内に取り付けられ、温度制御された前記基材ホルダ上の前記保護バリアは、PTFE及び/又はTFEが含浸された陽極酸化金属を備えている処理システム。 - 請求項1記載の処理システムにおいて、
前記化学処理システムは、その少なくとも一部分上に形成された保護バリアを有する温度制御された基材ホルダを備え、
前記化学処理チャンバ内に取り付けられ、温度制御された前記基材ホルダ上の前記保護バリアは、Al2O3、Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3、及びDyO3のうちの少なくとも一つを含む処理システム。 - 請求項1記載の処理システムにおいて、
前記化学処理システムは、露出表面の少なくとも一部分上に形成された保護バリアを有する複数のガス噴射オリフィスを備えるとともに、前記化学処理チャンバ内にプロセスガスを導入する温度制御されたガス分配システムに接続され、その露出表面の少なくとも一部分上に形成された保護バリアを有するガス分配板を備え、
該ガス分散板上の保護バリアおよび前記各オリフィス上の保護バリアは、PTFE及び/又はTFEが含浸された陽極酸化金属を備えている処理システム。 - 請求項10記載の処理システムにおいて、
前記ガス分散板の露出表面上の保護バリアおよび前記各オリフィスの露出表面上の保護バリアは、TFE及び/又はPTFEが含浸された硬質陽極酸化金属を備えている処理システム。 - 請求項10記載の処理システムにおいて、
前記金属は、アルミニウム及びアルミ合金の少なくとも一つを含む処理システム。 - 請求項1記載の処理システムにおいて、
前記化学処理システムは、露出表面の少なくとも一部分上に形成された保護バリアを有する複数のガス噴射オリフィスを備えるとともに、前記化学処理チャンバ内にプロセスガスを導入する温度制御されたガス分配システムに接続され、その露出表面の少なくとも一部分上に形成された保護バリアを有するガス分配板を備え、
該ガス分散板上の保護バリアおよび前記各オリフィス上の保護バリアは、Al2O3、Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3、及びDyO3のうちの少なくとも一つを含む処理システム。 - 請求項1記載の処理システムにおいて、
温度制御された前記熱処理チャンバの内表面上の前記保護バリアは、PTFE及び/又はTFEが含浸された陽極酸化金属を備えている処理システム。 - 請求項14記載の処理システムにおいて、
温度制御された前記熱処理チャンバの内表面上の前記保護バリアは、TFE及び/又はPTFEが含浸された硬質陽極酸化金属を備えている処理システム。 - 請求項14記載の処理システムにおいて、
前記金属は、アルミニウム及びアルミ合金の少なくとも一つを含む処理システム。 - 請求項1記載の処理システムにおいて、
温度制御された前記熱処理チャンバの内表面上の前記保護バリアは、Al2O3、Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3、及びDyO3のうちの少なくとも一つを含む処理システム。 - 請求項1記載の処理システムにおいて、
前記熱処理システムは、前記熱処理チャンバ内に取り付けられると共に、露出表面の少なくとも一部分上に形成された保護バリアを有する温度制御された基材ホルダを備え、
温度制御された前記熱処理チャンバ内に取り付けられ温度制御された基材ホルダの露出表面上の前記保護バリアは、PTFE及び/又はTFEが含浸された陽極酸化金属を備えている処理システム。 - 請求項1記載の処理システムにおいて、
前記熱処理システムは、前記熱処理チャンバ内に取り付けられると共に、露出表面の少なくとも一部分上に形成された保護バリアを有する温度制御された基材ホルダを備え、
温度制御された前記熱処理チャンバ内に取り付けられ温度制御された基材ホルダの露出表面上の前記保護バリアは、Al2O3、Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3、及びDyO3のうちの少なくとも一つを含む処理システム。 - 請求項1記載の処理システムにおいて、
前記断熱組立体は、ゲートバルブ組立体を備えており、
該ゲートバルブ組立体の露出表面の少なくとも一部分上に形成に、保護バリアが形成されている処理システム。 - 請求項20記載の処理システムにおいて、
前記ゲートバルブ組立体の露出表面上の前記保護バリアは、PTFE及び/又はTFEが含浸された陽極酸化金属を備えている処理システム。 - 請求項20記載の処理システムにおいて、
前記ゲートバルブ組立体の露出表面上の前記保護バリアは、Al2O3、Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3、及びDyO3のうちの少なくとも一つを含む処理システム。 - 請求項10記載の処理システムにおいて、
前記プロセスガスは、第1ガス及び第2ガスを含む処理システム。 - 請求項23記載の処理システムにおいて、
前記第1ガスは、NH3,HF,H2,O2,CO,CO2,Ar,Heのうちの少なくとも一つを含む処理システム。 - 請求項23記載の処理システムにおいて、
前記第2ガスは、NH3,HF,H2,O2,CO,CO2,Ar,Heのうちの少なくとも一つを含む処理システム。 - 請求項23記載の処理システムにおいて、
複数の前記オリフィスは、第1ガスを処理空間に接続するオリフィスの第1配列と、第2ガスを処理空間に接続するオリフィスの第2配列とを備えている処理システム。 - 請求項1記載の処理システムにおいて、
前記熱処理システムは、前記熱処理チャンバに接続されるとともに、輸送面と前記基材ホルダとの間で基材を垂直方向に輸送する基材リフタ組立体を備えている処理システム。 - 請求項27記載の処理システムにおいて、
前記基材リフタ組立体は、基材を受け取るための2又は3以上のタブを有するとともに、露出表面の少なくとも一部分上に形成された保護バリアを有するブレードと、前記基材ホルダと輸送面との間で基材を垂直方向に輸送する駆動システムとを備えている処理システム。 - 請求項28記載の処理システムにおいて、
前記ブレードの露出表面の少なくとも一部分上の前記保護バリアは、PTFE及び/又はTFEが含浸された陽極酸化金属を備えている処理システム。 - 請求項28記載の処理システムにおいて、
前記ブレードの露出表面の少なくとも一部分上の前記保護バリアは、Al2O3、Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3、及びDyO3のうちの少なくとも一つを含む処理システム。 - 基材上の露出表面層を化学的に変化させる化学処理システムであって:
非プラズマ環境を提供するよう構成され、内表面の少なくとも一部分上に形成された保護バリアを有する温度制御された化学処理チャンバと;
該化学処理チャンバ内に取り付けられた温度制御された基材ホルダと;
前記化学処理チャンバに接続された真空吸引システムと;
前記化学処理チャンバ内にプロセスガスを導入する温度制御されたガス分配システムに接続され、複数のガス噴射オリフィスを備えたガス分配板と;
を備えている化学処理システム。 - 請求項31記載の化学処理システムにおいて、
前記化学処理チャンバの内表面上の前記保護バリアは、PTFE及び/又はTFEが含浸された陽極酸化金属を備えている化学処理システム。 - 請求項32記載の化学処理システムにおいて、
前記化学処理チャンバの内表面上の前記保護バリアは、TFE及び/又はPTFEが含浸された硬質陽極酸化金属を備えている化学処理システム。 - 請求項32記載の化学処理システムにおいて、
前記金属は、アルミニウム及びアルミ合金の少なくとも一つを含む化学処理システム。 - 請求項31記載の化学処理システムにおいて、
前記化学処理チャンバの内表面上の前記保護バリアは、Al2O3、Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3、及びDyO3のうちの少なくとも一つを含む化学処理システム。 - 請求項31記載の化学処理システムにおいて、
前記基材ホルダは、露出表面の少なくとも一部分上に形成された保護バリアを有している化学処理システム。 - 請求項31記載の化学処理システムにおいて、
保護バリアが、前記ガス分配板の露出表面の少なくとも一部分上に、及び、前記各オリフィスの露出表面の少なくとも一部分上に、形成されている化学処理システム。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/736,991 | 2003-12-17 | ||
US10/736,991 US20040182315A1 (en) | 2003-03-17 | 2003-12-17 | Reduced maintenance chemical oxide removal (COR) processing system |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006545638A Division JP2007515077A (ja) | 2003-12-17 | 2004-11-09 | 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法 |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2011176365A true JP2011176365A (ja) | 2011-09-08 |
Family
ID=34710473
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006545638A Pending JP2007515077A (ja) | 2003-12-17 | 2004-11-09 | 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法 |
JP2011120470A Pending JP2011176365A (ja) | 2003-12-17 | 2011-05-30 | 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006545638A Pending JP2007515077A (ja) | 2003-12-17 | 2004-11-09 | 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法 |
Country Status (5)
Country | Link |
---|---|
US (2) | US20040182315A1 (ja) |
JP (2) | JP2007515077A (ja) |
KR (3) | KR20060126977A (ja) |
CN (1) | CN100511576C (ja) |
WO (1) | WO2005062336A2 (ja) |
Families Citing this family (195)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE69928231T2 (de) | 1998-03-05 | 2006-07-20 | Gil M. Vardi | Optisch-akustisch bildgebendes gerät |
US7245789B2 (en) | 2002-10-07 | 2007-07-17 | Vascular Imaging Corporation | Systems and methods for minimally-invasive optical-acoustic imaging |
US7029536B2 (en) * | 2003-03-17 | 2006-04-18 | Tokyo Electron Limited | Processing system and method for treating a substrate |
US20040182315A1 (en) * | 2003-03-17 | 2004-09-23 | Tokyo Electron Limited | Reduced maintenance chemical oxide removal (COR) processing system |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US7780793B2 (en) * | 2004-02-26 | 2010-08-24 | Applied Materials, Inc. | Passivation layer formation by plasma clean process to reduce native oxide growth |
US7651583B2 (en) * | 2004-06-04 | 2010-01-26 | Tokyo Electron Limited | Processing system and method for treating a substrate |
KR100830745B1 (ko) | 2005-09-14 | 2008-05-20 | 도쿄엘렉트론가부시키가이샤 | 기판 처리 장치, cor 처리 모듈 및 기판 리프트 장치 |
US7599588B2 (en) * | 2005-11-22 | 2009-10-06 | Vascular Imaging Corporation | Optical imaging probe connector |
US7631898B2 (en) * | 2006-01-25 | 2009-12-15 | Chrysler Group Llc | Power release and locking adjustable steering column apparatus and method |
JP4854317B2 (ja) * | 2006-01-31 | 2012-01-18 | 東京エレクトロン株式会社 | 基板処理方法 |
US8343280B2 (en) | 2006-03-28 | 2013-01-01 | Tokyo Electron Limited | Multi-zone substrate temperature control system and method of operating |
WO2007143743A2 (en) * | 2006-06-09 | 2007-12-13 | S.O.I.Tec Silicon On Insulator Technologies | High volume delivery system for gallium trichloride |
US7718032B2 (en) * | 2006-06-22 | 2010-05-18 | Tokyo Electron Limited | Dry non-plasma treatment system and method of using |
US20080078743A1 (en) * | 2006-09-28 | 2008-04-03 | Munoz Andres F | Elevated temperature chemical oxide removal module and process |
KR101390425B1 (ko) | 2006-11-22 | 2014-05-19 | 소이텍 | 화학기상증착 챔버용 온도제어 퍼지 게이트 밸브 |
US8382898B2 (en) | 2006-11-22 | 2013-02-26 | Soitec | Methods for high volume manufacture of group III-V semiconductor materials |
US9481944B2 (en) | 2006-11-22 | 2016-11-01 | Soitec | Gas injectors including a funnel- or wedge-shaped channel for chemical vapor deposition (CVD) systems and CVD systems with the same |
US20090223441A1 (en) * | 2006-11-22 | 2009-09-10 | Chantal Arena | High volume delivery system for gallium trichloride |
US9481943B2 (en) | 2006-11-22 | 2016-11-01 | Soitec | Gallium trichloride injection scheme |
US8197597B2 (en) | 2006-11-22 | 2012-06-12 | Soitec | Gallium trichloride injection scheme |
EP2066496B1 (en) * | 2006-11-22 | 2013-04-10 | Soitec | Equipment for high volume manufacture of group iii-v semiconductor materials |
US20080217293A1 (en) * | 2007-03-06 | 2008-09-11 | Tokyo Electron Limited | Processing system and method for performing high throughput non-plasma processing |
JP2008244224A (ja) * | 2007-03-28 | 2008-10-09 | Sumitomo Precision Prod Co Ltd | プラズマ処理装置 |
JP2008251742A (ja) * | 2007-03-29 | 2008-10-16 | Tokyo Electron Ltd | 基板処理装置及びフォーカスリングを載置する基板載置台 |
US8323410B2 (en) * | 2008-07-31 | 2012-12-04 | Tokyo Electron Limited | High throughput chemical treatment system and method of operating |
US8287688B2 (en) | 2008-07-31 | 2012-10-16 | Tokyo Electron Limited | Substrate support for high throughput chemical treatment system |
WO2010014384A1 (en) * | 2008-07-31 | 2010-02-04 | Tokyo Electron Limited | High throughput processing system for chemical treatment and thermal treatment and method of operating |
US8303716B2 (en) | 2008-07-31 | 2012-11-06 | Tokyo Electron Limited | High throughput processing system for chemical treatment and thermal treatment and method of operating |
US8303715B2 (en) * | 2008-07-31 | 2012-11-06 | Tokyo Electron Limited | High throughput thermal treatment system and method of operating |
US8115140B2 (en) * | 2008-07-31 | 2012-02-14 | Tokyo Electron Limited | Heater assembly for high throughput chemical treatment system |
WO2010039950A1 (en) | 2008-10-02 | 2010-04-08 | Eberle Michael J | Optical ultrasound receiver |
JP5655429B2 (ja) * | 2009-08-28 | 2015-01-21 | 三菱マテリアル株式会社 | 多結晶シリコンの製造方法、製造装置及び多結晶シリコン |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
KR20160118387A (ko) * | 2010-08-03 | 2016-10-11 | 도쿄엘렉트론가부시키가이샤 | 기판 처리 방법 및 기판 처리 장치 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
JP2012174819A (ja) * | 2011-02-21 | 2012-09-10 | Sokudo Co Ltd | 熱処理装置および熱処理方法 |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8802545B2 (en) * | 2011-03-14 | 2014-08-12 | Plasma-Therm Llc | Method and apparatus for plasma dicing a semi-conductor wafer |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
TW201820456A (zh) * | 2011-10-05 | 2018-06-01 | 美商應用材料股份有限公司 | 原位羥化裝置 |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US8826857B2 (en) * | 2011-11-21 | 2014-09-09 | Lam Research Corporation | Plasma processing assemblies including hinge assemblies |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9245767B2 (en) * | 2013-09-12 | 2016-01-26 | Applied Materials, Inc. | Anneal module for semiconductor wafers |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
JP6239339B2 (ja) * | 2013-10-17 | 2017-11-29 | 東京エレクトロン株式会社 | エッチング装置、エッチング方法、および基板載置機構 |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
KR102168172B1 (ko) | 2014-05-23 | 2020-10-20 | 삼성전자주식회사 | 반도체 소자의 제조 방법 |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US11031252B2 (en) * | 2016-11-30 | 2021-06-08 | Taiwan Semiconductor Manufacturing Compant, Ltd. | Heat shield for chamber door and devices manufactured using same |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10590535B2 (en) * | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR102239116B1 (ko) * | 2019-08-07 | 2021-04-09 | 세메스 주식회사 | 기판처리장치 |
WO2021096115A1 (ko) * | 2019-11-11 | 2021-05-20 | (주)더숨 | Oled 제조용 마스크 및 oled 제조 방법 |
US11706928B2 (en) * | 2020-10-30 | 2023-07-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device and method for fabricating the same |
Citations (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH07201718A (ja) * | 1993-12-31 | 1995-08-04 | Tokyo Electron Ltd | 熱処理装置及び熱処理方法 |
JPH07335602A (ja) * | 1994-06-06 | 1995-12-22 | Dainippon Screen Mfg Co Ltd | 基板の表面処理方法及び表面処理装置 |
JP2000100781A (ja) * | 1998-09-18 | 2000-04-07 | Miyazaki Oki Electric Co Ltd | エッチング装置および半導体デバイスの製造方法 |
JP2001077088A (ja) * | 1999-09-02 | 2001-03-23 | Tokyo Electron Ltd | プラズマ処理装置 |
JP2001226773A (ja) * | 1999-12-10 | 2001-08-21 | Tokyo Electron Ltd | 処理装置およびそれに用いられる耐食性部材 |
WO2002029877A1 (fr) * | 2000-10-02 | 2002-04-11 | Tokyo Electron Limited | Dispositif de traitement par depression |
WO2002054453A1 (en) * | 2000-12-29 | 2002-07-11 | Lam Research Corporation | Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof |
JP2002222803A (ja) * | 2001-12-03 | 2002-08-09 | Kyocera Corp | 半導体製造用耐食性部材 |
JP2003133284A (ja) * | 2001-10-19 | 2003-05-09 | Ulvac Japan Ltd | バッチ式真空処理装置 |
WO2003080892A1 (en) * | 2002-03-21 | 2003-10-02 | Lam Research Corporation | Low contamination components for semiconductor processing apparatus and methods for making components |
JP2007515077A (ja) * | 2003-12-17 | 2007-06-07 | 東京エレクトロン株式会社 | 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法 |
JP2011120470A (ja) * | 2004-07-29 | 2011-06-16 | Jc Protek Co Ltd | 電磁波増幅中継器及びこれを用いた無線電力変換装置 |
Family Cites Families (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2981243B2 (ja) * | 1988-12-27 | 1999-11-22 | 株式会社東芝 | 表面処理方法 |
US5223113A (en) * | 1990-07-20 | 1993-06-29 | Tokyo Electron Limited | Apparatus for forming reduced pressure and for processing object |
US5798016A (en) * | 1994-03-08 | 1998-08-25 | International Business Machines Corporation | Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability |
US5580421A (en) * | 1994-06-14 | 1996-12-03 | Fsi International | Apparatus for surface conditioning |
US6157778A (en) * | 1995-11-30 | 2000-12-05 | Komatsu Ltd. | Multi-temperature control system and fluid temperature control device applicable to the same system |
US5885353A (en) * | 1996-06-21 | 1999-03-23 | Micron Technology, Inc. | Thermal conditioning apparatus |
US5922219A (en) * | 1996-10-31 | 1999-07-13 | Fsi International, Inc. | UV/halogen treatment for dry oxide etching |
US6026896A (en) * | 1997-04-10 | 2000-02-22 | Applied Materials, Inc. | Temperature control system for semiconductor processing facilities |
US6468353B1 (en) * | 1997-06-04 | 2002-10-22 | Applied Materials, Inc. | Method and apparatus for improved substrate handling |
US6276072B1 (en) * | 1997-07-10 | 2001-08-21 | Applied Materials, Inc. | Method and apparatus for heating and cooling substrates |
US6221168B1 (en) * | 1998-06-16 | 2001-04-24 | Fsi International, Inc. | HF/IPA based process for removing undesired oxides form a substrate |
US6228173B1 (en) | 1998-10-12 | 2001-05-08 | Tokyo Electron Limited | Single-substrate-heat-treating apparatus for semiconductor process system |
WO2000070116A1 (en) * | 1999-05-19 | 2000-11-23 | Vosen Steven R | Low pressure stagnation flow reactors with a flow barrier |
US6284006B1 (en) * | 1999-11-15 | 2001-09-04 | Fsi International, Inc. | Processing apparatus for microelectronic devices in which polymeric bellows are used to help accomplish substrate transport inside of the apparatus |
US6331212B1 (en) * | 2000-04-17 | 2001-12-18 | Avansys, Llc | Methods and apparatus for thermally processing wafers |
KR100419756B1 (ko) * | 2000-06-23 | 2004-02-21 | 아넬바 가부시기가이샤 | 박막 형성 장치 |
US6716302B2 (en) * | 2000-11-01 | 2004-04-06 | Applied Materials Inc. | Dielectric etch chamber with expanded process window |
US20020124867A1 (en) * | 2001-01-08 | 2002-09-12 | Apl Co., Ltd. | Apparatus and method for surface cleaning using plasma |
JP4042350B2 (ja) * | 2001-05-30 | 2008-02-06 | 株式会社日立国際電気 | 基板支持装置 |
WO2003021642A2 (en) * | 2001-08-31 | 2003-03-13 | Applied Materials, Inc. | Method and apparatus for processing a wafer |
JP2003121023A (ja) * | 2001-10-10 | 2003-04-23 | Tokyo Electron Ltd | 熱媒体循環装置及びこれを用いた熱処理装置 |
US6855906B2 (en) * | 2001-10-16 | 2005-02-15 | Adam Alexander Brailove | Induction plasma reactor |
US6942929B2 (en) * | 2002-01-08 | 2005-09-13 | Nianci Han | Process chamber having component with yttrium-aluminum coating |
US6632325B2 (en) * | 2002-02-07 | 2003-10-14 | Applied Materials, Inc. | Article for use in a semiconductor processing chamber and method of fabricating same |
US6800172B2 (en) * | 2002-02-22 | 2004-10-05 | Micron Technology, Inc. | Interfacial structure for semiconductor substrate processing chambers and substrate transfer chambers and for semiconductor substrate processing chambers and accessory attachments, and semiconductor substrate processor |
US20040134427A1 (en) * | 2003-01-09 | 2004-07-15 | Derderian Garo J. | Deposition chamber surface enhancement and resulting deposition chambers |
US7029536B2 (en) * | 2003-03-17 | 2006-04-18 | Tokyo Electron Limited | Processing system and method for treating a substrate |
-
2003
- 2003-12-17 US US10/736,991 patent/US20040182315A1/en not_active Abandoned
-
2004
- 2004-11-09 CN CNB2004800380359A patent/CN100511576C/zh not_active Expired - Fee Related
- 2004-11-09 KR KR1020067010679A patent/KR20060126977A/ko active Search and Examination
- 2004-11-09 WO PCT/US2004/037254 patent/WO2005062336A2/en active Application Filing
- 2004-11-09 KR KR1020127032433A patent/KR101374332B1/ko active IP Right Grant
- 2004-11-09 KR KR1020127016038A patent/KR20120091380A/ko active Search and Examination
- 2004-11-09 JP JP2006545638A patent/JP2007515077A/ja active Pending
-
2009
- 2009-05-21 US US12/470,132 patent/US8409399B2/en not_active Expired - Fee Related
-
2011
- 2011-05-30 JP JP2011120470A patent/JP2011176365A/ja active Pending
Patent Citations (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH07201718A (ja) * | 1993-12-31 | 1995-08-04 | Tokyo Electron Ltd | 熱処理装置及び熱処理方法 |
JPH07335602A (ja) * | 1994-06-06 | 1995-12-22 | Dainippon Screen Mfg Co Ltd | 基板の表面処理方法及び表面処理装置 |
JP2000100781A (ja) * | 1998-09-18 | 2000-04-07 | Miyazaki Oki Electric Co Ltd | エッチング装置および半導体デバイスの製造方法 |
JP2001077088A (ja) * | 1999-09-02 | 2001-03-23 | Tokyo Electron Ltd | プラズマ処理装置 |
JP2001226773A (ja) * | 1999-12-10 | 2001-08-21 | Tokyo Electron Ltd | 処理装置およびそれに用いられる耐食性部材 |
WO2002029877A1 (fr) * | 2000-10-02 | 2002-04-11 | Tokyo Electron Limited | Dispositif de traitement par depression |
WO2002054453A1 (en) * | 2000-12-29 | 2002-07-11 | Lam Research Corporation | Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof |
JP2003133284A (ja) * | 2001-10-19 | 2003-05-09 | Ulvac Japan Ltd | バッチ式真空処理装置 |
JP2002222803A (ja) * | 2001-12-03 | 2002-08-09 | Kyocera Corp | 半導体製造用耐食性部材 |
WO2003080892A1 (en) * | 2002-03-21 | 2003-10-02 | Lam Research Corporation | Low contamination components for semiconductor processing apparatus and methods for making components |
JP2007515077A (ja) * | 2003-12-17 | 2007-06-07 | 東京エレクトロン株式会社 | 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法 |
JP2011120470A (ja) * | 2004-07-29 | 2011-06-16 | Jc Protek Co Ltd | 電磁波増幅中継器及びこれを用いた無線電力変換装置 |
Also Published As
Publication number | Publication date |
---|---|
JP2007515077A (ja) | 2007-06-07 |
KR20060126977A (ko) | 2006-12-11 |
KR20120091380A (ko) | 2012-08-17 |
CN100511576C (zh) | 2009-07-08 |
WO2005062336A2 (en) | 2005-07-07 |
KR101374332B1 (ko) | 2014-03-25 |
US20090226633A1 (en) | 2009-09-10 |
US20040182315A1 (en) | 2004-09-23 |
WO2005062336A3 (en) | 2005-11-17 |
US8409399B2 (en) | 2013-04-02 |
KR20130009877A (ko) | 2013-01-23 |
CN1898772A (zh) | 2007-01-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2011176365A (ja) | 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法 | |
EP1604388B1 (en) | Processing system and method for chemically treating a substrate | |
EP1604387B1 (en) | Processing system and method for treating a substrate | |
EP1604389B1 (en) | Processing system and method for thermally treating a substrate | |
KR101200132B1 (ko) | 기판을 처리하기 위한 처리 시스템 및 방법 | |
US20050218114A1 (en) | Method and system for performing a chemical oxide removal process | |
KR20090127323A (ko) | 고효율의 비플라즈마 처리를 실행하기 위한 처리 시스템 및 방법 | |
WO2005104215A2 (en) | Method and system for adjusting a chemical oxide removal process using partial pressure |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20130219 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20130226 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20130611 |