JP2001226773A - 処理装置およびそれに用いられる耐食性部材 - Google Patents

処理装置およびそれに用いられる耐食性部材

Info

Publication number
JP2001226773A
JP2001226773A JP2000373973A JP2000373973A JP2001226773A JP 2001226773 A JP2001226773 A JP 2001226773A JP 2000373973 A JP2000373973 A JP 2000373973A JP 2000373973 A JP2000373973 A JP 2000373973A JP 2001226773 A JP2001226773 A JP 2001226773A
Authority
JP
Japan
Prior art keywords
gas
bell jar
processing
chamber
periodic table
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000373973A
Other languages
English (en)
Other versions
JP2001226773A5 (ja
Inventor
Hayashi Otsuki
林 大槻
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2000373973A priority Critical patent/JP2001226773A/ja
Publication of JP2001226773A publication Critical patent/JP2001226773A/ja
Publication of JP2001226773A5 publication Critical patent/JP2001226773A5/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension

Abstract

(57)【要約】 【課題】 処理容器内壁の腐食の問題が生じ難い処理装
置を提供すること。また、耐プラズマ性および耐腐食ガ
ス性に優れた、処理装置に用いられる耐食性部材を提供
すること。 【解決手段】 被処理基板であるウエハWを収容するチ
ャンバー11と、チャンバーの11上方に設けられたベ
ルジャー12と、ベルジャー12内に誘導電磁界を形成
するためのアンテナ部材としてのコイル65と、コイル
65に高周波電力を印加する高周波電源66と、処理ガ
スを供給するガス供給機構40とを具備し、ベルジャー
12内に形成された誘導電磁界によりプラズマを形成し
てウエハWを処理する処理装置において、ベルジャー1
2は、その内壁が周期律表第3a族元素化合物を含む膜
14からなる。

Description

【発明の詳細な説明】
【0001】
【発明が属する技術分野】本発明は、処理装置およびそ
れに用いられる耐食性部材に関する。
【0002】
【従来の技術】半導体デバイスの製造においては、最近
の高密度化および高集積化の要請に対応して、回路構成
を多層配線構造にする傾向にあり、このため、下層の半
導体デバイスと上層の配線層との接続部であるコンタク
トホールや、上下の配線層同士の接続部であるビアホー
ルなどの層間の電気的接続のための埋め込み技術が重要
になっている。
【0003】このようなコンタクトホールやビアホール
の埋め込みには、一般的にAl(アルミニウム)やW
(タングステン)、あるいはこれらを主体とする合金が
用いられる。この中でAlまたはAl合金を用いた場合
にはこのような金属や合金が下層のSi(シリコン)基
板やAl配線と直接接触すると、これらの境界部分にお
いてAlの吸い上げ効果等に起因して両金属の合金が形
成されるおそれがある。このようにして形成される合金
は抵抗値が大きく、このような合金が形成されることは
近時デバイスに要求されている省電力化および高速動作
の観点から好ましくない。また、WまたはW合金をコン
タクトホールの埋め込み層として用いる場合には、埋め
込み層の形成に用いるWFガスがSi基板に侵入して
電気的特性等を劣化させる傾向となり、やはり好ましく
ない結果をもたらす。
【0004】そこで、これらの不都合を防止するため
に、コンタクトホールやビアホールに埋め込み層を形成
する前に、これらの内壁にバリア層を形成し、その上か
ら埋め込み層を形成することが行われており、このよう
なバリア層としてTiN膜が用いられている。
【0005】一方、高集積化にともない、キャパシタゲ
ート材としては、スケールを変えることなく高いキャパ
シタンスを得るために、Ta等の高誘電率材を用
いるようになってきている。しかし、このような高誘電
率材は従来キャパシタゲート材として用いていたSiO
に比べ安定でないために、従来よりその上部電極とし
て用いられているpoly−Siを用いた場合には、キ
ャパシタ作成後の熱履歴により酸化されてしまい、安定
したデバイス素子の形成が不可能となってしまう。この
ため、より酸化されにくいTiN膜が上部電極として必
要とされている。
【0006】従来、このようなTiN膜は物理的蒸着
(PVD)を用いて成膜されていたが、最近のようにデ
バイスの微細化および高集積化が特に要求され、デザイ
ンルールが特に厳しくなっており、埋め込み性の悪いP
VDでは十分な特性を得ることが困難となっている。そ
こで、TiN膜をより良質の膜を形成することが期待で
きる化学的蒸着(CVD)で成膜することが行われてい
る。具体的には、反応ガスとしてTiClとNH
(アンモニア)またはMMH(モノメチルヒドラジ
ン)を用い熱CVDにより成膜されている。
【0007】ところで、このように熱CVDによってT
iN膜を成膜する場合には、膜中にCl(塩素)が残留
しやすい。Clが残留すると成膜される膜は比抵抗値が
高くなり、キャパシタ上部電極に適用した場合に十分な
特性が得られない。また、柱状結晶であるTiN膜は粒
界拡散が生じやすいため、バリア性が低くなってしま
う。特に、このバリア性の低さはTiN膜をCu配線の
バリア層として用いる場合やキャパシタ上部電極のTa
配線の酸素拡散バリアの場合に問題となる。つま
り、残留塩素によるCu配線腐食や酸素の拡散によるT
の容量低下が問題となる。
【0008】膜中のClは、成膜温度を高温にすること
により除去することは可能ではあるが、高温プロセスは
Cu,Al等の配線材料の腐食等の問題があり好ましく
ない。
【0009】一方、プラズマCVDの一手法として、ベ
ルジャーの周囲にコイル等のアンテナ部材を設け、これ
に高周波電力を印加し、誘導電磁界を形成してプラズマ
を形成するICP(Inductively Coupled Plasma)−C
VDがあり、このようなICP−CVDによってTiN
膜を成膜する場合には、成膜されたTiN膜は低抵抗お
よび低Clであり、低温成膜でもCl残留量は少ない。
【0010】
【発明が解決しようとする課題】このようなICP−C
VD装置によりTiN膜を成膜する場合には、石英やア
ルミナ製のベルジャーが用いられているが、これらは耐
プラズマ性が悪く、また、TiN膜成膜後に装置内をク
リーニングするために使用されるClF等の腐食ガス
に対する耐腐食性が悪いという問題点がある。
【0011】本発明はかかる事情に鑑みてなされたもの
であって、処理容器内壁の腐食の問題が生じ難い処理装
置を提供することを目的とする。また、耐プラズマ性お
よび耐腐食ガス性に優れた、処理装置に用いられる耐食
性部材を提供することを目的とする。
【0012】
【課題を解決するための手段】上記課題を解決するため
に、本発明の第1の観点によれば、被処理基板を収容す
る処理容器と、前記処理容器内の被処理基板に処理を施
す処理機構とを具備する処理装置であって、前記処理容
器は、その内壁が周期律表第3a族元素化合物を含む膜
からなることを特徴とする処理装置が提供される。
【0013】このように上記本発明の第1の観点におい
ては、耐食性の高い周期律表第3a族元素化合物を含む
膜を形成するので、処理容器の腐食の問題が生じ難い処
理装置が実現される。処理機構がプラズマ処理、腐食性
ガスによる処理を行うものである場合に、処理容器内壁
の腐食が特に問題となるが、処理容器の内壁を前記周期
律表第3a族元素化合物を含む膜で構成することによ
り、このような場合であっても高い耐食性が実現され
る。また、前記周期律表第3a族元素化合物を含む膜は
耐熱性も高く、処理機構が加熱処理を行う場合にも有効
である。
【0014】本発明の第2の観点によれば、被処理基板
を収容するチャンバーと、チャンバーの上方に設けられ
たベルジャーと、ベルジャー内に誘導電磁界を形成する
ためのアンテナ手段と、前記アンテナ手段に高周波電力
を印加する高周波印加手段と、処理ガスを供給するガス
供給手段とを具備し、ベルジャー内に形成された誘導電
磁界によりプラズマを形成して処理を行う処理装置であ
って、前記ベルジャーは、その内壁が周期律表第3a族
元素化合物を含む膜からなることを特徴とする処理装置
が提供される。
【0015】このように上記本発明の第2の観点におい
ては、周期律表第3a族元素化合物を含む膜をベルジャ
ーの内壁に形成するが、このような膜は耐食性が高いの
で、プラズマやクリーニングガスによって腐食され難
く、したがってICP−CVD等のベルジャーを用いた
プラズマCVD方式を採用しつつ、ベルジャーの腐食の
問題が生じ難い処理装置が実現される。
【0016】本発明の第3の観点によれば、被処理基板
を収容するチャンバーと、チャンバーの上方に設けられ
たベルジャーと、ベルジャー内に誘導電磁界を形成する
ためのアンテナ手段と、前記アンテナ手段に高周波電力
を印加する高周波印加手段と、プラズマ生成ガスおよび
処理ガスを供給するガス供給手段とを具備し、ベルジャ
ー内に形成された誘導電磁界によりプラズマを形成して
処理を行う処理装置であって、前記ベルジャーは、その
内壁が周期律表第3a族元素化合物を含む膜からなり、
前記ガス供給手段は、前記ベルジャー内にプラズマ生成
ガスを吐出するプラズマ生成ガス吐出部と、前記チャン
バーの上部に吐出口を有する処理ガス吐出部とを有する
ことを特徴とする処理装置が提供される。
【0017】このように上記本発明の第3の観点におい
ては、周期律表第3a族元素化合物を含む膜をベルジャ
ーの内壁に形成するとともに、ガス供給手段は、前記ベ
ルジャー内にプラズマ生成ガスを吐出するプラズマ生成
ガス吐出部と、前記チャンバーの上部に吐出口を有する
処理ガス吐出部とを有するので、ICP−CVD等のベ
ルジャーを用いたプラズマCVD方式を採用しつつ、ベ
ルジャーの腐食の問題が生じず、かつベルジャー内壁へ
の処理ガスに起因する付着物が付着し難い処理装置が実
現される。
【0018】上記第3の観点の処理装置において、前記
ガス供給手段は、前記ベルジャーの上部に設けられたシ
ャワーヘッドを有し、前記処理ガス吐出部は、前記シャ
ワーヘッドから前記チャンバーの上部まで延びている構
造とすることができる。
【0019】本発明の第4の観点によれば、被処理基板
を収容するチャンバーと、チャンバーの上方に設けられ
たベルジャーと、ベルジャー内に誘導電磁界を形成する
ためのアンテナ手段と、前記アンテナ手段に高周波電力
を印加する高周波印加手段と、プラズマ生成ガスおよび
処理ガスを供給するガス供給手段とを具備し、ベルジャ
ー内に形成された誘導電磁界によりプラズマを形成して
処理を行う処理装置であって、前記ガス供給手段は、前
記ベルジャー内にプラズマ生成ガスを吐出するプラズマ
生成ガス吐出部と、前記チャンバーの上部に吐出口を有
する処理ガス吐出部とを有することを特徴とする処理装
置が提供される。
【0020】このように上記本発明の第4の観点におい
ては、ガス供給手段は、前記ベルジャー内にプラズマ生
成ガスを吐出するプラズマ生成ガス吐出部と、前記チャ
ンバーの上部に吐出口を有する処理ガス吐出部とを有す
るので、処理ガスはベルジャーの内壁にほとんど到達せ
ず、ベルジャー内壁には処理ガスに起因する付着物がほ
とんど付着しない。
【0021】上記第4の観点の処理装置において、前記
ガス供給手段は、前記ベルジャーの上部に設けられたシ
ャワーヘッドを有し、前記処理ガス吐出部は、前記シャ
ワーヘッドから前記チャンバーの上部まで延びている構
造とすることができる。
【0022】さらにまた、前記チャンバーの内壁は、周
期律表第3a族元素化合物を含む膜からなることが好ま
しい。これによりチャンバーの内壁の耐食性をも高める
ことができる。
【0023】本発明の第5の観点によれば、被処理基板
を処理する処理装置に用いられる耐食性部材であって、
基材と、その上に形成された周期律表第3a族元素化合
物を含む膜とを具備することを特徴とする耐食性部材が
提供される。
【0024】このように上記本発明の第5の観点におい
ては、周期律表第3a族元素化合物を含む膜を基材上に
形成するので、腐食の問題が生じ難い耐食性部材が実現
される。
【0025】上記いずれの発明においても、前記周期律
表第3a族元素化合物を含む膜としては、周期律表第3
a族元素化合物単独であっても他の物質と複合されてい
てもよく、他の物質と複合されたものとしては周期律表
第3a族元素化合物とAlとから実質的になるも
のとすることができる。このような膜としてはY
とAlとから実質的になるものが例示され、この
場合にはAl/Y重量比を0.5以上とす
ることが好ましい。このような膜としては溶射膜が好適
である。
【0026】本発明の第6の観点によれば、被処理基板
を収容するチャンバーと、チャンバーの上方に設けられ
たベルジャーと、ベルジャー内に誘導電磁界を形成する
ためのアンテナ手段と、前記アンテナ手段に高周波電力
を印加する高周波印加手段と、前記チャンバーと前記ベ
ルジャーとの間に設けられ、その内周側の全周にわたっ
て処理ガスを吐出する複数の吐出口が設けられた環状の
ガス供給手段とを具備し、ベルジャー内に形成された誘
導電磁界によりプラズマを形成して処理を行うことを特
徴とする処理装置が提供される。
【0027】このように上記本発明の第6の観点におい
ては、前記チャンバーと前記ベルジャーとの間に設けら
れ、その内周側の全周にわたって処理ガスを吐出する複
数の吐出口が設けられた環状のガス供給手段を具備する
ので、処理を施す被処理基板に近い位置から処理ガスを
均一に供給することができる。また、処理ガスを被処理
基板に近い位置から供給するので前記ベルジャー内壁に
処理ガスに起因する付着物が付着し難くすることができ
る。
【0028】上記第6の観点の処理装置において、前記
ベルジャーは、半球状、円筒状の部分と、この円筒状の
部分の上方に形成された球面状の部分からなるドーム
状、有蓋筒状とすることができる。
【0029】
【発明の実施の形態】以下、添付図面を参照して、本発
明の実施の形態について詳細に説明する。図1は、本発
明の第1の実施形態に係るCVD成膜装置を示す断面図
である。このCVD成膜装置はTiN薄膜を成膜するも
のであり、略円筒状のチャンバー11と、チャンバー1
1の上方にチャンバー11に連続して設けられた略円筒
状のベルジャー12とを有しており、ベルジャー12
は、チャンバー11よりも小径となっている。チャンバ
ー11は、例えば表面が陽極酸化処理されたアルミニウ
ムで構成されている。ベルジャー12は、例えばセラミ
ックス材料からなる基材13と、その内壁に形成された
周期律表第3a族元素化合物を含む膜14とで構成され
ている。
【0030】周期律表第3a族元素化合物を含む膜14
としては、Y、Sc、La、Ce、Eu、Dy等の酸化
物、フッ化物等を含むものを挙げることができる。この
ような酸化物、フッ化物等としてはY、Sc
、La、CeO、Eu、Dy
ScF、YF等が例示される。周期律表第3a族元
素化合物を含む膜14に含まれる第3a族元素は単独で
あっても複数であってもよい。周期律表第3a族元素化
合物を含む膜14は、周期律表第3a族元素化合物の
み、例えばYのみで構成されていてもよく、他の
物質と複合されていてもよい。他の物質と複合されたも
のとしては、周期律表第3a族元素化合物とAl
とから実質的になるものが挙げられる。このような膜と
してはYとAlとから実質的になるものが
例示され、この場合にはAl/Y重量比を
0.5以上とすることが好ましい。また、Al
重量比は2.5以下が好ましい。より耐食性を
良好にする観点からは、イットリウム・アルミニウム・
ガーネット(YAG)組成(YAl12)である
Al/Y重量比で0.75の組成が好まし
い。また、必ずしもYAGが晶出している必要はない
が、耐食性が特に優れたYAG結晶を含むことが一層好
ましい。また、周期律表第3a族元素化合物を含む膜1
4としては、実施的にYからなる膜であっても高
い耐食性を得ることができる。周期律表第3a族元素化
合物を含む膜14は、溶射膜であっても焼結膜であって
もよい。これらの中では比較的厚い膜を簡単に形成する
ことができることから、溶射膜が好ましい。溶射膜でA
−Y膜を形成する場合には、Al
およびYの混合物を溶射してもよいし、YAG等
の上記組成範囲内の複合酸化物の状態で溶射してもよ
い。周期律表第3a族元素化合物を含む膜14としてY
AGの結晶を含む膜を確実に形成するためには焼結膜で
あることが好ましい。基材13を構成するセラミックス
としては、Al、石英やシリカガラス等のSiO
、AlNが例示される。なお、ここではチャンバー1
1の内壁にはこのような膜を設けてはいないが、チャン
バー11の内壁にもこのような膜を形成してもよい。
【0031】チャンバー11内の底部にはセラミックな
どの絶縁板15および支持台16を介して、被処理体で
ある半導体ウエハ(以下「ウエハ」という)Wを載置す
るための略円柱状のサセプタ17が設けられている。
【0032】前記支持台16の内部には、冷媒室18が
設けられており、この冷媒室18には冷媒が冷媒導入管
19を介して導入され排出管20から排出されて循環
し、その冷熱が前記サセプタ17を介してウエハWに伝
熱される。また、サセプタ17には発熱体21が埋め込
まれており、この発熱体21は電源22から給電される
ことによりウエハWを所定の温度に加熱する。電源22
にはコントローラー23が接続されている。そして、冷
媒の冷熱および発熱体21の熱によりウエハWの温度が
制御される。
【0033】前記サセプタ17は、その上にウエハWと
略同形の静電チャック24が設けられている。静電チャ
ック24は、絶縁材25の間に電極26が介在されてお
り、電極26に接続された直流電源27から直流電圧が
印加されることにより、クーロン力等によってウエハW
を静電吸着する。
【0034】ベルジャー12の上部には、アルミニウ
ム、アルマイトニッケル合金等の金属材料、または、セ
ラミックス材料で構成され、その外周面に前述したベル
ジャー12の内壁と同様に周期律表第3a族元素化合物
を含む膜14が形成されたシャワーヘッド30が設けら
れている。このシャワーヘッド30には下方へガスを吐
出するための多数のガス吐出孔30a、30bおよび3
0cが形成されている。そして、シャワーヘッド30に
はガス供給機構40の配管55、56および57が接続
されている。すなわち後述するようにガス吐出孔30a
にはArガスを供給する配管56が接続されており、ガ
ス吐出孔30bにはNHガスを供給する配管55が接
続されており、ガス吐出孔30cにはTiClガスお
よびArガス、または、ClFガスを供給する配管5
7が接続されていて、シャワーヘッド30を介してベル
ジャー12内へ所定のガスが導入されるようになってい
る。このようにシャワーヘッド30はマトリックスタイ
プであり、成膜ガスであるTiClガスおよびNH
ガスが異なる吐出孔から吐出され、吐出後に混合される
ポストミックス方式が採用される。なお、ここではシャ
ワーヘッド30の外周面に周期律表第3a族元素化合物
を含む膜14を形成することによりシャワーヘッド30
外周面の耐食性を高めた場合を示したが、シャワーヘッ
ド30の外周面に周期律表第3a族元素化合物を含む膜
14を形成することは必須ではない。
【0035】ガス供給機構40は、クリーニングガスで
あるClFを供給するClF供給源41、成膜ガス
であるTiClを供給するTiCl供給源42、キ
ャリアガスとして用いられるArを供給する第1のAr
供給源43、プラズマガスとして用いられるArを供給
する第2のAr供給源44、成膜ガスであるNHを供
給するNH供給源45を有している。そして、ClF
供給源41にはガスライン46が、TiCl供給源
42にはガスライン47が、第1のAr供給源43には
ガスライン48が、第2のAr供給源44にはガスライ
ン49が、NH 供給源45にはガスライン50がそれ
ぞれ接続されている。そして、各ガスラインにはバルブ
51、マスフローコントローラ52およびバルブ53が
設けられている。
【0036】TiCl供給源42から延びるガスライ
ン47は、バルブ51、マスフローコントローラ52お
よびバルブ53を介して配管57に通じており、この配
管57には第1のAr供給源43から延びるガスライン
48が合流しており、ガスライン47および配管57を
通ってArガスにキャリアされたTiClガスがシャ
ワーヘッド30に至り、ガス吐出孔30cからベルジャ
ー12内へ導入可能となっている。また、ClF供給
源41から延びるガスライン46は配管57に合流して
おり、ガスライン46に設けられたバルブ51および5
3を開けることにより、クリーニングガスであるClF
がガスライン46および配管57を通ってシャワーヘ
ッド30に至り、ガス吐出孔30cからベルジャー12
内へ導入可能となっている。第2のAr供給源44から
延びるガスライン49は配管56に通じており、第2の
Ar供給源44からのArガスはガスライン49および
配管56を通ってシャワーヘッド30に至り、ガス吐出
孔30aからベルジャー12内へ導入可能となってい
る。NH供給源45から延びるガスライン50は配管
55に通じており、NH供給源45からのNHガス
はガスライン50および配管55を通ってシャワーヘッ
ド30に至り、ガス吐出孔30bからベルジャー12内
へ導入可能となっている。なお、前記NHの代わりに
モノメチルヒドラジン(MMH)を用いてもよい。
【0037】チャンバー11の底壁には、排気管61が
接続されており、この排気管61には真空ポンプを含む
排気装置62が接続されている。そして排気装置62を
作動させることによりチャンバー11およびベルジャー
12内を所定の真空度まで減圧することができる。
【0038】また、チャンバー11の側壁にはゲートバ
ルブ63が設けられており、このゲートバルブ63を開
にした状態でウエハWが隣接するロードロック室(図示
せず)との間で搬送されるようになっている。
【0039】ベルジャー12の周囲にはアンテナ部材と
してのコイル65が巻回されており、コイル65には高
周波電源66が接続されている。高周波電源66は例え
ば13.56MHzの周波数を有している。そして、高
周波電源66からコイル65に高周波電力を供給するこ
とにより、ベルジャー12内に誘導電磁界が形成される
ようになっている。コイル65は、ジャケット84によ
り覆われており、このジャケット84内に冷媒供給装置
83からの冷媒を冷媒導入管81を介して導入し、排出
管82から排出して循環することによりコイル65を冷
却することが可能である。
【0040】このように構成される装置においては、ゲ
ートバルブ63を開にして、チャンバー11内にウエハ
Wを装入し、サセプタ17に設けられた静電チャック2
4上にウエハWを載置する。その後、ゲートバルブ63
を閉じ、排気装置62によりチャンバー11およびベル
ジャー12内を排気して所定の減圧状態にし、引き続
き、第2のAr供給源44からベルジャー12内にAr
ガスを導入しつつ、高周波電源66からコイル65に高
周波電力を供給してベルジャー12内に誘導電磁界を形
成する。この高周波電界によりプラズマが生成されると
ともに、電極26に直流電源27から直流電圧を印加す
ることによりウエハWが静電チャック24に吸着され
る。
【0041】その後、NH供給源45およびTiCl
供給源42から、それぞれNHガスおよびTiCl
ガスを所定の流量でベルジャー12内へ導入し、これ
らをプラズマ化させてチャンバー11内に導き、このプ
ラズマによりウエハW上にTiN薄膜が成膜される。こ
の際のTiN薄膜の成膜は、発熱体21への出力および
冷媒の流量を制御して300〜450℃程度の温度で行
う。
【0042】成膜後、チャンバー11からウエハWが搬
出され、ベルジャー12およびチャンバー11内にクリ
ーニングガスであるClFガスが導入されてベルジャ
ー12およびチャンバー11内がクリーニングされる。
【0043】以上の処理においては、ベルジャー12内
に生成されるプラズマによりベルジャー12の内壁がア
タックされ、クリーニング時には腐食性ガスであるCl
ガスに曝される。このような環境下では、従来の石
英やAl製のベルジャーでは、耐腐食性が十分で
はなく、寿命が短いという欠点があったが、本実施形態
では、ベルジャー12の内壁を上記構成の耐食性の高い
周期律表第3a族元素化合物を含む膜14で形成してい
るので、プラズマやクリーニングガスが接触しても腐食
し難くベルジャーの寿命を長くすることができる。さら
に、基材13の材料はセラミックスに限らず、硬質プラ
スチック(エンジニアリングプラスチック)であっても
よい。また、周期律表第3a族元素化合物を含む膜14
は通常絶縁膜であるから、基材13の材料としてアルミ
ニウム等の金属もしくはステンレス鋼等の合金を用いる
ことも可能である。また、周期律表第3a族元素化合物
を含む膜14として溶射膜を用いる場合には、溶射膜は
焼結品に比較して低コストであり、膜形成が短時間に行
えるといった大きな利点がある。なお、上述のように、
チャンバー11の内壁にも周期律表第3a族元素化合物
を含む膜を形成することができ、チャンバー11の内壁
にこのような膜を形成することによりチャンバー11の
耐食性をも向上させることができる。
【0044】次に、このような膜の耐食性を確認した実
験結果について説明する。ここでは、平行平板型プラズ
マエッチング装置を用い、13.56MHzで1300
Wの高周波電力を印加し、チャンバー内圧力:133.
3Pa(1000mTorr)、ガス流量比CF:A
r:O=95:950:10(トータル流量1.05
5L/min(1055sccm))として20時間プ
ラズマを照射した。
【0045】本発明例のサンプルとしては、20×20
×2mmのアルミニウム基材にAl およびY
からなる溶射膜、ならびに、Y、Sc
ScF、YF、La、CeO、Eu
およびDyの溶射膜を、それぞれ200μmの厚
さで形成し、表面を研磨したものを用いた。Al
およびYからなる溶射膜としては、具体的には重
量比でAl/Y =0.5として溶射したも
の、および純度99.9%のYAG(YAl
12;重量比でAl/Y=0.75)
を溶射したものを用いた。図2の(a)に示すように、
このようなサンプルの中央部10mm角を残して外周部
をポリイミドフィルムマスキングしてプラズマを照射し
た。そして、耐プラズマ性をプラズマによる削れ量で評
価した。削れ量は、表面粗さ計を用いて、図2の(b)
に示すように深さで評価した。比較のため、他の材料の
サンプルも同様に耐食性を評価した。その結果を表1に
示す。表1の削れ量は、アルミナの削れ量を1として規
格化して示す。
【0046】
【表1】
【0047】この表に示すように、周期律表第3a族元
素化合物を含む膜は、他の材料よりもプラズマに対する
耐食性が著しく高いことが確認された。また、このよう
な膜のうち、AlおよびYからなる溶射膜
は、YAG組成とすることにより特に高い耐食性を示し
た。
【0048】次に、Al/Yの重量比をそ
れぞれ0.43、0.66、1.50とし、これら混合
粉をアルミニウム基材に溶射して溶射膜を形成した。こ
れらのX線回折パターンを図3、4、5に示す。これら
の図に示すように、いずれの溶射膜もAlおよび
の結晶に対応する回折ピークが主体であった
が、YAlOやYAlといった複合酸化物の
回折ピークも確認された。これら複合酸化物の生成率
は、図6に示すように、Al/Yの重量比
が増加するに従って増加することがわかる。
【0049】これらサンプルについて、前述と同様にし
てプラズマによる耐食性試験を実施した。削れ量の評価
は、上記10mm角の部分のうちのエッジ部分を除く中
央部分の深さを計測することにより行った。その結果を
図7に示す。この図から、Al/Yの重量
比が0.5以上で耐食性が良好になることがわかる。上
述したようにAl/Yの重量比が増加する
に従って、複合酸化物の生成率が増加していることか
ら、複合酸化物が耐食性に寄与している可能性がある。
【0050】一方、最初の試験において耐食性を評価し
たYAGの溶射膜は、X線回折パターンが図8に示すよ
うにほぼ非晶質であった。このことから、YAG組成は
非晶質でも高い耐食性が得られることがわかる。
【0051】次に、周期律表第3a族元素化合物を含む
膜の厚さと、耐絶縁性および耐電圧性との関係について
説明する。アルミニウムからなる基材に膜厚50〜35
0μmのYAG組成の溶射膜を形成し、直流電圧を印加
してそれぞれの膜厚における絶縁破壊電圧の大きさを測
定した。結果を図9に示す。図9より、膜厚50μm以
上とすることにより、十分に高い絶縁破壊電圧を得られ
ることが確認された。
【0052】次に、本発明の第2の実施形態について説
明する。図10は本発明の第2の実施形態に係るCVD
成膜装置を示す断面図である。本実施形態ではベルジャ
ーおよびシャワーヘッドの構造が第1の実施形態と異な
っているが、他は実質的に同じであるから、図10中図
1と同じものには同じ符号を付して説明を省略する。
【0053】この装置では、チャンバー11の上方に設
けられたベルジャー12’は、Al 、SiO
AlN等のセラミックス材料からなっている。また、ベ
ルジャー12’の上部に設けられ、アルミニウム、アル
マイトニッケル合金等の金属材料、または、セラミック
ス材料で構成されたシャワーヘッド70には、下方へガ
スを吐出するためのガス吐出孔70a,70b,70c
が形成されている。そして、シャワーヘッド70にはガ
ス供給機構40の配管が接続されており、後述するよう
にガス吐出孔70aにはArガスを供給する配管56が
接続されており、ガス吐出孔70bにはNHガスを供
給する配管55が接続されており、ガス吐出孔70cに
はArガスにキャリアされたTiClガスまたはクリ
ーニングガスであるClFを供給する配管57が接続
されている。ガス吐出孔70bおよび70cには、それ
ぞれチャンバー11の上部まで延びるガス吐出部材71
および72が接続されており、ガス吐出部材71の内部
にはガス吐出孔71aが、ガス吐出部材72の内部には
ガス吐出孔72aが形成されている。
【0054】このように構成されるCVD成膜装置にお
いては、第1の実施形態と同様にウエハWをチャンバー
11内に搬入した後、Arガスをプラズマ生成ガスとし
て用いてシャワーヘッド70のガス吐出孔70aを介し
てベルジャー12’内に導入し、コイル65に高周波電
源66から高周波電力を供給することによりベルジャー
12’内に形成された誘導電磁界によりArガスのプラ
ズマが発生する。一方、成膜ガスであるTiClガス
およびNHガスは、それぞれガス吐出部材71および
72を介して直接にチャンバー11に導入され、ベルジ
ャー12’からチャンバー11に拡散したArガスのプ
ラズマにより励起されてチャンバー11内でプラズマ化
する。これによりウエハWの表面で反応が生じてウエハ
W上にTiN薄膜が成膜される。
【0055】この実施形態においても、成膜後、チャン
バー11からウエハWが搬出され、ベルジャー12’お
よびチャンバー11内にクリーニングガスであるClF
ガスが導入されてベルジャー12’およびチャンバー
11内がクリーニングされる。
【0056】ベルジャーの上方から成膜ガスを導入する
タイプのCVD成膜装置は、ベルジャー内壁に付着物が
付着しやすく、TiN膜を成膜する場合には導電性膜が
付着してプラズマが減衰し、成膜が困難になる場合が生
じるが、本実施形態においては、ベルジャー12’には
プラズマ生成用のArガスのみ供給し、成膜ガスである
TiClガスおよびNHガスはガス吐出部材71お
よび72を介してチャンバー11内に直接供給されるの
で、成膜ガスはベルジャー12’の内壁にほとんど到達
せず、ベルジャー12’の内壁には成膜ガスに起因する
付着物がほとんど付着しない。したがって、従来のよう
に成膜ガスによってベルジャー内壁に導電性膜が付着し
てプラズマが減衰し、成膜が困難になるといった不都合
が生じない。
【0057】次に本発明の第3の実施形態について説明
する。図11は本発明の第3の実施形態に係るCVD成
膜装置を示す断面図である。本実施形態の装置は第1の
実施形態と同様、例えばセラミックス材料からなる基材
13と、周期律表第3a族元素化合物を含む膜14とか
らなるベルジャー12を有しており、シャワーヘッド7
0の外周面も周期律表第3a族元素化合物を含む膜14
で構成されているが、他は第2の実施形態と略同様に構
成されている。
【0058】したがって、第3の実施形態によれば、ベ
ルジャー12の内壁を周期律表第3a族元素化合物を含
む膜14で構成しているので、プラズマやクリーニング
ガスが接触しても腐食し難くベルジャーの寿命を長くす
ることができるとともに、ベルジャー12内壁には成膜
ガスに起因する付着物がほとんど付着しないので、従来
のように成膜ガスによってベルジャー内壁に導電性膜が
付着してプラズマが減衰し、成膜が困難になるといった
不都合が生じない。また、シャワーヘッド70の側壁も
周期律表第3a族元素化合物を含む膜14で構成してい
るのでシャワーヘッド70の耐食性も高められている。
【0059】なお、第2および第3の実施形態において
も、チャンバー11の内壁に上記の膜を形成することが
でき、チャンバー11の内壁にこのような膜を形成する
ことによりチャンバー11の耐食性をも向上させること
ができる。
【0060】また、上記第2および第3の実施形態にお
いて、成膜ガスをチャンバーに導入するために複数のガ
ス吐出部材を用いたが、これに限らず、図12のように
1本のガス導入部材91によりベルジャーの上部からチ
ャンバーの上部へ成膜ガスを導き、その下端に連続する
らせん状のガス吐出部92の下面に形成された多数のガ
ス吐出孔から成膜ガスを供給するようにしてもよいし、
図13に示すように、1本のガス導入部材93によりベ
ルジャーの上部からチャンバーの上部へ成膜ガスを導
き、その下端から分岐した複数のガス吐出部94の下面
に形成された多数のガス吐出孔から成膜ガスを供給する
ようにしてもよい。また、2種類の成膜ガスを別個に導
入するようにしたが、一緒に導入するようにしてもよ
い。
【0061】次に本発明の第4の実施形態について説明
する。図14は本発明の第4の実施形態に係るCVD成
膜装置を示す断面図であり、図15は本実施形態におけ
るシャワーヘッドの斜視図、図16(a)、(b)およ
び(c)はシャワーヘッドの断面図である。本実施形態
の装置では、シャワーヘッドの構造およびその位置が第
1の実施例と異なっているが、他は実質的に同じである
から、図14中図1と同じものには同じ符号を付して説
明を省略する。
【0062】図14に示すように、このCVD成膜装置
においては、ベルジャー12’’およびチャンバー11
内にガス供給するシャワーヘッド100が、ベルジャー
12’’とチャンバー11との間に配置されている。ま
た、ベルジャー12’’の上部には、内壁が周期律表第
3a族元素化合物を含む膜14で構成された蓋体85が
設けられている。なお、膜14が絶縁性であれば蓋体8
5は導体で構成してもよい。
【0063】図15および図16(a)、(b)および
(c)に示すように、シャワーヘッド100は、円環状
の形状を有しており、いずれもアルミニウム、アルマイ
トニッケル合金等の金属材料、または、セラミックス材
料で構成された、上側部材100bと、中央部材100
aと、下側部材100cとが重なり合った三層構造とな
っている。中央部材100aの外周側には、ガス供給機
構40の配管56が接続され、Arガスが導入されるガ
ス導入孔101aと、ガス供給機構40の配管55が接
続され、NHガスが導入されるガス導入孔101b
と、ガス供給機構40の配管57が接続され、TiCl
ガスまたはClFガスが導入されるガス導入孔10
1cとが設けられている。また、中央部材100aの内
周側の全周にわたって、Arガスを吐出するガス吐出孔
105aと、NHガスを吐出するガス吐出孔105b
と、TiClガスまたはClFガスを吐出するガス
吐出孔105cとのそれぞれ複数が、所定のパターンを
繰り返すように設けられている。
【0064】ガス導入孔101aは、中央部材100a
に設けられた環状の流路102aを介してガス吐出孔1
05aと連通しており、ガス導入孔101bは、上側部
材100bに設けられた環状の流路102bを介してガ
ス吐出孔105bと連通しており、ガス導入孔101c
は、下側部材100cに設けられた環状の流路102c
を介してガス吐出孔105cと連通している。このよう
にシャワーヘッド100はマトリックスタイプであり、
Arガス、TiClガスおよびNHガスが異なる吐
出孔から吐出され、成膜ガスであるTiClガスおよ
びNHガスが吐出後に混合されるポストミックス方式
が採用される。また、このシャワーヘッド100におい
ては、1つおきに配置されたガス吐出孔105aの間に
交互にガス吐出孔105bまたはガス吐出孔105cが
配置されているので、ベルジャー12’’およびチャン
バー11内に均一にガス吐出することができる。
【0065】このように、第4の実施形態によれば、ベ
ルジャー12’’とチャンバー11との間にシャワーヘ
ッド100を設けたので、ベルジャーの上方から成膜ガ
スを導入する場合に問題となるベルジャー12’’内壁
への付着物の付着はほとんど生じない。かつ、ベルジャ
ー12’’の上部に内壁を周期律表第3a族元素化合物
を含む膜14で構成した蓋体85を設けたので、ベルジ
ャー12’’の内壁全体を周期律表第3a族元素化合物
を含む膜14で構成することができ、これによりベルジ
ャー12’’の内壁全体を腐食し難くしてベルジャーの
寿命を極めて長くすることができる
【0066】なお、本実施形態におけるシャワーヘッド
100において、ガス吐出孔105a、ガス吐出孔10
5bおよびガス吐出孔105cを配列するパターンは図
15に示したものに限られるものではなく、均一にガス
吐出することができることを条件に、変更することが可
能である。また、ガス吐出孔105a、ガス吐出孔10
5bおよびガス吐出孔105cを一列に配列することは
必須ではなく、例えば、図17に示すシャワーヘッド1
00’のように、中央部材100a’にArガスを吐出
するガス吐出孔105aを設け、上側部材100b’に
NHガスを吐出するガス吐出孔105bを設け、下側
部材100c’にTiClガスまたはClFガスを
吐出するガス吐出孔105cを設けた三段の配列として
もかまわない。この場合にも、ガス吐出孔105a、ガ
ス吐出孔105bおよびガス吐出孔105cを配列する
パターンは変更してもよい。さらに、シャワーヘッド1
00の表面に、周期律表第3a族元素化合物を含む膜を
形成してもよい。
【0067】次に、本発明の第5の実施形態について説
明する。図18は本発明の第5の実施形態に係るCVD
成膜装置を示す断面図である。本実施形態の装置では、
ベルジャーの構造等の一部が第4の実施例と異なってい
るが、他は実質的に同じであるから、図18中図14と
同じものには同じ符号を付して説明を省略する。
【0068】図18に示すように、このCVD成膜装置
においては、例えばセラミックス材料からなる基材12
1と、周期律表第3a族元素化合物を含む膜122とか
らなるベルジャー120が半球状に形成されており、こ
の半球状のベルジャー120の外周にアンテナ部材とし
てのコイル123が巻回され、コイル123には高周波
電源124が接続されている。また、サセプタ17には
交流電源29が接続されており、サセプタ17に所定の
電力を印加しながらプラズマ処理を行うことができるよ
うに構成されている。ただし、交流電源29は必須の構
成ではなく、省略することが可能である。チャンバー1
1の底壁には排気管61が設けられている。
【0069】本実施形態によれば、ベルジャー120の
壁面を表面積/体積の比が小さい球面とすることによ
り、腐食されたり付着物が付着するベルジャー12内壁
の面積を最小限とすることができ、これに加えてベルジ
ャー120内壁の全面を周期律表第3a族元素化合物を
含む膜122で構成することとにより、ベルジャー12
0の腐食を一層効果的に防止し、ベルジャー120の寿
命を極めて長くすることができる。
【0070】なお、本実施形態におけるベルジャーの形
状は図18に示した半球状のものに限られるものではな
く、例えば図19に示すCVD成膜装置におけるベルジ
ャー120’のように、円筒状の下部120’aの上方
に球面部120’bが接続されたドーム状に構成しても
よい。この場合に、球面部120’bの形状は半球に限
られず、必要に応じて、球の部分的な形状とすることが
できる。
【0071】次に、本発明の第6の実施形態について説
明する。図20は本発明の第6の実施形態に係るCVD
成膜装置を示す断面図である。本実施形態の装置では、
ベルジャーおよびアンテナの構造が異なる点で第5の実
施例と異なるが他は実質的に同じであるから、図20中
図18と同じものには同じ符号を付して説明を省略す
る。
【0072】図20に示すように、このCVD成膜装置
におけるベルジャー130は、断面コの字型の有蓋円筒
状の形状を有し、ベルジャー130の側壁および天壁は
基材132とその内壁を構成する周期律表第3a族元素
化合物を含む膜131から構成されている。このベルジ
ャー130の外周にはアンテナ部材としてのコイル13
3が巻回されており、コイル133には高周波電源13
4が接続されている。また、ベルジャー130の天壁上
方には同じくアンテナ部材としての渦巻き状コイル13
5が配置されており、渦巻き状コイル135には高周波
電源136が接続されている。
【0073】このCVD成膜装置においては、ベルジャ
ー130のシャワーヘッド100上部からの高さHは
0.65〜10cmとすることが好ましい。また、ベル
ジャー130のウエハW上面からの高さhは3.8〜3
0cmとすることが好ましい。より好ましいHの範囲は
0.65〜5cm、hの範囲は3.8〜20cmであ
る。
【0074】上記のように構成されたCVD成膜装置に
おいては、コイル133および渦巻き状コイル135に
高周波電力を供給することによりベルジャー130内に
誘導電磁界を形成し、この高周波電界によりプラズマを
生成し、上記の実施形態と同様にしてCVD成膜を行う
ことができる。このように、第1から第5の実施形態と
は誘導電界を形成する手法の異なる本実施形態のCVD
成膜装置においても、ベルジャー130の内壁に周期律
表第3a族元素化合物を含む膜131を形成することに
より、プラズマやクリーニングガスが接触してもベルジ
ャー130を腐食し難くすることができ、ベルジャー1
30の寿命を長くすることができる。
【0075】なお、以上の実施形態ではCVD成膜装置
としてTiN薄膜形成用のものについて示したが、これ
に限らず他の膜を形成するものであってもよい。特に、
Ti含有材料またはSi含有材料を成膜する際には塩素
含有ガスを原料ガスとして用いるので、本発明はこれら
の材料を成膜する装置に対して有効である。このような
材料としては、TiNの他、Ti、TiSiNや、近
時、低誘電率の層間絶縁膜として用いられているSiO
F等のlowk材料、さらにはCuのバリアやエッチン
グストップとして用いられているSiNが挙げられる。
また、Ta含有材料を成膜するものであってもよい。T
a含有材料としてはバリア膜として用いられているT
a、TaN、キャパシターの絶縁膜として用いられてい
るTaが挙げられる。さらに、Taと同様
にキャパシターの絶縁膜として用いられるBST、Ru
O、ZrOの成膜に適用することもできる。
【0076】次に本発明の第7の実施形態について説明
する。図21は、本発明の第7の実施形態に係るCVD
成膜装置を示す断面図である。このCVD成膜装置15
0はWSi成膜用のものであり、アルミニウム等の金属
からなるチャンバー(処理容器)152を有しており、
このチャンバー152内には、ウエハW(被処理体)を
載置するためのサセプタ153が設けられている。サセ
プタ153は円筒状の支持部材154により支持されて
いる。ウエハWの外側上方を覆うようにシールドリング
155が設けられている。このシールドリング155
は、支持部材154に支持されており、サセプタ153
の裏面等、下部側への成膜を防止、および後述するハロ
ゲンランプ157の熱線が上方に照射されることを防止
するとともに、クリーニング時にクリーニングガスの流
路を確保する機能を有している。サセプタ153の真下
の処理室底部には、石英等の熱線透過材料よりなる透過
窓156が設けられ、その下方にはハロゲンランプ15
7を収容する加熱室158が設けられている。ハロゲン
ランプ157から放出された熱線は、透過窓156を透
過してサセプタ153の下面を照射してこれを加熱し得
るようになっている。チャンバー152の天井部には、
処理ガスや洗浄ガス等を導入するためのシャワーヘッド
159が設けられている。このシャワーヘッド159の
下面には多数のガス吐出孔160が形成されており、そ
の上面にはガス導入管161が接続されている。そし
て、このガス導入管161には、例えばそれぞれWF
ガスおよびSiHClガスを供給する処理ガス源1
62,163が接続されており、さらに、ClFガス
等のハロゲン含有洗浄ガスを供給する洗浄ガス源164
が接続されている。また、チャンバー152の底部近傍
には排気口165が設けられており、図示しない真空ポ
ンプによりこの排気口165を介してチャンバー152
内が排気され、その中が、例えば0.7Torrの真空
度に維持し得るようになっている。
【0077】このCVD成膜装置150において、チャ
ンバー152の内壁を周期律表第3a族元素化合物を含
む膜152aで構成することができる。このようにする
ことで、チャンバー152のClFガス等のハロゲン
含有ガスに対する耐食性を高くすることができ、処理ガ
スによる成膜処理の後、ClFガスからなる洗浄ガス
に切り換えて洗浄ガスをチャンバー152内に導入し、
チャンバー152内をin−situクリーニングする
際に、チャンバー152をエッチングされ難くすること
ができる。
【0078】また、サセプタ153、支持部材154、
シールドリング155、シャワーヘッド159として、
それぞれの基材上に周期律表第3a族元素化合物を含む
膜153a、154a、155a、159aを形成した
構成とすることができる。これにより、これらの部材の
ClFガス等のハロゲン含有ガスに対する耐食性を高
くすることができ、クリーニングの際にこれらの部材を
エッチングされ難くすることができる。
【0079】次に本発明の第8の実施形態について説明
する。図22は、本発明の第8の実施形態に係るプラズ
マエッチング処理装置を示す断面図である。このプラズ
マエッチング処理装置200は、電極板が上下平行に対
向し、一方にプラズマ形成用電源が接続された容量型平
行平板エッチング装置として構成されている。
【0080】このプラズマエッチング処理装置200
は、例えば表面がアルマイト処理(陽極酸化処理)され
たアルミニウムからなり円筒状をなすチャンバー202
を有しており、このチャンバー202内の底部には、ウ
エハWを載置するための略円柱状のサセプタ203が設
けられている。サセプタ203内には図示しない冷媒体
流路が設けられており、そこを通流する液体窒素等の冷
媒により前記サセプタ203を介してウエハWが冷却さ
れる。このサセプタ203は下部電極として機能する。
【0081】前記サセプタ203は、その上中央部が凸
状の円板状に成形され、その上にウハWと略同形の静電
チャック204が設けられている。静電チャック204
は、絶縁材の間に電極205が介在されており、電極2
05に直流電源206から例えば1.5kVの直流電圧
が印加されることにより、例えばクーロン力によってウ
エハWを静電吸着する。前記サセプタ203の上端周縁
部には、静電チャック204上に載置されたウエハWを
囲むように、環状のフォーカスリング207が配置され
ている。このフォーカスリング207によりエッチング
の均一性が向上される。サセプタ203は、昇降機構2
08により昇降可能となっており、サセプタ203の下
方中央の駆動部分は大気雰囲気となっており、その部分
はベローズ209で覆われ、真空部分と大気部分が分離
されている。
【0082】前記サセプタ203上方には、このサセプ
タ203と平行に対向して上部電極210が設けられて
いる。この上部電極210は、絶縁材215を介して、
チャンバー202の上部に支持されており、サセプタ2
03との対向面を構成するとともに多数のガス吐出孔2
12を有する電極板211と、この電極板211を支持
し例えば表面がアルマイト処理されたアルミニウムから
なる電極支持体213とによって構成されている。電極
板211の下面外周部にはシールドリング220が設け
られている。前記電極支持体213にはガス導入口21
6が設けられ、このガス導入口216には、ガス導入管
217が接続されている。そして、このガス導入管21
7には、エッチングガスとして例えばCFガス等のハ
ロゲン含有ガスを導入するための処理ガス源218と、
エッチングガスとしてOガス等の他のガスを導入する
ための処理ガス源219とが接続されており、これら処
理ガスがガス導入管217、ガス導入口216を経て電
極支持体213の内部に至り、電極板211のガス吐出
孔212からチャンバー202内に吐出される。チャン
バー202の底部近傍には排気口221が設けられてお
り、図示しない真空ポンプによりこの排気口221を介
してチャンバー202内が排気され、その中が所定の真
空状態に維持し得るようになっている。またチャンバー
202の内周面にはエッチングの際の副生成物がチャン
バー202の内壁に付着することを防止するためのデポ
シールド222が着脱自在に設けられている。さらにチ
ャンバー202の側壁にはゲートバルブ223が設けら
れており、このゲートバルブ223を開にした状態でウ
エハWの搬入出が行われる。
【0083】上部電極210には、整合器224を介し
てプラズマ形成用の第1の高周波電源225が接続され
ている。この第1の高周波電源225から上部電極21
0に例えば60MHzの高周波電力を印加することによ
りチャンバー202内にプラズマを形成する。下部電極
としてのサセプタ203には、イオン引き込み用の第2
の高周波電源227が接続されており、その給電線には
整合器226が介在されている。この第2の高周波電源
227からサセプタ203に例えば2MHzの高周波電
力を印加することによりエッチングの際にウエハWにイ
オンを引き込む。
【0084】このプラズマエッチング装置200におい
て、チャンバー202の内壁、すなわちデポシールド2
22の内壁を周期律表第3a族元素化合物を含む膜22
2aで構成することができる。また、チャンバー202
内に配置された部材である、サセプタ203、フォーカ
スリング207、上部電極210の電極板211、シー
ルドリング220として、それぞれの基材上に周期律表
第3a族元素化合物を含む膜203a、207a、21
1a、220aを形成した構成とすることができる。
【0085】このようなプラズマエッチング装置200
においては、ウエハWをチャンバー202内に搬入し、
チャンバー202を所定の真空度に維持し、ウエハWを
静電チャック204に吸着させた状態でエッチングのた
めの処理ガスを導入しつつ、上部電極210に高周波電
力を印加してチャンバー202内にプラズマを形成し、
ウエハW上の所定の膜にエッチング処理を施すが、チャ
ンバー202の内壁を周期律表第3a族元素化合物を含
む膜222aで構成することにより処理ガスとして用い
るハロゲン含有ガスに対するチャンバー202の耐食性
を高めることができる。また、上記の部材をそれぞれの
基材上に周期律表第3a族元素化合物を含む膜203
a、207a、211a、220aを形成した構成とす
ることにより、これらの部材のハロゲン含有ガスに対す
る耐食性を高めることができる。
【0086】次に本発明の第9の実施形態について説明
する。図23は、本発明の第9の実施形態に係るRTP
装置を示す断面図である。このRTP装置は、ウエハW
に不純物をドープした後のアニール処理等に適用され
る。図23において、RTP装置250はチャンバー2
51を有し、このチャンバー251は上部チャンバー2
51aおよび下部チャンバー251bに分離可能となっ
ている。上部チャンバー251aおよび下部チャンバー
251bの間には石英窓252が設けられている。チャ
ンバー251の上方には発熱部253が着脱可能に設け
られている。発熱部253は、水冷構造のジャケット2
54と、その下面に複数配列されたタングステンランプ
255とを有している。チャンバー251の下方には半
導体ウエハWを保持する水冷構造のプラテン256が着
脱可能に設けられている。このプラテン256の上面に
はウエハ支持ピン257が設けられており、ウエハWは
このウエハ支持ピン257に支持される。発熱部253
のジャケット254と上部チャンバー251aとの間、
上部チャンバー251aと石英窓252との間、石英窓
252と下部チャンバー251bとの間、下部チャンバ
ー251bとプラテン256との間にはシール部材Sが
介在されており、チャンバー251は気密状態となる。
チャンバー251内は図示しない排気装置により減圧可
能となっている。
【0087】このようなRTP装置においては、チャン
バー251内にウエハWをセットし、その中に気密な空
間を形成し、排気装置により排気してその中を真空状態
とする。次いで、発熱部253のタングステンランプ2
55をオンにすると、タングステンランプ255で発生
した熱が石英窓252を通過してウエハWに至り、ウエ
ハWが急速に加熱される。加熱が終了した後は、チャン
バー251内を大気圧に戻し、発熱部253を退避させ
るとともに、プラテン256を下降させてウエハWを急
速に冷却する。このようにして、所望の急速加熱処理が
実現される。
【0088】ここで、下部チャンバー251bの内壁を
周期律表第3a族元素化合物を含む膜251cで構成す
ることができる。また、プラテン256として、基材上
に周期律表第3a族元素化合物を含む膜256aを形成
した構成とすることができる。周期律表第3a族元素化
合物を含む膜は耐熱性も高いので、上記のような加熱処
理に対しても高い耐性を示す。
【0089】なお、上記実施形態では基板として半導体
ウエハを用いた場合について示したが、これに限らず液
晶表示装置(LCD)のガラス基板であってもよい。
【0090】
【発明の効果】以上説明したように、本発明によれば、
その処理容器内で被処理基板の処理を行う処理装置にお
いて、処理容器の内壁に周期律表第3a族元素化合物を
含む膜を形成するが、このような膜は耐食性が高いの
で、プラズマやクリーニングガス等の高腐食性の雰囲気
で被処理基板の処理やクリーニング等を行っても腐食さ
れ難い処理装置を提供することができる。また、本発明
の処理装置をベルジャーを用いた処理装置に適用する場
合には、ベルジャー内壁に周期律表第3a族元素化合物
を含む膜を形成することにより、ベルジャーの腐食の問
題が生じ難い処理装置が実現される。
【0091】さらに、ベルジャーの上方から処理ガスを
導入するタイプの処理装置は、ベルジャー内壁に付着物
が付着しやすく、導電性膜が付着してプラズマが減衰
し、成膜が困難になる場合が生じるが、前記ベルジャー
内にプラズマ生成ガスを吐出するプラズマ生成ガス吐出
部と、前記チャンバーの上部に吐出口を有する処理ガス
吐出部とを設けることにより、成膜ガスがベルジャーの
内壁にほとんど到達せず、ベルジャー内壁には処理ガス
に起因する付着物がほとんど付着しない処理装置が実現
される。
【0092】さらにまた、周期律表第3a族元素化合物
を含む膜をベルジャーの内壁に形成するとともに、ガス
供給手段は、前記ベルジャー内にプラズマ生成ガスを吐
出するプラズマ生成ガス吐出部と、前記チャンバーの上
部に吐出口を有する処理ガス吐出部とを設けることによ
り、ICP−CVD等のベルジャーを用いたプラズマC
VD方式の成膜処理を、ベルジャーの腐食の問題を生じ
ることなく、かつベルジャー内壁への処理ガスに起因す
る付着物をほとんど付着させることなく行うことが可能
な処理装置が実現される。
【0093】さらにまた、前記処理装置に用いられる部
材の基材上に周期律表第3a族元素化合物を含む膜を形
成することにより、プラズマやクリーニングガス等の高
腐食性の雰囲気に曝されても腐食され難い耐食性部材が
実現される。
【0094】さらにまた、チャンバー上部にベルジャー
が設けられた処理装置において、前記チャンバーと前記
ベルジャーとの間に設けられ、その内周側の全周にわた
って処理ガスを吐出する複数の吐出口が設けられた環状
のガス供給手段を具備することにより、処理を施す被処
理基板に近い位置から処理ガスを均一に供給することが
できる処理装置が実現される。
【図面の簡単な説明】
【図1】本発明の第1の実施形態に係るCVD成膜装置
を示す断面図。
【図2】エッチングによる腐食試験のサンプルおよび評
価基準を示す図。
【図3】Al/Y重量比=0.43の際の
溶射膜のX線回折パターンを示す図。
【図4】Al/Y重量比=0.66の際の
溶射膜のX線回折パターンを示す図。
【図5】Al/Y重量比=1.50の際の
溶射膜のX線回折パターンを示す図。
【図6】溶射膜のAl/Y重量比を変化さ
せた場合における複合酸化物の比率を示すグラフ。
【図7】溶射膜のAl/Y重量比とプラズ
マによる削れ量との関係を示す図。
【図8】YAGを用いて溶射を行った際の溶射膜のX線
回折パターンを示す図。
【図9】膜厚と絶縁破壊電圧との関係を示すグラフ。
【図10】本発明の第2の実施形態に係るCVD成膜装
置を示す断面図。
【図11】本発明の第3の実施形態に係るCVD成膜装
置を示す断面図。
【図12】ガス吐出部材の他の例を示す斜視図。
【図13】ガス吐出部材のさらに他の例を示す斜視図。
【図14】本発明の第4の実施形態に係るCVD成膜装
置を示す断面図。
【図15】図14に示したCVD成膜装置のシャワーヘ
ッドを示す斜視図。
【図16】図15に示したシャワーヘッドの断面図。
【図17】シャワーヘッドの他の例を示す図。
【図18】本発明の第5の実施形態に係るCVD成膜装
置を示す断面図。
【図19】図18に示したCVD成膜装置の変形例を示
す断面図。
【図20】本発明の第6の実施形態に係るCVD成膜装
置を示す断面図。
【図21】本発明の第7の実施形態に係る処理装置およ
び耐食性部材が適用されるCVD成膜装置を示す断面
図。
【図22】本発明の第8の実施形態に係る処理装置およ
び耐食性部材が適用されるプラズマエッチング処理装置
を示す断面図。
【図23】本発明の第9の実施形態に係る処理装置およ
び耐食性部材が適用されるRTP装置を示す断面図。
【符号の説明】
11;チャンバー 12,12’,12’’,120,120’,130;
ベルジャー 13;基材 14;周期律表第3a族元素化合物を含む膜 30,70,100,100’;シャワーヘッド 40;ガス供給機構 41;ClF供給源 42;TiCl供給源 43;第1のAr供給源 44;第2のAr供給源 45;NH供給源 71,72;ガス吐出部材 W;半導体ウエハ
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) C23F 4/00 C23F 4/00 Z H01L 21/3065 H01L 21/31 E 21/31 C B 21/302 N

Claims (29)

    【特許請求の範囲】
  1. 【請求項1】 被処理基板を収容する処理容器と、 前記処理容器内の被処理基板に処理を施す処理機構とを
    具備する処理装置であって、 前記処理容器は、その内壁が周期律表第3a族元素化合
    物を含む膜からなることを特徴とする処理装置。
  2. 【請求項2】 前記周期律表第3a族元素化合物を含む
    膜は、周期律表第3a族元素化合物とAlとから
    実質的になることを特徴とする請求項1に記載の処理装
    置。
  3. 【請求項3】 前記周期律表第3a族元素化合物を含む
    膜は、YとAlとから実質的になることを
    特徴とする請求項2に記載の処理装置。
  4. 【請求項4】 前記周期律表第3a族元素化合物を含む
    膜におけるAl /Y重量比が0.5以上で
    あることを特徴とする請求項3に記載の処理装置。
  5. 【請求項5】 前記周期律表第3a族元素化合物を含む
    膜は、溶射膜であることを特徴とする請求項1から請求
    項4のいずれか1項に記載の処理装置。
  6. 【請求項6】 前記処理機構は、被処理基板にプラズマ
    処理を施すことを特徴とする請求項1から請求項5のい
    ずれか1項に記載の処理装置。
  7. 【請求項7】 前記処理機構は、処理容器内に腐食性ガ
    スを供給して処理を施すことを特徴とする請求項1から
    請求項5のいずれか1項に記載の処理装置。
  8. 【請求項8】 前記処理機構は、被処理基板に加熱処理
    を施すことを特徴とする請求項1から請求項5のいずれ
    か1項に記載の処理装置。
  9. 【請求項9】 被処理基板を収容するチャンバーと、 チャンバーの上方に設けられたベルジャーと、 ベルジャー内に誘導電磁界を形成するためのアンテナ手
    段と、 前記アンテナ手段に高周波電力を印加する高周波印加手
    段と、 処理ガスを供給するガス供給手段とを具備し、ベルジャ
    ー内に形成された誘導電磁界によりプラズマを形成して
    処理を行う処理装置であって、 前記ベルジャーは、その内壁が周期律表第3a族元素化
    合物を含む膜からなることを特徴とする処理装置。
  10. 【請求項10】 被処理基板を収容するチャンバーと、 チャンバーの上方に設けられたベルジャーと、 ベルジャー内に誘導電磁界を形成するためのアンテナ手
    段と、 前記アンテナ手段に高周波電力を印加する高周波印加手
    段と、 プラズマ生成ガスおよび処理ガスを供給するガス供給手
    段とを具備し、ベルジャー内に形成された誘導電磁界に
    よりプラズマを形成して処理を行う処理装置であって、 前記ベルジャーは、その内壁が周期律表第3a族元素化
    合物を含む膜からなり、 前記ガス供給手段は、前記ベルジャー内にプラズマ生成
    ガスを吐出するプラズマ生成ガス吐出部と、前記チャン
    バーの上部に吐出口を有する処理ガス吐出部とを有する
    ことを特徴とする処理装置。
  11. 【請求項11】 前記ガス供給手段は、前記ベルジャー
    の上部に設けられたシャワーヘッドを有し、前記処理ガ
    ス吐出部は、前記シャワーヘッドから前記チャンバーの
    上部まで延びていることを特徴とする請求項10に記載
    の処理装置。
  12. 【請求項12】 前記周期律表第3a族元素化合物を含
    む膜は、周期律表第3a族元素化合物とAlとか
    ら実質的になることを特徴とする請求項9から請求項1
    1のいずれか1項に記載の処理装置。
  13. 【請求項13】 前記周期律表第3a族元素化合物を含
    む膜は、YとAlとから実質的になること
    を特徴とする請求項12に記載の処理装置。
  14. 【請求項14】 前記周期律表第3a族元素化合物を含
    む膜におけるAl/Y重量比が0.5以上
    であることを特徴とする請求項13に記載の処理装置。
  15. 【請求項15】 前記周期律表第3a族元素化合物を含
    む膜は、溶射膜であることを特徴とする請求項9から請
    求項14のいずれか1項に記載の処理装置。
  16. 【請求項16】 前記周期律表第3a族元素化合物を含
    む膜の厚さは50μm以上であることを特徴とする請求
    項9から請求項15のいずれか1項に記載の処理装置。
  17. 【請求項17】 被処理基板を収容するチャンバーと、 チャンバーの上方に設けられたベルジャーと、 ベルジャー内に誘導電磁界を形成するためのアンテナ手
    段と、 前記アンテナ手段に高周波電力を印加する高周波印加手
    段と、 プラズマ生成ガスおよび処理ガスを供給するガス供給手
    段とを具備し、ベルジャー内に形成された誘導電磁界に
    よりプラズマを形成して処理を行う処理装置であって、 前記ガス供給手段は、前記ベルジャー内にプラズマ生成
    ガスを吐出するプラズマ生成ガス吐出部と、前記チャン
    バーの上部に吐出口を有する処理ガス吐出部とを有する
    ことを特徴とする処理装置。
  18. 【請求項18】 前記ガス供給手段は、前記ベルジャー
    の上部に設けられたシャワーヘッドを有し、前記処理ガ
    ス吐出部は、前記シャワーヘッドから前記チャンバーの
    上部まで延びていることを特徴とする請求項17に記載
    の処理装置。
  19. 【請求項19】 前記チャンバーは、その内壁が周期律
    表第3a族元素化合物を含む膜からなることを特徴とす
    る請求項9から請求項18のいずれか1項に記載の処理
    装置。
  20. 【請求項20】 被処理基板を処理する処理装置に用い
    られる耐食性部材であって、基材と、その上に形成され
    た周期律表第3a族元素化合物を含む膜とを具備するこ
    とを特徴とする耐食性部材。
  21. 【請求項21】 前記周期律表第3a族元素化合物を含
    む膜は、周期律表第3a族元素化合物とAlとか
    ら実質的になることを特徴とする請求項20に記載の耐
    食性部材。
  22. 【請求項22】 前記周期律表第3a族元素化合物を含
    む膜は、YとAlとから実質的になること
    を特徴とする請求項21に記載の耐食性部材。
  23. 【請求項23】 前記周期律表第3a族元素化合物を含
    む膜におけるAl/Y重量比が0.5以上
    であることを特徴とする請求項22に記載の耐食性部
    材。
  24. 【請求項24】 前記周期律表第3a族元素化合物を含
    む膜は、溶射膜であることを特徴とする請求項20から
    請求項23のいずれか1項に記載の耐食性部材。
  25. 【請求項25】 前記周期律表第3a族元素化合物を含
    む膜の厚さは50μm以上であることを特徴とする請求
    項20から請求項24のいずれか1項に記載の耐食性部
    材。
  26. 【請求項26】 被処理基板を収容するチャンバーと、 チャンバーの上方に設けられたベルジャーと、 ベルジャー内に誘導電磁界を形成するためのアンテナ手
    段と、 前記アンテナ手段に高周波電力を印加する高周波印加手
    段と、 前記チャンバーと前記ベルジャーとの間に設けられ、そ
    の内周側の全周にわたって処理ガスを吐出する複数の吐
    出口が設けられた環状のガス供給手段とを具備し、ベル
    ジャー内に形成された誘導電磁界によりプラズマを形成
    して処理を行うことを特徴とする処理装置。
  27. 【請求項27】 前記ベルジャーは、半球状であること
    を特徴とする請求項26に記載の処理装置
  28. 【請求項28】 前記ベルジャーは、円筒状の部分と、
    この円筒状の部分の上方に形成された球面状の部分とを
    有するドーム状であることを特徴とする請求項26に記
    載の処理装置。
  29. 【請求項29】 前記ベルジャーは、有蓋筒状であるこ
    とを特徴とする請求項26に記載の処理装置。
JP2000373973A 1999-12-10 2000-12-08 処理装置およびそれに用いられる耐食性部材 Pending JP2001226773A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000373973A JP2001226773A (ja) 1999-12-10 2000-12-08 処理装置およびそれに用いられる耐食性部材

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP35201899 1999-12-10
JP11-352018 1999-12-10
JP2000373973A JP2001226773A (ja) 1999-12-10 2000-12-08 処理装置およびそれに用いられる耐食性部材

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2006245777A Division JP4689563B2 (ja) 1999-12-10 2006-09-11 プラズマ処理装置
JP2007133877A Division JP5100202B2 (ja) 1999-12-10 2007-05-21 処理装置

Publications (2)

Publication Number Publication Date
JP2001226773A true JP2001226773A (ja) 2001-08-21
JP2001226773A5 JP2001226773A5 (ja) 2006-11-02

Family

ID=18421226

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2000373973A Pending JP2001226773A (ja) 1999-12-10 2000-12-08 処理装置およびそれに用いられる耐食性部材
JP2006245777A Expired - Fee Related JP4689563B2 (ja) 1999-12-10 2006-09-11 プラズマ処理装置
JP2007133877A Expired - Fee Related JP5100202B2 (ja) 1999-12-10 2007-05-21 処理装置
JP2011158335A Pending JP2012018928A (ja) 1999-12-10 2011-07-19 処理装置、耐食性部材および耐食性部材の製造方法

Family Applications After (3)

Application Number Title Priority Date Filing Date
JP2006245777A Expired - Fee Related JP4689563B2 (ja) 1999-12-10 2006-09-11 プラズマ処理装置
JP2007133877A Expired - Fee Related JP5100202B2 (ja) 1999-12-10 2007-05-21 処理装置
JP2011158335A Pending JP2012018928A (ja) 1999-12-10 2011-07-19 処理装置、耐食性部材および耐食性部材の製造方法

Country Status (4)

Country Link
US (4) US20010003271A1 (ja)
JP (4) JP2001226773A (ja)
KR (15) KR20010062209A (ja)
TW (1) TW514996B (ja)

Cited By (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003063883A (ja) * 2001-08-29 2003-03-05 Shin Etsu Chem Co Ltd 希土類含有酸化物部材
JP2003100719A (ja) * 2001-09-26 2003-04-04 Tokyo Electron Ltd 処理方法
EP1310466A2 (en) 2001-11-13 2003-05-14 Tosoh Corporation Quartz glass parts, ceramic parts and process of producing those
JP2003188145A (ja) * 2001-12-21 2003-07-04 Tokyo Electron Ltd プラズマ処理装置
JP2004190136A (ja) * 2002-11-28 2004-07-08 Tokyo Electron Ltd プラズマ処理容器内部材
WO2004030011A3 (en) * 2002-09-30 2004-08-05 Tokyo Electron Ltd Improved upper electrode plate in a plasma processing system and manufacturing method for the electrode
JP2005171386A (ja) * 2003-12-11 2005-06-30 Samsung Electronics Co Ltd 半導体素子製造装備の洗浄方法
JP2006501608A (ja) * 2002-09-30 2006-01-12 東京エレクトロン株式会社 プラズマ処理システムにおける改良された堆積シールドのための方法及び装置
JP2006501647A (ja) * 2002-09-30 2006-01-12 東京エレクトロン株式会社 プラズマ処理システムにおける改良されたバッフル板のための方法及び装置
JP2006501646A (ja) * 2002-09-30 2006-01-12 東京エレクトロン株式会社 プラズマ処理システムにおける改良されたベローズシールドのための方法及び装置
US7081290B2 (en) 2002-04-04 2006-07-25 Tosoh Corporation Quartz glass thermal sprayed parts and method for producing the same
JP2007077421A (ja) * 2005-09-12 2007-03-29 Tocalo Co Ltd プラズマ処理装置用部材およびその製造方法
JP2007515077A (ja) * 2003-12-17 2007-06-07 東京エレクトロン株式会社 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法
JP2007150281A (ja) * 2005-11-02 2007-06-14 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7280341B2 (en) 2002-12-27 2007-10-09 Shin-Etsu Chemical Co., Ltd. Electrostatic chuck
WO2007148931A1 (en) * 2006-06-21 2007-12-27 Korea Institute Of Science And Technology Ceramic coating material for thermal spray on the parts of semiconductor processing devices and fabrication method and coating method thereof
WO2008010632A1 (en) * 2006-07-20 2008-01-24 University-Industry Collaboration Foundation Chungnam National University Electrostatic chuck with high-resistivity ceramic coating materials
US7384696B2 (en) 2004-01-29 2008-06-10 Kyocera Corporation Corrosion resistant member and method for manufacturing the same
US7569280B2 (en) 2004-10-26 2009-08-04 Kyocera Corporation Corrosion resistant member and method for manufacturing the same
JP2009283975A (ja) * 2003-05-02 2009-12-03 Tokyo Electron Ltd プラズマ処理装置
JP2010059522A (ja) * 2008-09-05 2010-03-18 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2010515237A (ja) * 2006-07-20 2010-05-06 ザ インダストリー アンド アカデミック クーパレイション イン チュンナン ナショナル ユニバーシティー 高抵抗セラミック熱溶射コーティング素材及びこれを含む静電チャックの製造方法
JP2010153881A (ja) * 2002-03-21 2010-07-08 Lam Res Corp 半導体処理装置用の低汚染構成部品及びその製造方法
JP2010174325A (ja) * 2009-01-29 2010-08-12 Kyocera Corp 放電用電極体、放電用電極アセンブリおよび放電処理装置
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
JP2010199526A (ja) * 2009-02-02 2010-09-09 Tokyo Electron Ltd プラズマ処理装置及び温度測定方法並びに温度測定装置
US7846291B2 (en) 1999-12-10 2010-12-07 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US8043971B2 (en) 2003-02-07 2011-10-25 Tokyo Electron Limited Plasma processing apparatus, ring member and plasma processing method
JP2012009829A (ja) * 2010-05-26 2012-01-12 Tokyo Electron Ltd プラズマ処理装置及びその処理ガス供給構造
US8118936B2 (en) 2002-09-30 2012-02-21 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US8349450B2 (en) 2006-10-31 2013-01-08 Fujimi Incorporated Thermal spray powder, method for forming thermal spray coating, and plasma resistant member
JP2015002208A (ja) * 2013-06-13 2015-01-05 株式会社ニューフレアテクノロジー 気相成長装置
JP2015503247A (ja) * 2012-01-04 2015-01-29 ユ−ジーン テクノロジー カンパニー.リミテッド 処理ユニットを含む基板処理装置
US8986494B2 (en) 2009-02-02 2015-03-24 Tokyo Electron Limited Plasma processing apparatus and temperature measuring method and apparatus used therein
JP2015119120A (ja) * 2013-12-20 2015-06-25 株式会社日立ハイテクノロジーズ プラズマ処理装置
JPWO2013133110A1 (ja) * 2012-03-09 2015-07-30 株式会社ユーテック Cvd装置
JP2015523717A (ja) * 2012-06-20 2015-08-13 ユ−ジーン テクノロジー カンパニー.リミテッド 基板処理装置
JP2017100938A (ja) * 2013-06-05 2017-06-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体アプリケーション用希土類酸化物系耐食性コーティング
JP2018082064A (ja) * 2016-11-16 2018-05-24 株式会社ニューフレアテクノロジー 成膜装置
JP2019514208A (ja) * 2016-04-01 2019-05-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ガスの均一な流れを提供する装置および方法
JP2019153789A (ja) * 2018-03-02 2019-09-12 ラム リサーチ コーポレーションLam Research Corporation 保護皮膜を備える石英部品
JP2020172702A (ja) * 2019-04-12 2020-10-22 信越化学工業株式会社 溶射材料及びその製造方法、溶射皮膜及びその形成方法並びに溶射部材
JP2021017651A (ja) * 2019-07-16 2021-02-15 ユ−ジーン テクノロジー カンパニー.リミテッド バッチ式基板処理装置及びその運用方法
WO2021177393A1 (ja) * 2020-03-06 2021-09-10 トーカロ株式会社 新規なタングステン系溶射被膜及びそれを得るための溶射用材料
CN113396474A (zh) * 2019-01-18 2021-09-14 株式会社Eugene科技 基板处理装置

Families Citing this family (471)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6824825B2 (en) * 1999-09-13 2004-11-30 Tokyo Electron Limited Method for depositing metallic nitride series thin film
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
KR100516844B1 (ko) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
US6568896B2 (en) * 2001-03-21 2003-05-27 Applied Materials, Inc. Transfer chamber with side wall port
JP2002356387A (ja) * 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
KR100433285B1 (ko) * 2001-07-18 2004-05-31 주성엔지니어링(주) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자제조장치
JP4277973B2 (ja) * 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6884514B2 (en) 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
CA2474725A1 (en) * 2002-01-30 2003-08-07 Thyssen Elevator Capital Corp. Synthetic fiber rope for an elevator
JP2003224077A (ja) * 2002-01-30 2003-08-08 Tokyo Electron Ltd プラズマ処理装置、電極部材、バッフル板の製造方法、処理装置、および、表面処理方法
JP4074461B2 (ja) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US7086347B2 (en) * 2002-05-06 2006-08-08 Lam Research Corporation Apparatus and methods for minimizing arcing in a plasma processing chamber
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US20040129674A1 (en) * 2002-08-27 2004-07-08 Tokyo Electron Limited Method and system to enhance the removal of high-k dielectric materials
JP4083512B2 (ja) * 2002-08-30 2008-04-30 東京エレクトロン株式会社 基板処理装置
JP4260450B2 (ja) * 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
WO2004095532A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
US7297247B2 (en) * 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
JP3868925B2 (ja) * 2003-05-29 2007-01-17 株式会社日立製作所 プラズマ処理装置
US20060124455A1 (en) * 2003-06-02 2006-06-15 Yizhou Song Thin film forming device and thin film forming method
KR101030433B1 (ko) * 2003-06-12 2011-04-20 주성엔지니어링(주) 챔버실드를 포함하는 화학기상증착 장치 및 챔버실드의 제조방법
KR100973666B1 (ko) * 2003-06-17 2010-08-03 주성엔지니어링(주) 원자층증착장치의 가스밸브 어셈블리
KR20050004995A (ko) * 2003-07-01 2005-01-13 삼성전자주식회사 플라즈마를 이용하는 기판 가공 장치
US7329467B2 (en) * 2003-08-22 2008-02-12 Saint-Gobain Ceramics & Plastics, Inc. Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same
JP2005072446A (ja) * 2003-08-27 2005-03-17 Chi Mei Electronics Corp プラズマ処理装置及び基板の表面処理装置
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
JP4532897B2 (ja) * 2003-12-26 2010-08-25 財団法人国際科学振興財団 プラズマ処理装置、プラズマ処理方法及び製品の製造方法
US20050227382A1 (en) * 2004-04-02 2005-10-13 Hui Angela T In-situ surface treatment for memory cell formation
JP4451221B2 (ja) * 2004-06-04 2010-04-14 東京エレクトロン株式会社 ガス処理装置および成膜装置
US7119032B2 (en) * 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
KR100953707B1 (ko) * 2004-08-24 2010-04-19 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 반도체 프로세싱 부품 및 이를 사용하는 반도체 제조방법
KR101069195B1 (ko) * 2004-09-23 2011-09-30 엘지디스플레이 주식회사 평판표시장치의 제조를 위한 대기개방형 박막처리장치 및이를 이용한 박막처리방법
JP2006144094A (ja) * 2004-11-22 2006-06-08 Fujimi Inc 溶射用粉末及びその製造方法
JP4560387B2 (ja) * 2004-11-30 2010-10-13 株式会社フジミインコーポレーテッド 溶射用粉末、溶射方法及び溶射皮膜
JP4912598B2 (ja) * 2005-02-15 2012-04-11 株式会社フジミインコーポレーテッド 溶射用粉末
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060213437A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
WO2006137541A1 (ja) * 2005-06-23 2006-12-28 Tokyo Electron Limited 半導体処理装置用の構成部材及びその製造方法
JP2007088411A (ja) * 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
KR100727672B1 (ko) * 2005-07-14 2007-06-13 신에쯔 세끼에이 가부시키가이샤 플라즈마 에칭 장치용 부재 및 그 제조 방법
WO2007026889A1 (ja) * 2005-09-01 2007-03-08 Matsushita Electric Industrial Co., Ltd. プラズマ処理装置、プラズマ処理方法、これに用いられる誘電体窓及びその製造方法
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US20070202701A1 (en) * 2006-02-27 2007-08-30 Tokyo Electron Limited Plasma etching apparatus and method
US20070215278A1 (en) * 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
KR100939256B1 (ko) * 2006-06-21 2010-01-29 한국과학기술연구원 반도체 제조 장비용 열용사 코팅물질의 제조방법
JP5134223B2 (ja) * 2006-09-06 2013-01-30 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP2008103403A (ja) * 2006-10-17 2008-05-01 Tokyo Electron Ltd 基板載置台及びプラズマ処理装置
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20080236491A1 (en) 2007-03-30 2008-10-02 Tokyo Electron Limited Multiflow integrated icp source
US7718559B2 (en) * 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
CN103102157A (zh) 2007-04-27 2013-05-15 应用材料公司 降低暴露于含卤素等离子体表面的腐蚀速率的装置和方法
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US7976674B2 (en) * 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
KR101408375B1 (ko) * 2007-08-29 2014-06-18 최대규 유도 결합 플라즈마 소스가 내장된 히터 및 이를 구비한플라즈마 처리 챔버
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
KR100887582B1 (ko) * 2007-09-27 2009-03-09 세메스 주식회사 웨이퍼 가공 장치 및 이를 이용한 웨이퍼 가공 방법
JP2011503844A (ja) * 2007-11-01 2011-01-27 ユージン テクノロジー カンパニー リミテッド 高周波駆動誘導結合プラズマを用いたウェハ表面処理装置
US20090151870A1 (en) * 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
SG170079A1 (en) * 2008-01-14 2011-04-29 Komico Ltd Spray coating powder and method of manufacturing spray coating powder
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP5287850B2 (ja) * 2008-04-08 2013-09-11 株式会社島津製作所 プラズマcvd用のカソード電極、およびプラズマcvd装置
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
KR101004822B1 (ko) * 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR20100006009A (ko) * 2008-07-08 2010-01-18 주성엔지니어링(주) 반도체 제조 장치
KR101497897B1 (ko) * 2008-11-27 2015-03-05 삼성전자 주식회사 반도체 제조장치
JP5479867B2 (ja) * 2009-01-14 2014-04-23 東京エレクトロン株式会社 誘導結合プラズマ処理装置
JP4955027B2 (ja) * 2009-04-02 2012-06-20 クリーン・テクノロジー株式会社 排ガス処理装置における磁場によるプラズマの制御方法
KR101065351B1 (ko) * 2009-04-10 2011-09-16 세메스 주식회사 기판 이송 장치
KR101035547B1 (ko) * 2009-05-21 2011-05-23 삼정보일러공업(주) 산업 폐기물을 연소할 때 발생하는 폐열을 이용한 열 교환 방법 및 그 장치
JP5323628B2 (ja) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
JP5039120B2 (ja) * 2009-12-07 2012-10-03 東京エレクトロン株式会社 プラズマ処理装置用のアルミナ部材及びプラズマ処理装置用のアルミナ部材の製造方法
KR101074810B1 (ko) * 2009-12-23 2011-10-19 삼성모바일디스플레이주식회사 캐리어 가스 공급 구조가 개선된 증착 장치 및 그것을 이용한 유기 발광 디스플레이 장치 제조방법
US20120318662A1 (en) * 2009-12-24 2012-12-20 Nissan Chemical Industries, Ltd. Method for forming bond between different elements
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120196139A1 (en) * 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9155181B2 (en) * 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9336996B2 (en) 2011-02-24 2016-05-10 Lam Research Corporation Plasma processing systems including side coils and methods related to the plasma processing systems
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
JP6034156B2 (ja) * 2011-12-05 2016-11-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN104093874A (zh) 2011-12-28 2014-10-08 福吉米株式会社 氧化钇皮膜
TW201347035A (zh) * 2012-02-02 2013-11-16 Greene Tweed Of Delaware 用於具有延長生命週期的電漿反應器的氣體分散板
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9279185B2 (en) * 2012-06-14 2016-03-08 Asm Technology Singapore Pte Ltd Feed-through apparatus for a chemical vapour deposition device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) * 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP6257071B2 (ja) * 2012-09-12 2018-01-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014082354A (ja) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
JP6017936B2 (ja) * 2012-11-27 2016-11-02 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
WO2014103728A1 (ja) * 2012-12-27 2014-07-03 昭和電工株式会社 成膜装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
KR102264053B1 (ko) * 2013-05-01 2021-06-11 어플라이드 머티어리얼스, 인코포레이티드 Epi 챔버 유동 조작을 위한 주입구 및 배기구 설계
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
JP6170340B2 (ja) * 2013-05-21 2017-07-26 東京エレクトロン株式会社 ガス供給ヘッド、ガス供給機構及び基板処理装置
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9711334B2 (en) * 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9440886B2 (en) * 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6045485B2 (ja) * 2013-12-20 2016-12-14 東京エレクトロン株式会社 基板処理装置
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP5888458B2 (ja) 2014-06-26 2016-03-22 Toto株式会社 耐プラズマ性部材及びその製造方法
US9431221B2 (en) * 2014-07-08 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-processing apparatus with upper electrode plate and method for performing plasma treatment process
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
KR102430454B1 (ko) * 2014-08-15 2022-08-05 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 강화 화학 기상 증착 시스템에서 높은 온도들로 압축 또는 인장 응력을 갖는 웨이퍼들을 프로세싱하는 방법 및 장치
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10208398B2 (en) * 2014-12-02 2019-02-19 Showa Denko K.K. Wafer support, chemical vapor phase growth device, epitaxial wafer and manufacturing method thereof
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
JP6156850B2 (ja) * 2014-12-25 2017-07-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の部材の交換判断方法
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
CN109023303A (zh) * 2015-02-13 2018-12-18 恩特格里斯公司 衬底部分上的复合原子层沉积ald涂层及在衬底部分上形成经图案化ald涂层的方法
JP5981013B1 (ja) * 2015-02-24 2016-08-31 株式会社リケン 内燃機関用ピストンリング
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160362813A1 (en) * 2015-06-12 2016-12-15 Applied Materials, Inc. Injector for semiconductor epitaxy growth
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN106337202B (zh) * 2015-07-17 2018-11-06 中国科学院苏州纳米技术与纳米仿生研究所 一种用于高温晶体生长的气体花洒装置
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN108292588B (zh) * 2015-12-04 2022-02-18 应用材料公司 用以防止hdp-cvd腔室电弧放电的先进涂层方法及材料
TW201804028A (zh) * 2015-12-22 2018-02-01 應用材料股份有限公司 半導體處理設備的抗腐蝕性塗層
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106935470B (zh) * 2015-12-31 2019-03-08 中微半导体设备(上海)有限公司 一种带有温度测量装置的等离子处理器
US10727089B2 (en) * 2016-02-12 2020-07-28 Lam Research Corporation Systems and methods for selectively etching film
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP2017157778A (ja) 2016-03-04 2017-09-07 東京エレクトロン株式会社 基板処理装置
US10170313B2 (en) * 2016-05-02 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for a tunable electromagnetic field apparatus to improve doping uniformity
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
JP6899217B2 (ja) * 2016-12-28 2021-07-07 株式会社Screenホールディングス 基板処理装置、基板処理方法および基板処理システム
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN106539491B (zh) * 2017-01-23 2018-09-07 珠海格力电器股份有限公司 锅盖组件及压力锅
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11469079B2 (en) * 2017-03-14 2022-10-11 Lam Research Corporation Ultrahigh selective nitride etch to form FinFET devices
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US20180327892A1 (en) 2017-05-10 2018-11-15 Applied Materials, Inc. Metal oxy-flouride films for chamber components
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US20180358204A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Strip Tool With Multiple Gas Injection Zones
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR20200039827A (ko) * 2017-09-11 2020-04-16 어플라이드 머티어리얼스, 인코포레이티드 반응성 가스 전구체를 사용한 프로세싱 챔버로부터의 하이-k 막들의 선택적 인-시튜 세정
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
KR20200087267A (ko) 2017-12-08 2020-07-20 램 리써치 코포레이션 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위해 개선된 홀 패턴을 갖는 통합된 샤워헤드
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
JP2019116676A (ja) * 2017-12-27 2019-07-18 テクノクオーツ株式会社 成膜装置の構成部材の耐食構造およびその構成部材の製造方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
SG11202107817XA (en) * 2019-03-11 2021-09-29 Applied Materials Inc Lid assembly apparatus and methods for substrate processing chambers
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7340170B2 (ja) * 2019-06-25 2023-09-07 東京エレクトロン株式会社 ガス導入構造、熱処理装置及びガス供給方法
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR102077974B1 (ko) * 2019-08-29 2020-02-14 주식회사 기가레인 플라즈마 처리 수직도가 향상된 포커스링을 포함하는 플라즈마 처리 장치
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR102077975B1 (ko) * 2019-10-15 2020-02-14 주식회사 기가레인 플라즈마 처리 수직도가 향상된 플라즈마 처리 장치
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
CN112713073B (zh) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种耐腐蚀气体输送部件及其等离子体处理装置
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR102161704B1 (ko) * 2020-01-21 2020-10-06 한국과학기술연구원 부품 불화 장치 및 방법
JP2023511196A (ja) * 2020-01-23 2023-03-16 ラム リサーチ コーポレーション 半導体反応チャンバの保護コーティング
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source
US11939664B2 (en) * 2021-08-30 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing semiconductor processes with coated bell jar
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023239542A1 (en) * 2022-06-07 2023-12-14 Lam Research Corporation Vacuum-insulated, heated reactor construction

Family Cites Families (208)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) * 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
AU541132B2 (en) * 1980-03-13 1984-12-20 T.I. Accles & Pollock Ltd Golf club shaft
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPS5887273A (ja) * 1981-11-18 1983-05-25 Hitachi Ltd セラミツク被覆層を有する部品とその製造方法
JPH065155B2 (ja) * 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
NO163412B (no) 1988-01-25 1990-02-12 Elkem Technology Plasmalanse.
DE69015715T2 (de) * 1989-07-11 1995-08-17 Sony Corp Verfahren zur Wärmebehandlung eines optischen Oxidkristalles und Wärmebehandlungsvorrichtung dafür.
US5334462A (en) * 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
JPH03115535A (ja) * 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) * 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
GB2242443B (en) 1990-03-28 1994-04-06 Nisshin Flour Milling Co Coated particles of inorganic or metallic materials and processes of producing the same
JPH07122762B2 (ja) * 1990-06-13 1995-12-25 株式会社精工舎 記録装置
US5180467A (en) * 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JP3000179B2 (ja) * 1991-08-26 2000-01-17 東京エレクトロン株式会社 搬送駆動装置
DE4103994A1 (de) 1991-02-11 1992-08-13 Inst Elektroswarki Patona Schutzueberzug vom typ metall-keramik fuer einzelteile aus hitzebestaendigen legierungen
DE69213802T2 (de) * 1991-04-09 1997-02-27 Ngk Insulators Ltd Verwendung einer Oxidschicht zur Verbesserung der Oxydation- und Korrosionswiderstand einer Gasturbinenschaufeln aus Siliziumnitrid
JP3175189B2 (ja) 1991-05-13 2001-06-11 ソニー株式会社 減圧cvd装置
JPH05238855A (ja) * 1992-02-28 1993-09-17 Tokyo Electric Power Co Inc:The セラミックコーティング部材の製造方法
WO1993024275A1 (en) 1992-06-01 1993-12-09 Ice Blast International Ltd. Particle blasting utilizing crystalline ice
EP0573057A1 (en) 1992-06-05 1993-12-08 Applied Materials, Inc. Integrated circuit structure processing apparatus with chemically corrosion-resistant Al2O3 protective coating on surface of quartz window exposed to corrosive chemicals
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5302465A (en) * 1992-10-26 1994-04-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Plasma sprayed ceramic thermal barrier coating for NiAl-based intermetallic alloys
DE69330719T2 (de) 1992-12-28 2002-07-04 Nippon Zeon Co Gegenstand mit harter beschichtung und verfahren zu seiner herstellung
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) * 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JP3228644B2 (ja) * 1993-11-05 2001-11-12 東京エレクトロン株式会社 真空処理装置用素材及びその製造方法
US5484752A (en) * 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JPH07263354A (ja) * 1994-03-25 1995-10-13 Kobe Steel Ltd プラズマcvd膜の形成方法
US5651723A (en) * 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) * 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
KR100331053B1 (ko) * 1994-05-17 2002-06-20 가나이 쓰도무 플라즈마처리장치및플라즈마처리방법
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
JP3699142B2 (ja) 1994-09-30 2005-09-28 アネルバ株式会社 薄膜形成装置
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5898586A (en) * 1994-11-04 1999-04-27 Eli Lilly And Company Method for administering clinical trail material
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
EP0722919B1 (en) * 1995-01-19 1999-08-11 Ube Industries, Ltd. Ceramic composite
US5759360A (en) * 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3599834B2 (ja) * 1995-05-30 2004-12-08 アネルバ株式会社 プラズマ処理装置
JP3595608B2 (ja) 1995-05-30 2004-12-02 アネルバ株式会社 真空処理装置、真空処理装置における真空容器内面堆積膜除去方法及び真空処理装置における真空容器内面膜堆積均一化方法
JPH08339895A (ja) * 1995-06-12 1996-12-24 Tokyo Electron Ltd プラズマ処理装置
JP3208044B2 (ja) * 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW323387B (ja) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
JP3378126B2 (ja) * 1995-09-01 2003-02-17 三菱電機株式会社 真空処理装置および半導体装置の製造方法
EP0777258A3 (en) * 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
IT1277078B1 (it) * 1995-12-14 1997-11-04 Geld & Kapitalanlagen Ag Macchina per la formazione di pastiglie di prodotto cosmetico
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
CA2205817C (en) 1996-05-24 2004-04-06 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5892278A (en) * 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
JP3050124B2 (ja) 1996-05-27 2000-06-12 住友金属工業株式会社 プラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JPH104083A (ja) * 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材
JP3241270B2 (ja) * 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5885402A (en) * 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
JP3619330B2 (ja) * 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
DE19654147A1 (de) * 1996-12-23 1998-06-25 Basf Ag Verwendung von Aminoisothiazolen als Mikrobizide
US6301004B1 (en) 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
JP3798491B2 (ja) * 1997-01-08 2006-07-19 東京エレクトロン株式会社 ドライエッチング方法
US5925228A (en) * 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) * 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
JP2981184B2 (ja) * 1997-02-21 1999-11-22 トーカロ株式会社 ボイラ伝熱管および管内面デポジット付着抑制効果に優れるボイラ伝熱管の製造方法
JP3488373B2 (ja) * 1997-11-28 2004-01-19 京セラ株式会社 耐食性部材
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
JPH10273777A (ja) * 1997-03-28 1998-10-13 Nikon Corp 誘導結合型プラズマcvd装置及びその装置を用いた均一成膜方法
JP2953424B2 (ja) * 1997-03-31 1999-09-27 日本電気株式会社 フェイスダウンボンディング用リードフレーム
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
JP3362113B2 (ja) * 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
KR19990008937U (ko) 1997-08-13 1999-03-05 이문세 방음벽 조립체
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6180262B1 (en) * 1997-12-19 2001-01-30 United Technologies Corporation Thermal coating composition
KR100258984B1 (ko) * 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JPH11219937A (ja) * 1998-01-30 1999-08-10 Toshiba Corp プロセス装置
JP3350433B2 (ja) 1998-02-16 2002-11-25 シャープ株式会社 プラズマ処理装置
JP4217299B2 (ja) 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (ko) * 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP4037956B2 (ja) 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) * 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
JP2000103689A (ja) * 1998-09-28 2000-04-11 Kyocera Corp アルミナ質焼結体およびその製造方法、並びに耐プラズマ部材
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP3030287B1 (ja) 1998-10-09 2000-04-10 株式会社協同インターナショナル 成膜装置のクリーニング方法、スパッタリングターゲットのクリーニング方法及びこれらに使用するクリーニング装置
JP2000124197A (ja) 1998-10-16 2000-04-28 Hitachi Ltd プラズマ処理装置
JP4073584B2 (ja) * 1998-11-04 2008-04-09 株式会社ミクニ 弁駆動装置
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
JP4283925B2 (ja) * 1999-01-27 2009-06-24 太平洋セメント株式会社 耐蝕性部材
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) * 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3911902B2 (ja) 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2001023959A (ja) 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP2001031484A (ja) 1999-07-22 2001-02-06 Nihon Ceratec Co Ltd 耐食性複合部材
US6387817B1 (en) 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) * 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6651504B1 (en) * 1999-09-16 2003-11-25 Ut-Battelle, Llc Acoustic sensors using microstructures tunable with energy other than acoustic energy
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP2001152307A (ja) 1999-11-29 2001-06-05 Nippon Steel Hardfacing Co Ltd 耐食性を有し、長期間使用に耐える複合皮膜の形成方法およびその複合皮膜を有する部材
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
JP3567855B2 (ja) 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
WO2001068323A1 (de) * 2000-03-15 2001-09-20 Preising Paul Eric Reinigungsverfahren und -vorrichtung für hochspannungsführende anlagenteile
US6364948B1 (en) * 2000-03-21 2002-04-02 Mars, Inc. Coating and drying apparatus
US6396161B1 (en) * 2000-04-17 2002-05-28 Delco Remy America, Inc. Integrated starter alternator troller
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) * 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002134481A (ja) 2000-10-25 2002-05-10 Taiheiyo Cement Corp 真空処理装置用部材
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20020090464A1 (en) 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US20040081746A1 (en) 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6790242B2 (en) * 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
CN1220989C (zh) 2001-02-07 2005-09-28 株式会社新王磁材 制造铁基稀土磁体用合金材料的方法
US6830622B2 (en) 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
TW541586B (en) 2001-05-25 2003-07-11 Tokyo Electron Ltd Substrate table, production method therefor and plasma treating device
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
DE60228661D1 (de) * 2001-09-21 2008-10-16 Fujifilm Corp Organische elektrolumineszente Vorrichtung
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
GB2383833A (en) 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) * 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6852433B2 (en) * 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US20040060779A1 (en) * 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US6896106B2 (en) * 2002-10-02 2005-05-24 Arvinmeritor Technology, Llc Method and assembly for automatic slack adjustment of an electric brake actuator
CN1249789C (zh) 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
US6806949B2 (en) * 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
JP4597972B2 (ja) 2003-03-31 2010-12-15 東京エレクトロン株式会社 処理部材上に隣接するコーティングを接合する方法。
US6838862B2 (en) * 2003-04-04 2005-01-04 Harris Corporation Pulse width modulator having reduced signal distortion at low duty cycles
WO2007013184A1 (ja) * 2005-07-29 2007-02-01 Tocalo Co., Ltd. Y2o3溶射皮膜被覆部材およびその製造方法
JP4238882B2 (ja) 2006-06-09 2009-03-18 トヨタ自動車株式会社 車両用エゼクタシステム

Cited By (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7879179B2 (en) 1999-12-10 2011-02-01 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US7846291B2 (en) 1999-12-10 2010-12-07 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP4663927B2 (ja) * 2001-08-29 2011-04-06 信越化学工業株式会社 希土類含有酸化物部材
JP2003063883A (ja) * 2001-08-29 2003-03-05 Shin Etsu Chem Co Ltd 希土類含有酸化物部材
JP2003100719A (ja) * 2001-09-26 2003-04-04 Tokyo Electron Ltd 処理方法
EP1310466A2 (en) 2001-11-13 2003-05-14 Tosoh Corporation Quartz glass parts, ceramic parts and process of producing those
JP2003188145A (ja) * 2001-12-21 2003-07-04 Tokyo Electron Ltd プラズマ処理装置
JP2010153881A (ja) * 2002-03-21 2010-07-08 Lam Res Corp 半導体処理装置用の低汚染構成部品及びその製造方法
US7081290B2 (en) 2002-04-04 2006-07-25 Tosoh Corporation Quartz glass thermal sprayed parts and method for producing the same
US8117986B2 (en) 2002-09-30 2012-02-21 Tokyo Electron Limited Apparatus for an improved deposition shield in a plasma processing system
US7678226B2 (en) 2002-09-30 2010-03-16 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
JP2006501647A (ja) * 2002-09-30 2006-01-12 東京エレクトロン株式会社 プラズマ処理システムにおける改良されたバッフル板のための方法及び装置
JP2011049173A (ja) * 2002-09-30 2011-03-10 Tokyo Electron Ltd プラズマ処理システムにおける改良された堆積シールド
WO2004030011A3 (en) * 2002-09-30 2004-08-05 Tokyo Electron Ltd Improved upper electrode plate in a plasma processing system and manufacturing method for the electrode
US8118936B2 (en) 2002-09-30 2012-02-21 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US8057600B2 (en) 2002-09-30 2011-11-15 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
JP2006501645A (ja) * 2002-09-30 2006-01-12 東京エレクトロン株式会社 プラズマ処理システムにおける改良された上部電極板のための方法及び装置
JP2006501608A (ja) * 2002-09-30 2006-01-12 東京エレクトロン株式会社 プラズマ処理システムにおける改良された堆積シールドのための方法及び装置
JP2006501646A (ja) * 2002-09-30 2006-01-12 東京エレクトロン株式会社 プラズマ処理システムにおける改良されたベローズシールドのための方法及び装置
US8449715B2 (en) 2002-11-28 2013-05-28 Tokyo Electron Limited Internal member of a plasma processing vessel
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
JP2004190136A (ja) * 2002-11-28 2004-07-08 Tokyo Electron Ltd プラズマ処理容器内部材
JP4503270B2 (ja) * 2002-11-28 2010-07-14 東京エレクトロン株式会社 プラズマ処理容器内部材
US8877002B2 (en) 2002-11-28 2014-11-04 Tokyo Electron Limited Internal member of a plasma processing vessel
US7280341B2 (en) 2002-12-27 2007-10-09 Shin-Etsu Chemical Co., Ltd. Electrostatic chuck
US8043971B2 (en) 2003-02-07 2011-10-25 Tokyo Electron Limited Plasma processing apparatus, ring member and plasma processing method
US8409399B2 (en) 2003-03-17 2013-04-02 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
JP2009283975A (ja) * 2003-05-02 2009-12-03 Tokyo Electron Ltd プラズマ処理装置
JP2005171386A (ja) * 2003-12-11 2005-06-30 Samsung Electronics Co Ltd 半導体素子製造装備の洗浄方法
JP2007515077A (ja) * 2003-12-17 2007-06-07 東京エレクトロン株式会社 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法
JP2011176365A (ja) * 2003-12-17 2011-09-08 Tokyo Electron Ltd 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法
US7384696B2 (en) 2004-01-29 2008-06-10 Kyocera Corporation Corrosion resistant member and method for manufacturing the same
US7569280B2 (en) 2004-10-26 2009-08-04 Kyocera Corporation Corrosion resistant member and method for manufacturing the same
JP2007077421A (ja) * 2005-09-12 2007-03-29 Tocalo Co Ltd プラズマ処理装置用部材およびその製造方法
JP4522984B2 (ja) * 2005-11-02 2010-08-11 パナソニック株式会社 プラズマ処理装置
JP2007150281A (ja) * 2005-11-02 2007-06-14 Matsushita Electric Ind Co Ltd プラズマ処理装置
WO2007148931A1 (en) * 2006-06-21 2007-12-27 Korea Institute Of Science And Technology Ceramic coating material for thermal spray on the parts of semiconductor processing devices and fabrication method and coating method thereof
JP2010515237A (ja) * 2006-07-20 2010-05-06 ザ インダストリー アンド アカデミック クーパレイション イン チュンナン ナショナル ユニバーシティー 高抵抗セラミック熱溶射コーティング素材及びこれを含む静電チャックの製造方法
WO2008010632A1 (en) * 2006-07-20 2008-01-24 University-Industry Collaboration Foundation Chungnam National University Electrostatic chuck with high-resistivity ceramic coating materials
US8349450B2 (en) 2006-10-31 2013-01-08 Fujimi Incorporated Thermal spray powder, method for forming thermal spray coating, and plasma resistant member
JP2010059522A (ja) * 2008-09-05 2010-03-18 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2010174325A (ja) * 2009-01-29 2010-08-12 Kyocera Corp 放電用電極体、放電用電極アセンブリおよび放電処理装置
JP2010199526A (ja) * 2009-02-02 2010-09-09 Tokyo Electron Ltd プラズマ処理装置及び温度測定方法並びに温度測定装置
US8986494B2 (en) 2009-02-02 2015-03-24 Tokyo Electron Limited Plasma processing apparatus and temperature measuring method and apparatus used therein
KR101859555B1 (ko) * 2010-05-26 2018-05-18 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 그 처리 가스 공급 구조
JP2012009829A (ja) * 2010-05-26 2012-01-12 Tokyo Electron Ltd プラズマ処理装置及びその処理ガス供給構造
JP2015503247A (ja) * 2012-01-04 2015-01-29 ユ−ジーン テクノロジー カンパニー.リミテッド 処理ユニットを含む基板処理装置
JPWO2013133110A1 (ja) * 2012-03-09 2015-07-30 株式会社ユーテック Cvd装置
JP2015523717A (ja) * 2012-06-20 2015-08-13 ユ−ジーン テクノロジー カンパニー.リミテッド 基板処理装置
JP2018040058A (ja) * 2013-06-05 2018-03-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体アプリケーション用希土類酸化物系耐食性コーティング
US10734202B2 (en) 2013-06-05 2020-08-04 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
JP2017100938A (ja) * 2013-06-05 2017-06-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体アプリケーション用希土類酸化物系耐食性コーティング
US9803282B2 (en) 2013-06-13 2017-10-31 Nuflare Technology, Inc. Vapor phase growth apparatus
JP2015002208A (ja) * 2013-06-13 2015-01-05 株式会社ニューフレアテクノロジー 気相成長装置
JP2015119120A (ja) * 2013-12-20 2015-06-25 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2019514208A (ja) * 2016-04-01 2019-05-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ガスの均一な流れを提供する装置および方法
JP2018082064A (ja) * 2016-11-16 2018-05-24 株式会社ニューフレアテクノロジー 成膜装置
JP2019153789A (ja) * 2018-03-02 2019-09-12 ラム リサーチ コーポレーションLam Research Corporation 保護皮膜を備える石英部品
JP2022522998A (ja) * 2019-01-18 2022-04-21 ユ-ジーン テクノロジー カンパニー.リミテッド 基板処理装置
CN113396474A (zh) * 2019-01-18 2021-09-14 株式会社Eugene科技 基板处理装置
JP7468946B2 (ja) 2019-01-18 2024-04-16 ユ-ジーン テクノロジー カンパニー.リミテッド 基板処理方法
JP2020172702A (ja) * 2019-04-12 2020-10-22 信越化学工業株式会社 溶射材料及びその製造方法、溶射皮膜及びその形成方法並びに溶射部材
JP7331762B2 (ja) 2019-04-12 2023-08-23 信越化学工業株式会社 溶射材料、その製造方法、及び溶射皮膜の形成方法
US11891701B2 (en) 2019-04-12 2024-02-06 Shin-Etsu Chemical Co., Ltd. Spraying material, spraying slurry, preparing method of spraying material, forming method of sprayed coating, sprayed coating, and sprayed member
JP2021017651A (ja) * 2019-07-16 2021-02-15 ユ−ジーン テクノロジー カンパニー.リミテッド バッチ式基板処理装置及びその運用方法
US11702737B2 (en) 2019-07-16 2023-07-18 Eugene Technology Co., Ltd. Batch-type substrate processing apparatus and operation method thereof
WO2021177393A1 (ja) * 2020-03-06 2021-09-10 トーカロ株式会社 新規なタングステン系溶射被膜及びそれを得るための溶射用材料

Also Published As

Publication number Publication date
KR20070020109A (ko) 2007-02-16
JP2012018928A (ja) 2012-01-26
KR20080071538A (ko) 2008-08-04
KR20090098952A (ko) 2009-09-18
TW514996B (en) 2002-12-21
US20080070032A1 (en) 2008-03-20
KR100944571B1 (ko) 2010-02-25
KR100944572B1 (ko) 2010-02-25
KR100944573B1 (ko) 2010-02-25
US20010003271A1 (en) 2001-06-14
KR20070089773A (ko) 2007-09-03
KR100885597B1 (ko) 2009-02-24
US20080069966A1 (en) 2008-03-20
KR100934048B1 (ko) 2009-12-24
KR100972878B1 (ko) 2010-07-28
KR20100055370A (ko) 2010-05-26
US7879179B2 (en) 2011-02-01
JP4689563B2 (ja) 2011-05-25
KR100944576B1 (ko) 2010-02-25
KR100884164B1 (ko) 2009-02-17
KR101015667B1 (ko) 2011-02-22
KR20070090863A (ko) 2007-09-06
KR20080082587A (ko) 2008-09-11
JP2006336114A (ja) 2006-12-14
KR100884165B1 (ko) 2009-02-17
US7846291B2 (en) 2010-12-07
US20030200929A1 (en) 2003-10-30
KR20010062209A (ko) 2001-07-07
KR20080082588A (ko) 2008-09-11
KR100934508B1 (ko) 2009-12-29
KR20070089772A (ko) 2007-09-03
JP2007291528A (ja) 2007-11-08
KR20070020110A (ko) 2007-02-16
KR100922902B1 (ko) 2009-10-22
KR20080072806A (ko) 2008-08-07
KR100944570B1 (ko) 2010-02-25
KR20080075071A (ko) 2008-08-14
JP5100202B2 (ja) 2012-12-19
KR100994592B1 (ko) 2010-11-15
KR20080071963A (ko) 2008-08-05
KR20090098780A (ko) 2009-09-17

Similar Documents

Publication Publication Date Title
JP4689563B2 (ja) プラズマ処理装置
US8293335B2 (en) Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
KR20050054983A (ko) 반도체 처리용의 재치대 장치, 성막 장치 및 성막 방법
JPH0813169A (ja) プラズマ処理装置
US20200270747A1 (en) Method for fabricating chamber parts

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060818

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060818

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060911

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20060911

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20061031

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061128

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070129

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070320

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070521

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20070528

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20070615