US20040129674A1 - Method and system to enhance the removal of high-k dielectric materials - Google Patents

Method and system to enhance the removal of high-k dielectric materials Download PDF

Info

Publication number
US20040129674A1
US20040129674A1 US10/644,957 US64495703A US2004129674A1 US 20040129674 A1 US20040129674 A1 US 20040129674A1 US 64495703 A US64495703 A US 64495703A US 2004129674 A1 US2004129674 A1 US 2004129674A1
Authority
US
United States
Prior art keywords
plasma
layer
permittivity material
substrate
modifying
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/644,957
Inventor
Gordon Bease
Lee Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US10/644,957 priority Critical patent/US20040129674A1/en
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BEASE, GORDON, CHEN, LEE
Publication of US20040129674A1 publication Critical patent/US20040129674A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Definitions

  • the present invention is related to removal of materials from a substrate, particularly to removal of high-k dielectric layers from a semiconductor substrate.
  • CMOS complementary metal-oxide semiconductor
  • High-k materials Dielectric materials featuring a dielectric constant greater than that of SiO 2 (k ⁇ 3.9) are commonly referred to as high-k materials.
  • high-k materials may refer to dielectric materials that are deposited onto substrates (e.g., HfO 2 , ZrO 2 ) rather than grown on the surface of the substrate (e.g., SiO 2 , SiN x O y ).
  • High-k materials may incorporate metallic silicates or oxides (e.g., Ta 2 O 5 (k ⁇ 26), TiO 2 (k ⁇ 80), ZrO 2 (k ⁇ 25), Al 2 O 3 (k ⁇ 9), HfSiO, HfO 2 (k ⁇ 25)).
  • the high-k layers must be etched and removed in order to allow silicidation for the source/drain regions, and to reduce the risk of metallic impurities being implanted into the source/drain regions during ion implantation.
  • the present invention relates to a plasma process to modify a high-k dielectric layer through exposure of the high-k layer to the plasma, resulting in a modified layer that etches efficiently using wet etch processes.
  • the plasma process can comprise an inert gas and/or a reactive gas mixture, and the process can be implemented in-situ, as an additional step performed at the end of a gate-electrode etching process, or as an additional step added at the end of a spacer-etch process.
  • FIG. 1 shows a flowchart illustrating a method of modifying a layer of high-k material according to the present invention
  • FIGS. 2 a - 2 c show a schematic cross-sectional representation of the steps of modifying and removing a layer high-k dielectric material according to the present invention
  • FIG. 3 shows a plasma processing system according to a preferred embodiment of the present invention
  • FIG. 4 shows a plasma processing system according to an alternate embodiment of the present invention
  • FIG. 5 shows a plasma processing system according to an alternate embodiment of the present invention
  • FIG. 5A shows a plasma processing system according to an alternate embodiment of the present invention
  • FIG. 5B shows a plasma processing system according to an alternate embodiment of the present invention
  • FIG. 6 shows a plasma processing system according to an alternate embodiment of the present invention
  • FIG. 6A shows a plasma processing system according to an alternate embodiment of the present invention
  • FIG. 6B shows a plasma processing system according to an alternate embodiment of the present invention.
  • FIG. 7 shows a flowchart illustrating wet etching of a modified layer of high-k material.
  • a plasma processing system uses an inert gas to modify a high-k dielectric layer by exposure to the plasma region.
  • the inert gas species in the process gas can be selected from the group of noble gases He, Ne, Ar, Kr, and Xe, or other gases that are non-reactive towards a high-k layer in a plasma environment.
  • the gas-phase plasma ions bombard and modify the high-k layer, but the ions are physically prevented from attacking the underlying Si layer by the high-k layer.
  • the plasma modified high-k layers etch faster than high-k layers that were not subject to the plasma treatment.
  • the disclosed plasma treatment employs a reactive plasma that chemically reacts with the high-k layer, and the ions have sufficient energy to effectively disrupt and/or thin the high-k layer so that a wet etching process is able to efficiently remove the disrupted (modified) high-k layer.
  • a reactive plasma is used to modify the high-k layer
  • the process gas and plasma conditions are selected such that the patterned gate-conductor features and other materials may not be etched or damaged.
  • the process gas can comprise HBr or HCl, and an inert gas such as He.
  • the modification of the exposed high-k layer is substantially anisotropic due to ion bombardment that is parallel to the surface normal.
  • the increase in the wet etch rate of the modified high-k layer is anisotropic.
  • the result of the plasma modification of the high-k layer and the following wet etch step is anisotropic etching and removal of the exposed high-k layer.
  • the plasma treatment of the high-k layer gas according to the present invention can be carried out at different stages during the patterning process.
  • the plasma treatment can be added to the end of a normal gate etch process recipe, or added to a standard spacer-etch process.
  • a sequence for forming a gate electrode that is defined by a hard mask can comprise: 1) “break-through”, that etches through the hard mask; 2) “main-etch”, that forms the electrode features; and 3) “over-etch”, that etches and removes the (high-k) dielectric layer overlying the Si substrate.
  • Etch step 3) that removes the high-k layer frequently involves the use aggressive halogen containing precursors that have very low selectivity towards etching Si.
  • the above plasma etch step 3) can be replaced by a plasma modifying/thinning step, where the ions in the plasma bombard and modify the high-k layer without completely removing it.
  • the processing gas can comprise HBr or HCl, and an inert gas.
  • the wet etch process can comprise hot sulfuric acid, resulting in removal of the high-k layer by a standard wet clean process. Since the high-k layer is not traversed during the modifying/thinning step, the likelihood of damage occurring to the underlying Si layer is reduced. If the thinning step is carried out for too long, the high-k layer is traversed, resulting in damage to the underlying Si layer.
  • the plasma treatment may increase the amorphous content of the high-k layer and possibly breaks chemical bonds that create atomic fragments in the high-k layer.
  • the disclosed plasma treatment can utilize reactive gases, where the ion energy is adequate to disrupt the atomic structure of the high-k layer in such a way that the subsequent wet-etching process is able to remove the modified high-k layer.
  • the process conditions can be selected such that the existing gate-conductor features are not etched.
  • the plasma treatment of the high-k layer can be incorporated into manufacturing of semiconductor devices by carrying out the plasma treatment at the end of the spacer-etch process. Sidewall spacers are used to achieve isolation between the gate and source/drain regions, as well as to facilitate fabrication of self-aligned, drain-engineered dopant structures. Sometimes, it is desirable to have the high-k layer remaining on the source/drain region while performing the spacer-etch process, so that the plasma environment is exposed to the “sacrificial” high-k material instead of the Si. After the spacer is formed, plasma treatment of the high-k layer according to the current invention is performed in-situ to modify the high-k layer and facilitate fast wet etching of the high-k layer.
  • the additional plasma treatment step can be added onto the end of the gate-electrode etching process, or the additional plasma treatment step can be added onto the end of the spacer-etch process.
  • the invention can be performed in a separate process chamber or on a separate plasma etch tool.
  • the wafer can be wet-etched using standard wet etching methods to remove the high-k layer. Then an ion implant process step forms the source/drain regions without the presence of a high-k layer on the silicon surface, which alleviates the risk of a knock-on implantation of impurities from the high-k layer into the source/drain regions.
  • An additional benefit is that the high-k removal will not inhibit the silicidation of the source/drain regions, a process step that is extremely sensitive to interfacial silicon surface layers.
  • FIG. 1 shows a flowchart illustrating a method of modifying a layer of high-k material according to the present invention.
  • the method uses a plasma process to modify the high-k layer for the following wet etch process that removes the modified high-k layer from the substrate.
  • step 100 the process is started.
  • step 102 a layer is provided having a high-k material overlying a substrate, and the substrate is positioned in a plasma processing chamber.
  • a process gas comprising an inert gas and/or a reactive gas is introduced into the plasma processing chamber, and a plasma is started.
  • step 106 the layer of high-k material is exposed to the plasma, and the layer is modified due to ion bombardment in the plasma.
  • step 108 the process is ended in step 108 .
  • FIGS. 2 a - 2 c show a schematic cross-sectional representation of the steps of modifying and removing a layer high-k dielectric material.
  • FIG. 2 a shows a partially completed structure 200 comprising a high-k dielectric layer 204 overlying a semiconductor substrate 202 , etched gate electrode features 206 and hard mask or photoresist layer 208 defining the patterned structure.
  • FIG. 2 b shows the partially completed structure 200 from FIG. 2 a following a plasma etch process.
  • a modified high-k layer 210 is formed on the horizontal surface that is exposed to the plasma.
  • the structure 200 in FIG. 2 b is further processed using standard wet cleaning methods that are well known in the art to form the structure 200 in FIG. 2 c where the modified high-k layer and the hard mask (or photoresist layer) have been removed and the structure is ready for further processing to form a semiconductor device.
  • FIG. 3 shows a plasma processing system according to a preferred embodiment of the present invention.
  • a plasma processing system 1 that is capable of sustaining a plasma is depicted in FIG. 3, which includes a plasma process chamber 10 configured to facilitate the generation of plasma in processing region 45 .
  • the plasma processing system 1 further comprises a substrate holder 20 , upon which a substrate 25 to be processed is affixed, and a gas injection system 40 for introducing process gases 42 to the plasma process chamber 10 , and a vacuum pumping system 50 .
  • the gas injection system 40 allows independent control over the delivery of process gases to the process chamber from ex-situ gas sources.
  • An ionizable gas or mixture of gases is introduced via the gas injection system 40 and the process pressure is adjusted.
  • conroller 55 is used to control the vacuum pumping system 50 and gas injection system 40 .
  • plasma is utilized to create materials specific to a predetermined materials process, and to aid either the deposition of material to a substrate 25 or the removal of material from the exposed surfaces of the substrate 25 .
  • Substrate 25 is transferred into and out of chamber 10 through a slot valve (not shown) and chamber feed-through (not shown) via robotic substrate transfer system where it is received by substrate lift pins (not shown) housed within substrate holder 20 and mechanically translated by devices housed therein. Once the substrate 25 is received from the substrate transfer system, it is lowered to an upper surface of the substrate holder 20 .
  • the substrate 25 is affixed to the substrate holder 20 via an electrostatic clamp (not shown). Furthermore, the substrate holder 20 further includes a cooling system including a re-circulating coolant flow that receives heat from the substrate holder 20 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system. Moreover, gas may be delivered to the backside of the substrate to improve the gas-gap thermal conductance between the substrate 25 and the substrate holder 20 . Such a system is utilized when temperature control of the substrate is required at elevated or reduced temperatures.
  • temperature control of the substrate may be useful at temperatures in excess of the steady-state temperature achieved due to a balance of the heat flux delivered to the substrate 25 from the plasma and the heat flux removed from substrate 25 by conduction to the substrate holder 20 .
  • heating elements such as resistive heating elements, or thermo-electric heaters/coolers are included.
  • the substrate holder 20 can further serve as an electrode through which radio frequency (RF) power is coupled to plasma in the processing region 45 .
  • the substrate holder 20 can be electrically biased at a RF voltage via the transmission of RF power from an RF generator 30 through an impedance match network 32 to the substrate holder 20 .
  • the RF bias serves to heat electrons and, thereby, form and maintain plasma.
  • the system operates as a RIE reactor, wherein the chamber and upper gas injection electrode serve as ground surfaces.
  • a typical frequency for the RF bias ranges from 1 MHz to 100 MHz and is preferably 13.56 MHz.
  • RF power can be applied to the substrate holder electrode at multiple frequencies.
  • the impedance match network 32 serves to maximize the transfer of RF power to plasma in processing chamber 10 by minimizing the reflected power.
  • Match network topologies e.g., L-type, ⁇ -type, T-type
  • automatic control methods are known in the art.
  • Gas injection system 40 can include a showerhead, wherein the process gas 42 is supplied from a gas delivery system (not shown) to the processing region 45 through a gas injection plenum (not shown), a series of baffle plates (not shown) and a multi-orifice showerhead gas injection plate (not shown).
  • Vacuum pump system 50 can include a turbo-molecular vacuum pump (TMP) capable of a pumping speed up to 5000 liters per second (and greater), and a gate valve for throttling the chamber pressure.
  • TMP turbo-molecular vacuum pump
  • a 1000 to 3000 liter per second TMP is employed.
  • TMPs are useful for low pressure processing, typically less than 50 mTorr.
  • a mechanical booster pump and dry roughing pump are used.
  • a controller 55 includes a microprocessor, a memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to the plasma processing system 1 as well as monitor outputs from the plasma processing system 1 . Moreover, the controller 55 is coupled to and exchanges information with the RF generator 30 , the impedance match network 32 , the gas injection system 40 , plasma monitor system 57 , and the vacuum pump system 50 . A program stored in the memory is utilized to control the aforementioned components of a plasma processing system 1 according to a stored process recipe.
  • controller 55 is a digital signal processor (DSP); model number TMS320, available from Texas Instruments, Dallas, Tex.
  • the plasma monitor system 57 can comprise, for example, an optical emission spectroscopy (OES) system to measure excited particles in the plasma environment and/or a plasma diagnostic system, such as a Langmuir probe, for measuring plasma density.
  • OES optical emission spectroscopy
  • the plasma monitor system 57 can be used with controller 55 to determine the status of the etching process and provide feedback to ensure process compliance.
  • plasma monitor system 57 can comprise a microwave and/or a RF diagnostic system.
  • FIG. 4 shows a plasma processing system according to an alternate embodiment of the present invention.
  • the plasma processing system 1 of FIG. 4 further includes either a mechanically or electrically rotating DC magnetic field system 60 , in order to potentially increase plasma density and/or improve plasma processing uniformity, in addition to those components described with reference to FIG. 3.
  • the controller 55 is coupled to the rotating magnetic field system 60 in order to regulate the speed of rotation and field strength.
  • FIG. 5 shows a plasma processing system according to an alternate embodiment of the present invention.
  • the plasma processing system 1 of FIG. 5 further includes an upper plate electrode 70 to which RF power is coupled from an RF generator 72 through an impedance match network 74 .
  • a typical frequency for the application of RF power to the upper electrode ranges from 10 MHz to 200 MHz and is preferably 60 MHz.
  • a typical frequency for the application of power to the lower electrode ranges from 0.1 MHz to 30 MHz and is preferably 2 MHz.
  • the controller 55 is coupled to the RF generator 72 and the impedance match network 74 in order to control the application of RF power to the upper electrode 70 .
  • FIG. 5A shows a plasma processing system according to an alternate embodiment of the present invention.
  • the plasma processing system 1 in FIG. 5 is modified to contain a grounded lower electrode 20 .
  • a DC bias can be applied to the lower electrode 20 .
  • FIG. 5B shows a plasma processing system according to an alternate embodiment of the present invention.
  • the plasma processing system 1 in FIG. 5 is modified to contain a lower electrode 20 that is electrically isolated from the plasma processing system 1 .
  • a floating potential can be formed on the lower electrode 20 and on the substrate 25 when the plasma is on.
  • FIG. 6 shows a plasma processing system according to an alternate embodiment of the present invention.
  • the plasma processing system of FIG. 3 is modified to further include an inductive coil 80 to which RF power is coupled via an RF generator 82 through an impedance match network 84 .
  • RF power is inductively coupled from the inductive coil 80 through a dielectric window (not shown) to the plasma-processing region 45 .
  • a typical frequency for the application of RF power to the inductive coil 80 ranges from 10 MHz to 100 MHz and is preferably 13.56 MHz.
  • a typical frequency for the application of power to the chuck electrode ranges from 0.1 MHz to 30 MHz and is preferably 13.56 MHz.
  • a slotted Faraday shield (not shown) can be employed to reduce capacitive coupling between the inductive coil 80 and plasma.
  • the controller 55 is coupled to the RF generator 82 and the impedance match network 84 in order to control the application of power to the inductive coil 80 .
  • the plasma is formed using electron cyclotron resonance (ECR).
  • ECR electron cyclotron resonance
  • the plasma is formed from the launching of a Helicon wave.
  • the plasma is formed from a propagating surface wave.
  • FIG. 6A shows a plasma processing system according to an alternate embodiment of the present invention.
  • the plasma processing system of FIG. 6 is modified to contain a grounded chuck electrode 20 .
  • a DC bias can be applied to the chuck electrode 20 .
  • FIG. 6B shows a plasma processing system according to an alternate embodiment of the present invention.
  • the plasma processing system 1 of FIG. 6 is modified to contain a chuck electrode 20 that is electrically isolated from the plasma processing system 1 .
  • a floating potential can be formed on the chuck electrode 20 and on the substrate 25 when the plasma is on.
  • FIG. 7 shows a flowchart illustrating wet etching of a modified layer of high-k material.
  • step 700 the process is started.
  • step 702 a modified layer of high-k material overlying a substrate is provided to a wet etch system.
  • step 704 the modified layer of high-k material is exposed to a wet etch fluid.
  • the etch fluid may be an acid such as sulfuric acid.
  • step 706 the modified layer of high-k material is etched.
  • the substrate is rinsed with deionized water and dried in step 708 , and the process is ended in step 710 .
  • the wet etching can be performed in a conventional cleaning or wet etching chamber, either operatively coupled to or within processing chamber 10 .
  • a test structure was used that comprised patterned Si gate electrodes and a HfO 2 dielectric layer (50 ⁇ thick) overlying a Si substrate.
  • the wet etch rate of the HfO 2 layer in hot sulfuric acid was about 2-3 A/hr. Removal of a HfO 2 layer that requires long wet etch runs, can introduce problems such as erosion of the interface between the HfO 2 layer and the gate electrode.
  • a plasma modifying step was performed on the above test structure in a capacitively coupled plasma process chamber, using a process gas comprising HBr and He gases.
  • the substrate temperature was maintained at 80° C. and the chamber pressure was 12 mTorr.
  • the test structure was exposed to the plasma for about 12 sec, which resulted in a modified HfO 2 layer with a thickness of about 5 A.
  • the modified HfO 2 layer wet etched in hot sulfuric acid at a rate of about 2-3 A/min, thereby showing greatly enhanced rate of removal when compared to a HfO 2 layer that was not subjected to the plasma modifying step.
  • the wet etch step showed good selectivity of the HfO 2 dielectric layer to the source/drain regions.
  • d1 is the initial HfO 2 layer thickness
  • d2 is the thickness of the plasma treated HfO 2 layer
  • d3 is the thickness of the remaining HfO 2 layer following the wet etch of the plasma treated HfO 2 layer.
  • T ESC is the temperature of the electrostatic chuck upon which the wafer is positioned
  • Upper/lower power is the RF power applied to the upper and lower electrodes, respectively
  • P is the chamber pressure
  • Ar flow is the flow of Ar gas in the process chamber during the plasma treatment.
  • the Ar gas flow further comprised 10 sccm of CF 4 in Runs 1 and 2, to keep the high-k surface clean from quartz contamination during the plasma treatment.
  • the spacing between the upper and lower electrodes is denoted by G, and Time is the length of the plasma treatment.
  • the frequency for the RF bias on the upper electrode was 60 MHz, and 13.56 MHz on the lower electrode.
  • the wet etch step was carried out in dilute HF.
  • Runs 5 and 6 the plasma treatment process was also run in RIE mode, but using a higher Ar gas flow than in Runs 3 and 4.
  • the higher Ar gas flows lead to sputtering of the HfO 2 layer with a sputtering rate greater than about 200 A during the plasma treatment.
  • the remainder of the plasma treated HfO 2 layer was effectively removed during the wet etch step.
  • the HfO 2 layer residual left on the wafer after the plasma treatment in Run 5 is thought to be Hf-rich, and therefore the true residual layer thickness d3 is expected to be less than the measured 7.5 A.

Abstract

A method and system are disclosed for modifying a layer of high-k material using a plasma process. The plasma process leads to enhanced removal rates of the modified high-k dielectric material using wet etching. The plasma process modifies the layer of high-k material through exposure to the plasma, where the plasma can comprise inert gases and/or reactive gases. The plasma treatment can be implemented as a step performed at the end of a gate-electrode etch process, or as a step at the end of a spacer-etch process.

Description

  • This application is based on and claims the benefit of U.S. Provisional Application No. 60/406,031, filed Aug. 27, 2002, the entire contents of which are incorporated herein by reference.[0001]
  • FIELD OF THE INVENTION
  • The present invention is related to removal of materials from a substrate, particularly to removal of high-k dielectric layers from a semiconductor substrate. [0002]
  • BACKGROUND OF THE INVENTION
  • In the semiconductor industry, the minimum feature sizes of microelectronic devices are approaching the deep sub-micron regime to meet the demand for faster, lower power microprocessors and digital circuits. Process development and integration issues are key challenges for new gate stack materials and silicide processing, with the imminent replacement of SiO[0003] 2 and Si-oxynitride (SiNxOy) with high-permittivity dielectric materials (also referred to herein as “high-k” materials), and the use of alternative gate electrode materials to replace doped poly-Si in sub-0.1 μm complementary metal-oxide semiconductor (CMOS) technology.
  • Dielectric materials featuring a dielectric constant greater than that of SiO[0004] 2 (k˜3.9) are commonly referred to as high-k materials. In addition, high-k materials may refer to dielectric materials that are deposited onto substrates (e.g., HfO2, ZrO2) rather than grown on the surface of the substrate (e.g., SiO2, SiNxOy). High-k materials may incorporate metallic silicates or oxides (e.g., Ta2O5 (k˜26), TiO2 (k˜80), ZrO2 (k˜25), Al2O3 (k˜9), HfSiO, HfO2 (k˜25)). During the manufacturing of semiconductor devices, the high-k layers must be etched and removed in order to allow silicidation for the source/drain regions, and to reduce the risk of metallic impurities being implanted into the source/drain regions during ion implantation.
  • SUMMARY OF THE INVENTION
  • The present invention relates to a plasma process to modify a high-k dielectric layer through exposure of the high-k layer to the plasma, resulting in a modified layer that etches efficiently using wet etch processes. [0005]
  • The plasma process can comprise an inert gas and/or a reactive gas mixture, and the process can be implemented in-situ, as an additional step performed at the end of a gate-electrode etching process, or as an additional step added at the end of a spacer-etch process.[0006]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • A more complete appreciation of the invention and many of the attendant advantages thereof will become readily apparent with reference to the following detailed description, particularly when considered in conjunction with the accompanying drawings, in which: [0007]
  • FIG. 1 shows a flowchart illustrating a method of modifying a layer of high-k material according to the present invention; [0008]
  • FIGS. 2[0009] a-2 c show a schematic cross-sectional representation of the steps of modifying and removing a layer high-k dielectric material according to the present invention;
  • FIG. 3 shows a plasma processing system according to a preferred embodiment of the present invention; [0010]
  • FIG. 4 shows a plasma processing system according to an alternate embodiment of the present invention; [0011]
  • FIG. 5 shows a plasma processing system according to an alternate embodiment of the present invention; [0012]
  • FIG. 5A shows a plasma processing system according to an alternate embodiment of the present invention; [0013]
  • FIG. 5B shows a plasma processing system according to an alternate embodiment of the present invention; [0014]
  • FIG. 6 shows a plasma processing system according to an alternate embodiment of the present invention; [0015]
  • FIG. 6A shows a plasma processing system according to an alternate embodiment of the present invention; [0016]
  • FIG. 6B shows a plasma processing system according to an alternate embodiment of the present invention; and [0017]
  • FIG. 7 shows a flowchart illustrating wet etching of a modified layer of high-k material.[0018]
  • DETAILED DESCRIPTION OF THE EMBODIMENTS
  • In one embodiment of the invention, a plasma processing system uses an inert gas to modify a high-k dielectric layer by exposure to the plasma region. The inert gas species in the process gas can be selected from the group of noble gases He, Ne, Ar, Kr, and Xe, or other gases that are non-reactive towards a high-k layer in a plasma environment. The gas-phase plasma ions bombard and modify the high-k layer, but the ions are physically prevented from attacking the underlying Si layer by the high-k layer. In a separate wet etch step following the plasma process, the plasma modified high-k layers etch faster than high-k layers that were not subject to the plasma treatment. [0019]
  • In an alternate embodiment, the disclosed plasma treatment employs a reactive plasma that chemically reacts with the high-k layer, and the ions have sufficient energy to effectively disrupt and/or thin the high-k layer so that a wet etching process is able to efficiently remove the disrupted (modified) high-k layer. When a reactive plasma is used to modify the high-k layer, the process gas and plasma conditions are selected such that the patterned gate-conductor features and other materials may not be etched or damaged. For example, the process gas can comprise HBr or HCl, and an inert gas such as He. [0020]
  • In a patterned etch process, where fine features are defined by a photoresist or a hard mask, the modification of the exposed high-k layer is substantially anisotropic due to ion bombardment that is parallel to the surface normal. As a result, the increase in the wet etch rate of the modified high-k layer is anisotropic. In other words, the result of the plasma modification of the high-k layer and the following wet etch step is anisotropic etching and removal of the exposed high-k layer. [0021]
  • In the manufacturing of semiconductor devices, the plasma treatment of the high-k layer gas according to the present invention can be carried out at different stages during the patterning process. For example, the plasma treatment can be added to the end of a normal gate etch process recipe, or added to a standard spacer-etch process. [0022]
  • For example, a sequence for forming a gate electrode that is defined by a hard mask can comprise: 1) “break-through”, that etches through the hard mask; 2) “main-etch”, that forms the electrode features; and 3) “over-etch”, that etches and removes the (high-k) dielectric layer overlying the Si substrate. Etch step 3) that removes the high-k layer, frequently involves the use aggressive halogen containing precursors that have very low selectivity towards etching Si. These precursors (e.g., CF[0023] 4 or HBr in the presence of O2) often necessitate the use of elevated substrate temperature to increase the volatility of the etch byproducts and the use of these precursors may furthermore require a large physical etch component and polymer formation to achieve adequate etch selectivity. The increased temperatures, in turn, can force a move from photoresist masking to hard masks. Consequently, there is a risk of damaging the underlying Si layer if the etch process is not promptly terminated when the high-k layer has been removed.
  • The above plasma etch step 3) can be replaced by a plasma modifying/thinning step, where the ions in the plasma bombard and modify the high-k layer without completely removing it. In a reactive plasma process, the processing gas can comprise HBr or HCl, and an inert gas. The wet etch process can comprise hot sulfuric acid, resulting in removal of the high-k layer by a standard wet clean process. Since the high-k layer is not traversed during the modifying/thinning step, the likelihood of damage occurring to the underlying Si layer is reduced. If the thinning step is carried out for too long, the high-k layer is traversed, resulting in damage to the underlying Si layer. [0024]
  • The exact effect of the plasma treatment on the high-k layer is currently not known. However, the plasma treatment may increase the amorphous content of the high-k layer and possibly breaks chemical bonds that create atomic fragments in the high-k layer. In addition to using inert gases the disclosed plasma treatment can utilize reactive gases, where the ion energy is adequate to disrupt the atomic structure of the high-k layer in such a way that the subsequent wet-etching process is able to remove the modified high-k layer. When using a reactive plasma, the process conditions can be selected such that the existing gate-conductor features are not etched. [0025]
  • The plasma treatment of the high-k layer can be incorporated into manufacturing of semiconductor devices by carrying out the plasma treatment at the end of the spacer-etch process. Sidewall spacers are used to achieve isolation between the gate and source/drain regions, as well as to facilitate fabrication of self-aligned, drain-engineered dopant structures. Sometimes, it is desirable to have the high-k layer remaining on the source/drain region while performing the spacer-etch process, so that the plasma environment is exposed to the “sacrificial” high-k material instead of the Si. After the spacer is formed, plasma treatment of the high-k layer according to the current invention is performed in-situ to modify the high-k layer and facilitate fast wet etching of the high-k layer. [0026]
  • The additional plasma treatment step can be added onto the end of the gate-electrode etching process, or the additional plasma treatment step can be added onto the end of the spacer-etch process. Advantageously, the invention can be performed in a separate process chamber or on a separate plasma etch tool. [0027]
  • After the plasma etch step, the wafer can be wet-etched using standard wet etching methods to remove the high-k layer. Then an ion implant process step forms the source/drain regions without the presence of a high-k layer on the silicon surface, which alleviates the risk of a knock-on implantation of impurities from the high-k layer into the source/drain regions. An additional benefit is that the high-k removal will not inhibit the silicidation of the source/drain regions, a process step that is extremely sensitive to interfacial silicon surface layers. [0028]
  • Modification of high-k materials using phosphorous ion implantation, has shown significant increase in wet etch rates of the ion implanted high-k material. However, this requires the incident ion kinetic energy to be significantly reduced from the normal implant kinetic energy. This can be explained through the reduced collision cross-section coupled with the increased wafer surface temperature by high kinetic energy ions. In other words, ions with kinetic energy in the range of a standard ion-implant process have much reduced collision cross section with the high-k layer, and as a result, the incident ions simply pass through the thin (e.g., 3 nm to 5 nm) high-k layers without causing significant bond-breaking collisions. Also, high-energy implants heat up the wafer and the increased wafer temperature can anneal and repair the broken bonds and thereby significantly restore the initial properties of the high-k layer. [0029]
  • FIG. 1 shows a flowchart illustrating a method of modifying a layer of high-k material according to the present invention. The method uses a plasma process to modify the high-k layer for the following wet etch process that removes the modified high-k layer from the substrate. In [0030] step 100, the process is started. In step 102, a layer is provided having a high-k material overlying a substrate, and the substrate is positioned in a plasma processing chamber. In step 104, a process gas comprising an inert gas and/or a reactive gas is introduced into the plasma processing chamber, and a plasma is started. In step 106, the layer of high-k material is exposed to the plasma, and the layer is modified due to ion bombardment in the plasma. When the process in step 106 has been carried out for the desired amount of time to modify the high-k layer, the process is ended in step 108.
  • FIGS. 2[0031] a-2 c show a schematic cross-sectional representation of the steps of modifying and removing a layer high-k dielectric material. FIG. 2a shows a partially completed structure 200 comprising a high-k dielectric layer 204 overlying a semiconductor substrate 202, etched gate electrode features 206 and hard mask or photoresist layer 208 defining the patterned structure. FIG. 2b shows the partially completed structure 200 from FIG. 2a following a plasma etch process. A modified high-k layer 210 is formed on the horizontal surface that is exposed to the plasma. The structure 200 in FIG. 2b is further processed using standard wet cleaning methods that are well known in the art to form the structure 200 in FIG. 2c where the modified high-k layer and the hard mask (or photoresist layer) have been removed and the structure is ready for further processing to form a semiconductor device.
  • Low selectivity of high-k dielectrics to SiO[0032] 2, can be problematic when attempting to clear high-k layers overlying Si and SiO2 regions simultaneously. Over-etching high-k dielectrics can lead to excessive removal of SiO2 from the isolation regions of a device. Therefore, integration of high-k dielectrics may require the use of new etch processes with high selectivity to SiO2. The suggested disruption of the molecular structure of the high-k layers during-exposure to the plasma allows for a greater choice of wet etch chemistries, that have high etch selectivity of high-k materials to Si and SiO2.
  • FIG. 3 shows a plasma processing system according to a preferred embodiment of the present invention. In FIGS. [0033] 3-6, like reference numbers are used to indicate like elements throughout. A plasma processing system 1 that is capable of sustaining a plasma is depicted in FIG. 3, which includes a plasma process chamber 10 configured to facilitate the generation of plasma in processing region 45. The plasma processing system 1 further comprises a substrate holder 20, upon which a substrate 25 to be processed is affixed, and a gas injection system 40 for introducing process gases 42 to the plasma process chamber 10, and a vacuum pumping system 50. The gas injection system 40 allows independent control over the delivery of process gases to the process chamber from ex-situ gas sources.
  • An ionizable gas or mixture of gases is introduced via the [0034] gas injection system 40 and the process pressure is adjusted. For example, conroller 55 is used to control the vacuum pumping system 50 and gas injection system 40. Desirably, plasma is utilized to create materials specific to a predetermined materials process, and to aid either the deposition of material to a substrate 25 or the removal of material from the exposed surfaces of the substrate 25.
  • [0035] Substrate 25 is transferred into and out of chamber 10 through a slot valve (not shown) and chamber feed-through (not shown) via robotic substrate transfer system where it is received by substrate lift pins (not shown) housed within substrate holder 20 and mechanically translated by devices housed therein. Once the substrate 25 is received from the substrate transfer system, it is lowered to an upper surface of the substrate holder 20.
  • In an alternate embodiment, the [0036] substrate 25 is affixed to the substrate holder 20 via an electrostatic clamp (not shown). Furthermore, the substrate holder 20 further includes a cooling system including a re-circulating coolant flow that receives heat from the substrate holder 20 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system. Moreover, gas may be delivered to the backside of the substrate to improve the gas-gap thermal conductance between the substrate 25 and the substrate holder 20. Such a system is utilized when temperature control of the substrate is required at elevated or reduced temperatures. For example, temperature control of the substrate may be useful at temperatures in excess of the steady-state temperature achieved due to a balance of the heat flux delivered to the substrate 25 from the plasma and the heat flux removed from substrate 25 by conduction to the substrate holder 20. In other embodiments, heating elements, such as resistive heating elements, or thermo-electric heaters/coolers are included.
  • In the embodiment, shown in FIG. 3, the [0037] substrate holder 20 can further serve as an electrode through which radio frequency (RF) power is coupled to plasma in the processing region 45. For example, the substrate holder 20 can be electrically biased at a RF voltage via the transmission of RF power from an RF generator 30 through an impedance match network 32 to the substrate holder 20. The RF bias serves to heat electrons and, thereby, form and maintain plasma. In this configuration, the system operates as a RIE reactor, wherein the chamber and upper gas injection electrode serve as ground surfaces. A typical frequency for the RF bias ranges from 1 MHz to 100 MHz and is preferably 13.56 MHz.
  • In an alternate embodiment, RF power can be applied to the substrate holder electrode at multiple frequencies. Furthermore, the [0038] impedance match network 32 serves to maximize the transfer of RF power to plasma in processing chamber 10 by minimizing the reflected power. Match network topologies (e.g., L-type, π-type, T-type) and automatic control methods are known in the art.
  • With continuing reference to FIG. 3, a [0039] process gas 42 is introduced to the processing region 45 through the gas injection system 40. Gas injection system 40 can include a showerhead, wherein the process gas 42 is supplied from a gas delivery system (not shown) to the processing region 45 through a gas injection plenum (not shown), a series of baffle plates (not shown) and a multi-orifice showerhead gas injection plate (not shown).
  • [0040] Vacuum pump system 50 can include a turbo-molecular vacuum pump (TMP) capable of a pumping speed up to 5000 liters per second (and greater), and a gate valve for throttling the chamber pressure. In conventional plasma processing devices utilized for dry plasma etch, a 1000 to 3000 liter per second TMP is employed. TMPs are useful for low pressure processing, typically less than 50 mTorr. For high pressure processing (i.e. greater than 100 mTorr), a mechanical booster pump and dry roughing pump are used.
  • A [0041] controller 55 includes a microprocessor, a memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to the plasma processing system 1 as well as monitor outputs from the plasma processing system 1. Moreover, the controller 55 is coupled to and exchanges information with the RF generator 30, the impedance match network 32, the gas injection system 40, plasma monitor system 57, and the vacuum pump system 50. A program stored in the memory is utilized to control the aforementioned components of a plasma processing system 1 according to a stored process recipe. One example of controller 55 is a digital signal processor (DSP); model number TMS320, available from Texas Instruments, Dallas, Tex.
  • The [0042] plasma monitor system 57 can comprise, for example, an optical emission spectroscopy (OES) system to measure excited particles in the plasma environment and/or a plasma diagnostic system, such as a Langmuir probe, for measuring plasma density. The plasma monitor system 57 can be used with controller 55 to determine the status of the etching process and provide feedback to ensure process compliance. Alternately, plasma monitor system 57 can comprise a microwave and/or a RF diagnostic system.
  • FIG. 4 shows a plasma processing system according to an alternate embodiment of the present invention. The [0043] plasma processing system 1 of FIG. 4 further includes either a mechanically or electrically rotating DC magnetic field system 60, in order to potentially increase plasma density and/or improve plasma processing uniformity, in addition to those components described with reference to FIG. 3. Moreover, the controller 55 is coupled to the rotating magnetic field system 60 in order to regulate the speed of rotation and field strength.
  • FIG. 5 shows a plasma processing system according to an alternate embodiment of the present invention. The [0044] plasma processing system 1 of FIG. 5 further includes an upper plate electrode 70 to which RF power is coupled from an RF generator 72 through an impedance match network 74. A typical frequency for the application of RF power to the upper electrode ranges from 10 MHz to 200 MHz and is preferably 60 MHz. Additionally, a typical frequency for the application of power to the lower electrode ranges from 0.1 MHz to 30 MHz and is preferably 2 MHz. Moreover, the controller 55 is coupled to the RF generator 72 and the impedance match network 74 in order to control the application of RF power to the upper electrode 70.
  • FIG. 5A shows a plasma processing system according to an alternate embodiment of the present invention. The [0045] plasma processing system 1 in FIG. 5 is modified to contain a grounded lower electrode 20. In an alternate embodiment, a DC bias can be applied to the lower electrode 20.
  • FIG. 5B shows a plasma processing system according to an alternate embodiment of the present invention. The [0046] plasma processing system 1 in FIG. 5 is modified to contain a lower electrode 20 that is electrically isolated from the plasma processing system 1. In this setup, a floating potential can be formed on the lower electrode 20 and on the substrate 25 when the plasma is on.
  • FIG. 6 shows a plasma processing system according to an alternate embodiment of the present invention. The plasma processing system of FIG. 3 is modified to further include an [0047] inductive coil 80 to which RF power is coupled via an RF generator 82 through an impedance match network 84. RF power is inductively coupled from the inductive coil 80 through a dielectric window (not shown) to the plasma-processing region 45. A typical frequency for the application of RF power to the inductive coil 80 ranges from 10 MHz to 100 MHz and is preferably 13.56 MHz. Similarly, a typical frequency for the application of power to the chuck electrode ranges from 0.1 MHz to 30 MHz and is preferably 13.56 MHz. In addition, a slotted Faraday shield (not shown) can be employed to reduce capacitive coupling between the inductive coil 80 and plasma. Moreover, the controller 55 is coupled to the RF generator 82 and the impedance match network 84 in order to control the application of power to the inductive coil 80.
  • In an alternate embodiment, the plasma is formed using electron cyclotron resonance (ECR). In yet another embodiment, the plasma is formed from the launching of a Helicon wave. In yet another embodiment, the plasma is formed from a propagating surface wave. [0048]
  • FIG. 6A shows a plasma processing system according to an alternate embodiment of the present invention. The plasma processing system of FIG. 6 is modified to contain a grounded [0049] chuck electrode 20. In an alternate embodiment, a DC bias can be applied to the chuck electrode 20.
  • FIG. 6B shows a plasma processing system according to an alternate embodiment of the present invention. The [0050] plasma processing system 1 of FIG. 6 is modified to contain a chuck electrode 20 that is electrically isolated from the plasma processing system 1. In this setup, a floating potential can be formed on the chuck electrode 20 and on the substrate 25 when the plasma is on.
  • FIG. 7 shows a flowchart illustrating wet etching of a modified layer of high-k material. In [0051] step 700 the process is started. In step 702, a modified layer of high-k material overlying a substrate is provided to a wet etch system. In step 704 the modified layer of high-k material is exposed to a wet etch fluid. The etch fluid may be an acid such as sulfuric acid. In step 706 the modified layer of high-k material is etched. When the process in step 706 has been carried out for the desired amount of time to etch the high-k layer, the substrate is rinsed with deionized water and dried in step 708, and the process is ended in step 710.
  • The wet etching can be performed in a conventional cleaning or wet etching chamber, either operatively coupled to or within [0052] processing chamber 10.
  • The following examples are provided to further illustrate embodiments of the present invention and are not intended to restrict the scope of the invention. [0053]
  • EXAMPLE Removal of a HfO2 Dielectric Layer
  • A test structure was used that comprised patterned Si gate electrodes and a HfO[0054] 2 dielectric layer (50 Å thick) overlying a Si substrate. In the absence of a plasma modifying step, the wet etch rate of the HfO2 layer in hot sulfuric acid, was about 2-3 A/hr. Removal of a HfO2 layer that requires long wet etch runs, can introduce problems such as erosion of the interface between the HfO2 layer and the gate electrode.
  • Alternatively, a plasma modifying step was performed on the above test structure in a capacitively coupled plasma process chamber, using a process gas comprising HBr and He gases. The substrate temperature was maintained at 80° C. and the chamber pressure was 12 mTorr. The test structure was exposed to the plasma for about 12 sec, which resulted in a modified HfO[0055] 2 layer with a thickness of about 5 A. Following the plasma process, the modified HfO2 layer wet etched in hot sulfuric acid at a rate of about 2-3 A/min, thereby showing greatly enhanced rate of removal when compared to a HfO2 layer that was not subjected to the plasma modifying step. Furthermore, the wet etch step showed good selectivity of the HfO2 dielectric layer to the source/drain regions.
  • In another example of the current invention, different plasma parameters were used plasma treat a HfO[0056] 2 layer, before wet etching the modified HfO2 layer. The results are shown in Table I. In setup, a plasma was generated in the process chamber by RF powering the upper electrode and keeping the lower electrode at a floating potential by electrically insulating the lower electrode from the processing system. In this setup, a floating potential created in the lower electrode is thought to induce a strong electronic (E) field across the HfO2 layer, thereby modifying the HfO2 layer. We speculate that the HfO2 layer is possibly modified by diffusion of electronegative species (e.g., O) from the HfO2 layer to underlying Si substrate. In another setup, the plasma processing system was run in RIE mode, where a plasma was generated by RF powering the lower electrode while keeping the upper electrode at a floating potential.
    TABLE I
    d1 TESC Upper/lower P Ar flow G Time d2 d3
    Run (Å) (° C.) power (W) (m Torr) (sccm) (mm) (sec) (Å) (Å)
    1 119 80 400/0 100 112 90 20 119.4 113.1
    2 119 80 400/0 100 112 90 60 120.2 113.1
    3 118 80  0/400 100 112 90 20 120.1 99.2
    4 119 80  0/400 100 112 90 60 118.7 92.6
    5 71.6 30  0/600 30 560 80 80 20.5 3.7
    6 72.1 30  0/400 30 560 80 80 7.5 2.0
  • In Table I, d1 is the initial HfO[0057] 2 layer thickness, d2 is the thickness of the plasma treated HfO2 layer, and d3 is the thickness of the remaining HfO2 layer following the wet etch of the plasma treated HfO2 layer. TESC is the temperature of the electrostatic chuck upon which the wafer is positioned, Upper/lower power is the RF power applied to the upper and lower electrodes, respectively, P is the chamber pressure, and Ar flow is the flow of Ar gas in the process chamber during the plasma treatment. The Ar gas flow further comprised 10 sccm of CF4 in Runs 1 and 2, to keep the high-k surface clean from quartz contamination during the plasma treatment. In Table I, the spacing between the upper and lower electrodes is denoted by G, and Time is the length of the plasma treatment. The frequency for the RF bias on the upper electrode was 60 MHz, and 13.56 MHz on the lower electrode. The wet etch step was carried out in dilute HF.
  • In [0058] Runs 1 and 2, RF power was applied to the upper electrode but the lower electrode was at a floating potential. These process condition are thought to result in minor ion-bombardment of the high-k layer from the plasma environment, as seen by the lack of removal of the HfO2 layer during the plasma treatment. However, the plasma treatment in Runs 1 and 2 is thought to results in E-field damage that modifies the high-k layer, as evidenced by removal of about 6 A (5%) of the HfO2 layer during the wet etch step. The E-field damage to the HfO2 layer appears to saturate, since the same wet etch behavior was observed for the 20 sec and 60 sec plasma treatment runs.
  • In Runs 3 and 4, the plasma treatment process was carried out in RIE mode, where power was applied to the lower electrode but the upper electrode was at a floating potential. These process conditions did not lead to significant removal of HfO[0059] 2 during the plasma treatment, but the runs of 20 sec and 60 sec, lead to removal of 19 A and 26 A of HfO2, respectively, during the following wet etch step.
  • In Runs 5 and 6, the plasma treatment process was also run in RIE mode, but using a higher Ar gas flow than in Runs 3 and 4. The higher Ar gas flows lead to sputtering of the HfO[0060] 2 layer with a sputtering rate greater than about 200 A during the plasma treatment. The remainder of the plasma treated HfO2 layer was effectively removed during the wet etch step. The HfO2 layer residual left on the wafer after the plasma treatment in Run 5 is thought to be Hf-rich, and therefore the true residual layer thickness d3 is expected to be less than the measured 7.5 A.
  • Numerous modifications and variations of the present invention are possible in light of the above teachings. It is therefore to be understood that, within the scope of the appended claims, the invention may be practiced otherwise than as specifically described herein. [0061]

Claims (36)

What is claimed is:
1. A method of processing a layer containing a high-permittivity material in a plasma processing system, the method comprising:
providing a layer containing a high-permittivity material overlying a substrate;
modifying the layer containing the high-permittivity material by exposing the layer to a plasma; and
wet etching to remove the modified layer containing the high-permittivity material.
2. The method as claimed in claim 1, wherein the modifying partially removes the layer containing the high-permittivity material.
3. The method as claimed in claim 1, wherein the modifying partially disassociates the layer containing the high-permittivity material.
4. The method according to claim 1, wherein the modifying comprises introducing a process gas into a plasma chamber and creating the plasma, the process gas comprising a reactive gas.
5. The method according to claim 4, wherein the reactive gas comprises at least one of HBr and HCl.
6. The method according to claim 4, wherein the process gas further comprises an inert gas.
7. The method according to claim 6, wherein the inert gas is selected from He, Ne, Ar, Kr, Xe, or mixtures thereof.
8. The method according to claim 1, wherein the modifying comprises introducing a process gas into a plasma chamber and creating the plasma, the process gas comprising an inert gas.
9. The method according to claim 8, wherein the inert gas is selected from He, Ne, Ar, Kr, Xe, or mixtures thereof.
10. The method according to claim 1, wherein the high-permittivity material comprises at least one of Ta2O5, TiO2, ZrO2, Al2O3, HfSiO, and HfO2.
11. The method according to claim 1, wherein the modifying further comprises RF powering a substrate holder that exposes the substrate containing the high-permittivity material to the plasma.
12. The method according to claim 1, wherein the modifying further comprises grounding a substrate holder that exposes the substrate containing the high-permittivity material to the plasma.
13. The method according to claim 1, wherein the modifying further comprises applying a DC bias to a substrate holder that exposes the substrate containing the high-permittivity material to the plasma.
14. The method according to claim 1, wherein the modifying further comprises electrically isolating a substrate holder from the plasma processing system, the substrate holder exposing the substrate containing the high-permittivity material to the plasma.
15. A method of processing a layer containing a high-permittivity material in a plasma processing system, the method comprising:
providing a layer containing a high-permittivity material overlying a substrate;
introducing a process gas into a plasma processing chamber and creating a plasma;
modifying the layer containing the high-permittivity material by exposing the layer to the plasma; and
removing the modified layer containing the high-permittivity material using wet etching.
16. A method of processing a layer containing a high-permittivity material in a plasma processing system, the method comprising:
providing a layer containing a high-permittivity material overlying a substrate;
introducing a process gas into a plasma processing chamber and creating a plasma;
anisotropically modifying the layer containing the high-permittivity material in accordance with a pattern by exposing the layer to the plasma; and
removing the layer containing a high-permittivity material using wet etching.
17. A plasma processing system comprising:
a process chamber capable of sustaining a plasma;
a gas injection system configured to inject a process gas into the process chamber;
a plasma source configured to create plasma from said process gas;
a substrate holder that exposes a substrate comprising a layer of high-permittivity materials to the plasma, thereby modifying the layer;
a controller that controls the plasma processing system; and
a wet cleaning chamber disposed in or operatively coupled to said process chamber.
18. The system according to claim 17, wherein the plasma source comprises an inductive coil.
19. The system according to claim 17, wherein the plasma source comprises a plate electrode.
20. The system according to claim 17, wherein the plasma source comprises an antenna.
21. The system according to claim 17, wherein the plasma source comprises an ECR source.
22. The system according to claim 17, wherein the plasma source comprises a Helicon wave source.
23. The system according to claim 17, wherein the plasma source comprises a surface wave source.
24. The system according to claim 17, wherein the process gas comprises a reactive gas.
25. The system according to claim 24, wherein the reactive gas comprises at least one of HBr and HCl.
26. The system according to claim 24, wherein the process gas further comprises an inert gas.
27. The system according to claim 26, wherein the inert gas is selected from He, Ne, Ar, Kr, Xe, or mixtures thereof.
28. The system according to claim 17, wherein the process gas comprises an inert gas.
29. The system according to claim 28, wherein the inert gas is selected from He, Ne, Ar, Kr, Xe, or mixtures thereof.
30. The system according to claim 17, wherein the high-permittivity material comprises at least one of Ta2O5, TiO2, ZrO2, Al2O3, HfSiO, and HfO2.
31. The system according to claim 17, wherein said wet cleaning chamber is operatively coupled to said process chamber.
32. The system according to claim 17, wherein said wet cleaning chamber is disposed in said process chamber.
33. The system according to claim 17, wherein the substrate holder is RF powered.
34. The system according to claim 17, wherein the substrate holder is grounded.
35. The system according to claim 17, wherein a DC bias is applied to the substrate holder.
36. The system according to claim 17, wherein the substrate holder is electrically isolated from the plasma processing system.
US10/644,957 2002-08-27 2003-08-21 Method and system to enhance the removal of high-k dielectric materials Abandoned US20040129674A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/644,957 US20040129674A1 (en) 2002-08-27 2003-08-21 Method and system to enhance the removal of high-k dielectric materials

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US40603102P 2002-08-27 2002-08-27
US10/644,957 US20040129674A1 (en) 2002-08-27 2003-08-21 Method and system to enhance the removal of high-k dielectric materials

Publications (1)

Publication Number Publication Date
US20040129674A1 true US20040129674A1 (en) 2004-07-08

Family

ID=31978257

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/644,957 Abandoned US20040129674A1 (en) 2002-08-27 2003-08-21 Method and system to enhance the removal of high-k dielectric materials

Country Status (4)

Country Link
US (1) US20040129674A1 (en)
JP (1) JP2005537668A (en)
AU (1) AU2003269995A1 (en)
WO (1) WO2004021409A2 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040110375A1 (en) * 2002-09-27 2004-06-10 Tokyo Electron Limited Method and system for etching high-k dielectric materials
US20040203246A1 (en) * 2003-04-14 2004-10-14 Arvind Kamath High k gate insulator removal
US20050014380A1 (en) * 2003-07-18 2005-01-20 Yoshitaka Kai Plasma processing method and apparatus
US20050064716A1 (en) * 2003-04-14 2005-03-24 Hong Lin Plasma removal of high k metal oxide
US20050244726A1 (en) * 2001-05-31 2005-11-03 Kabushiki Kaisha Toshiba Manufacturing method for exposure mask, generating method for mask substrate information, mask substrate, exposure mask, manufacturing method for semiconductor device and server
US20060068603A1 (en) * 2004-09-30 2006-03-30 Tokyo Electron Limited A method for forming a thin complete high-permittivity dielectric layer
US20060273072A1 (en) * 2005-06-01 2006-12-07 Lam Research Corporation Tungsten silicide etch process with reduced etch rate micro-loading
WO2007046546A1 (en) * 2005-10-20 2007-04-26 Interuniversitair Micro-Elektronica Centrum Vzw A method for fabricating a high-k dielectric layer
US20090053905A1 (en) * 2007-08-20 2009-02-26 Hynix Semiconductor Inc. Method of forming dielectric layer of semiconductor memory device
US20090253268A1 (en) * 2008-04-03 2009-10-08 Honeywell International, Inc. Post-contact opening etchants for post-contact etch cleans and methods for fabricating the same
CN102064103A (en) * 2010-12-02 2011-05-18 上海集成电路研发中心有限公司 High-k gate dielectric layer manufacture method
US20110175176A1 (en) * 2010-01-20 2011-07-21 International Business Machines Corporation High-k transistors with low threshold voltage
US10460953B2 (en) * 2017-04-25 2019-10-29 Hitachi High-Technologies Corporation Semiconductor manufacturing apparatus for manufacturing a semiconductor device having a high-K insulating film, and a method for manufacturing the semiconductor device
US11380523B2 (en) 2019-02-14 2022-07-05 Hitachi High-Tech Corporation Semiconductor manufacturing apparatus

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10237696B3 (en) 2002-08-15 2004-04-15 Infineon Technologies Ag Transmission fault detection method, for two-wire differential signal transmission line, continually monitoring average voltage of the two line signals to detect any sudden jumps
US7037845B2 (en) * 2003-08-28 2006-05-02 Intel Corporation Selective etch process for making a semiconductor device having a high-k gate dielectric
US7115530B2 (en) * 2003-12-03 2006-10-03 Texas Instruments Incorporated Top surface roughness reduction of high-k dielectric materials using plasma based processes

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US43340A (en) * 1864-06-28 Improved leather-paper for floor-cloths
US104706A (en) * 1870-06-28 Improved device for tendering or chopping meat
US4690728A (en) * 1986-10-23 1987-09-01 Intel Corporation Pattern delineation of vertical load resistor
US4940509A (en) * 1988-03-25 1990-07-10 Texas Instruments, Incorporated Isotropic etchant for capped silicide processes
US20010003271A1 (en) * 1999-12-10 2001-06-14 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6258608B1 (en) * 1999-01-20 2001-07-10 Samsung Electronics Co., Ltd. Method for forming a crystalline perovskite ferroelectric material in a semiconductor device
US6536449B1 (en) * 1997-11-17 2003-03-25 Mattson Technology Inc. Downstream surface cleaning process
US6579809B1 (en) * 2002-05-16 2003-06-17 Advanced Micro Devices, Inc. In-situ gate etch process for fabrication of a narrow gate transistor structure with a high-k gate dielectric
US6656852B2 (en) * 2001-12-06 2003-12-02 Texas Instruments Incorporated Method for the selective removal of high-k dielectrics
US6764898B1 (en) * 2002-05-16 2004-07-20 Advanced Micro Devices, Inc. Implantation into high-K dielectric material after gate etch to facilitate removal
US6806095B2 (en) * 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US6818553B1 (en) * 2002-05-15 2004-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Etching process for high-k gate dielectrics

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5868854A (en) * 1989-02-27 1999-02-09 Hitachi, Ltd. Method and apparatus for processing samples
US6667246B2 (en) * 2001-12-04 2003-12-23 Matsushita Electric Industrial Co., Ltd. Wet-etching method and method for manufacturing semiconductor device

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US43340A (en) * 1864-06-28 Improved leather-paper for floor-cloths
US104706A (en) * 1870-06-28 Improved device for tendering or chopping meat
US4690728A (en) * 1986-10-23 1987-09-01 Intel Corporation Pattern delineation of vertical load resistor
US4940509A (en) * 1988-03-25 1990-07-10 Texas Instruments, Incorporated Isotropic etchant for capped silicide processes
US6536449B1 (en) * 1997-11-17 2003-03-25 Mattson Technology Inc. Downstream surface cleaning process
US6258608B1 (en) * 1999-01-20 2001-07-10 Samsung Electronics Co., Ltd. Method for forming a crystalline perovskite ferroelectric material in a semiconductor device
US20010003271A1 (en) * 1999-12-10 2001-06-14 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6656852B2 (en) * 2001-12-06 2003-12-02 Texas Instruments Incorporated Method for the selective removal of high-k dielectrics
US6806095B2 (en) * 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US6818553B1 (en) * 2002-05-15 2004-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Etching process for high-k gate dielectrics
US6579809B1 (en) * 2002-05-16 2003-06-17 Advanced Micro Devices, Inc. In-situ gate etch process for fabrication of a narrow gate transistor structure with a high-k gate dielectric
US6764898B1 (en) * 2002-05-16 2004-07-20 Advanced Micro Devices, Inc. Implantation into high-K dielectric material after gate etch to facilitate removal

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050244726A1 (en) * 2001-05-31 2005-11-03 Kabushiki Kaisha Toshiba Manufacturing method for exposure mask, generating method for mask substrate information, mask substrate, exposure mask, manufacturing method for semiconductor device and server
US20070155181A1 (en) * 2002-09-27 2007-07-05 Tokyo Electron Limited Method and system for etching high-k dielectric materials
US20040110375A1 (en) * 2002-09-27 2004-06-10 Tokyo Electron Limited Method and system for etching high-k dielectric materials
US7202169B2 (en) * 2002-09-27 2007-04-10 Tokyo Electron Limited Method and system for etching high-k dielectric materials
US7781340B2 (en) 2002-09-27 2010-08-24 Tokyo Electron Limited Method and system for etching high-k dielectric materials
US20050064716A1 (en) * 2003-04-14 2005-03-24 Hong Lin Plasma removal of high k metal oxide
US20040203246A1 (en) * 2003-04-14 2004-10-14 Arvind Kamath High k gate insulator removal
US7413996B2 (en) 2003-04-14 2008-08-19 Lsi Corporation High k gate insulator removal
US20060032585A1 (en) * 2003-07-18 2006-02-16 Yoshitaka Kai Plasma processing method and apparatus
US20050014380A1 (en) * 2003-07-18 2005-01-20 Yoshitaka Kai Plasma processing method and apparatus
WO2006039029A3 (en) * 2004-09-30 2006-07-27 Tokyo Electron Ltd A method for forming a thin complete high-permittivity dielectric layer
WO2006039029A2 (en) * 2004-09-30 2006-04-13 Tokyo Electron Limited A method for forming a thin complete high-permittivity dielectric layer
US20060068603A1 (en) * 2004-09-30 2006-03-30 Tokyo Electron Limited A method for forming a thin complete high-permittivity dielectric layer
US7413992B2 (en) * 2005-06-01 2008-08-19 Lam Research Corporation Tungsten silicide etch process with reduced etch rate micro-loading
US20060273072A1 (en) * 2005-06-01 2006-12-07 Lam Research Corporation Tungsten silicide etch process with reduced etch rate micro-loading
WO2007046546A1 (en) * 2005-10-20 2007-04-26 Interuniversitair Micro-Elektronica Centrum Vzw A method for fabricating a high-k dielectric layer
US20080265380A1 (en) * 2005-10-20 2008-10-30 Interuniversitair Microelektronica Centrum Vzw (Imec) Method for fabricating a high-k dielectric layer
US8211812B2 (en) 2005-10-20 2012-07-03 Imec Method for fabricating a high-K dielectric layer
US20090053905A1 (en) * 2007-08-20 2009-02-26 Hynix Semiconductor Inc. Method of forming dielectric layer of semiconductor memory device
US20090253268A1 (en) * 2008-04-03 2009-10-08 Honeywell International, Inc. Post-contact opening etchants for post-contact etch cleans and methods for fabricating the same
US8598027B2 (en) * 2010-01-20 2013-12-03 International Business Machines Corporation High-K transistors with low threshold voltage
US20110175176A1 (en) * 2010-01-20 2011-07-21 International Business Machines Corporation High-k transistors with low threshold voltage
US20120193716A1 (en) * 2010-01-20 2012-08-02 International Business Machines Corporation High-k transistors with low threshold voltage
US20120193348A1 (en) * 2010-01-20 2012-08-02 International Business Machines Corporation High-k transistors with low threshold voltage
US8541842B2 (en) * 2010-01-20 2013-09-24 International Business Machines Corporation High-k transistors with low threshold voltage
US8674456B2 (en) * 2010-01-20 2014-03-18 International Business Machines Corporation High-K transistors with low threshold voltage
US8927409B2 (en) 2010-01-20 2015-01-06 International Business Machines Corporation High-k transistors with low threshold voltage
CN102064103A (en) * 2010-12-02 2011-05-18 上海集成电路研发中心有限公司 High-k gate dielectric layer manufacture method
US10460953B2 (en) * 2017-04-25 2019-10-29 Hitachi High-Technologies Corporation Semiconductor manufacturing apparatus for manufacturing a semiconductor device having a high-K insulating film, and a method for manufacturing the semiconductor device
US20200051828A1 (en) * 2017-04-25 2020-02-13 Hitachi High-Technologies Corporation Semiconductor manufacturing apparatus and method for manufacturing semiconductor device
US10910230B2 (en) * 2017-04-25 2021-02-02 Hitachi High-Tech Corporation Semiconductor manufacturing apparatus and method for manufacturing semiconductor device
US11380523B2 (en) 2019-02-14 2022-07-05 Hitachi High-Tech Corporation Semiconductor manufacturing apparatus

Also Published As

Publication number Publication date
JP2005537668A (en) 2005-12-08
WO2004021409A3 (en) 2004-07-01
AU2003269995A1 (en) 2004-03-19
WO2004021409A2 (en) 2004-03-11
AU2003269995A8 (en) 2004-03-19

Similar Documents

Publication Publication Date Title
US10600639B2 (en) SiN spacer profile patterning
US9570313B2 (en) Method for etching high-K dielectric using pulsed bias power
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
KR102483741B1 (en) Apparatus and methods for spacer deposition and selective removal in advanced patterning processes
KR101411744B1 (en) Method for etching a hafnium containing layer and plasma processing system
US20040129674A1 (en) Method and system to enhance the removal of high-k dielectric materials
US7700494B2 (en) Low-pressure removal of photoresist and etch residue
US7226868B2 (en) Method of etching high aspect ratio features
JP2008244479A (en) Method and system for dry-etching metal nitride
US7709397B2 (en) Method and system for etching a high-k dielectric material
WO2013046050A2 (en) Dry cleaning method for recovering etch process condition
US20220181162A1 (en) Etching apparatus
JP2005039015A (en) Method and apparatus for plasma processing
TWI488235B (en) Method for patterning a full metal gate structure
US8501628B2 (en) Differential metal gate etching process
US20080217294A1 (en) Method and system for etching a hafnium containing material
JP2008515220A (en) Method and system for forming features in a High-k layer
US6746925B1 (en) High-k dielectric bird's beak optimizations using in-situ O2 plasma oxidation
US10026597B2 (en) Hydrogen plasma based cleaning process for etch hardware
CN116457919A (en) Tin oxide and tin carbide materials for semiconductor patterning applications

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BEASE, GORDON;CHEN, LEE;REEL/FRAME:015072/0086

Effective date: 20030919

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION