KR100944571B1 - 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재 및 그 제조방법 - Google Patents

반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재 및 그 제조방법 Download PDF

Info

Publication number
KR100944571B1
KR100944571B1 KR1020080067621A KR20080067621A KR100944571B1 KR 100944571 B1 KR100944571 B1 KR 100944571B1 KR 1020080067621 A KR1020080067621 A KR 1020080067621A KR 20080067621 A KR20080067621 A KR 20080067621A KR 100944571 B1 KR100944571 B1 KR 100944571B1
Authority
KR
South Korea
Prior art keywords
gas
film
corrosion
chamber
plasma
Prior art date
Application number
KR1020080067621A
Other languages
English (en)
Other versions
KR20080072806A (ko
Inventor
하야시 오츠키
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20080072806A publication Critical patent/KR20080072806A/ko
Application granted granted Critical
Publication of KR100944571B1 publication Critical patent/KR100944571B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension

Abstract

본 발명의 처리 장치는 반도체 웨이퍼를 수용하고, 가열, 플라즈마, 프로세스 가스 중 어느 하나, 또는 이들의 조합에 의해서 해당 피처리 기판에 가공을 실시하는 처리를 실시하기 위한 부재가 수납된 챔버를 탑재하는 장치에 있어서, 상기 챔버 내부 벽면 및 챔버내에 노출되는 상기 부재 표면에 Al2O3 및 Y2O3로 이루어진 막이 형성되고, 고내식성 및 절연성을 가지고, 프로세스 가스를 반도체 웨이퍼의 처리면상에 도입 및 확산시킴으로써, 플라즈마를 발생시키는 영역이나 챔버내에 수납된 부재에 생성물이 부착되지 않도록 하는 처리 장치이다.

Description

반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재 및 그 제조방법{ETCHING-RESISTANT MEMBER USED IN PROCESSING APPARATUS FOR PROCESSING A SUBSTRATE FOR SEMICONDUCTOR AND LIQUID CRYSTAL DISPLAY DEVICE, AND METHOD OF PRODUCING THE MEMBER}
도 1은 본 발명의 제 1 실시형태에 따른 플라즈마 CVD 장치의 구성예를 도시한 도면,
도 2a 및 도 2b는 에칭에 의한 부식 시험의 샘플 및 평가 기준을 도시한 도면,
도 3은 에칭에 있어서의 부재와 에칭량(삭감량)의 관계를 나타내는 도표,
도 4는 Al2O3/Y2O3의 중량비가 0.43일 때의 용사막의 X선 회절 패턴을 도시한 도면,
도 5는 Al2O3/Y2O3의 중량비가 0.66일 때의 용사막의 X선 회절 패턴을 도시한 도면,
도 6은 Al2O3/Y2O3의 중량비가 1.50일 때의 용사막의 X선 회절 패턴을 도시한 도면,
도 7은 용사막의 Al2O3/Y2O3의 중량비를 변화시킨 경우에 있어서의 복합 산화물의 비율을 도시한 도면,
도 8은 용사막의 Al2O3/Y2O3의 중량비와 플라즈마에 의한 에칭량의 관계를 도시한 도면,
도 9는 YAG를 이용하여 용사를 실행했을 때의 용사막의 X선 회절 패턴을 도시한 도면,
도 10은 제 2 실시형태에 따른 플라즈마 CVD 장치의 구성예를 도시한 도면,
도 11은 제 3 실시형태에 따른 플라즈마 CVD 장치의 구성예를 도시한 도면,
도 12는 제 4 실시형태에 따른 플라즈마 CVD 장치의 구성예를 도시한 도면,
도 13a 및 도 13b는 제 4 실시형태에 있어서의 샤워 헤드의 구성예를 도시한 도면,
도 14a 및 도 14b는 제 4 실시형태에 있어서의 샤워 헤드의 변형예를 도시한 도면,
도 15는 헤드부의 구성예를 나타내는 도표,
도 16은 가스 토출 부재의 제 1 변형예를 도시한 사시도,
도 17은 가스 토출 부재의 제 2 변형예를 도시한 사시도,
도 18은 챔버의 높이가 낮은 박형 챔버의 일례를 도시한 도면,
도 19는 반구 형상 챔버의 일례를 도시한 도면,
도 20은 돔 형상 챔버의 일례를 도시한 도면,
도 21은 열처리 장치의 구성예를 도시한 도면,
도 22는 애싱 장치의 구성예를 도시한 도면,
도 23은 에칭 장치의 구성예를 도시한 도면,
도 24는 각 실시형태에 있어서의 용사막의 막두께에 대한 절연 파괴 전압(절연 파괴 내압)의 관계를 도시한 도면.
도면의 주요 부분에 대한 부호의 설명
10 : 성막 장치 11 : 챔버
11a : 하부 챔버 11b : 상부 챔버
14 : 용사막(또는 막) 17 : 서셉터
18 : 냉매실 22 : 전원
26 : 전극 30 : 샤워 헤드
30a, 30b, 30c : 가스 토출 구멍 40 : 가스 공급 기구
41 : ClF3 공급원 42, 43 : Ar 공급원
44 : TiCl4 공급원 45 : NH3 공급원
46, 47, 48, 49, 50, 53 : 가스 라인
54, 55, 56, 57 : 배관 62 : 배기 장치
66 : 고주파 전원 67 : 냉각 기구
W : 웨이퍼
본 발명은 CVD(화학적 증착; Chemical Vapor deposition) 등의 성막 장치나 열처리 장치 및 에칭 장치에 적용하는 고내식성 용사막을 갖는 챔버를 탑재한 처리 장치에 관한 것이다.
반도체 디바이스는 최근의 고밀도화 및 고집적화의 요구에 대응하여, 2차원적인 배선 구조에서 3차원적인 다층 배선 구조로 변화되고 있다. 이 때문에, 하층의 회로 소자와 상층의 배선층의 접속부가 되는 접점 구멍(contact hole)이나, 상하의 배선층끼리의 접속부인 통과 구멍(via hole) 등의 층간 전기적 접속을 위한 매립 기술이 중요시되고 있다. 종래에, 접점 구멍이나 통과 구멍의 매립에는 일반적으로 Al(알루미늄)이나 W(텅스텐), 또는 이들을 주체로 하는 합금이 이용되고 있다.
이들 Al 또는 Al 합금을 이용하여 매립할 때에 제조 도중에 열처리 등이 있기 때문에, 하층이 되는 Si(실리콘) 기판이나 Al 배선과 직접 접촉하고 있으면, 이들의 경계 부분에 있어서, Al의 흡상 효과(sucking-up) 등이 발생하여 양 금속으로 이루어지는 합금이 새롭게 형성될 우려가 있다. 이렇게 해서 형성된 합금은 저항값이 크기 때문에, 디바이스에 요구되고 있는 전력 절약화 및 고속 동작의 관점에서 바람직하지 못하다. 또한, W 또는 W 합금을 접점 구멍의 매립층으로서 이용하는 경우에는 매립층의 형성에 이용하는 WF6 가스가 Si 기판에 침입하여 전기적 특성 등을 열화시킬 가능성이 있으므로 바람직하지 못하다.
따라서, 이들 문제의 발생을 방지하기 위해서, 접점 구멍이나 통과 구멍에 매립층을 형성하기 전에, 구멍의 바닥부 및 내벽에 배리어층을 형성하고, 그 위에 매립층을 형성하고 있다. 이 배리어층으로는 일반적으로 TiN막이 공지되어 있다.
한편, 회로의 고집적화에 동반하여, 캐패시터 게이트 재료로 스케일을 바꾸지 않고 높은 정전 용량을 얻기 위해서, Ta2O5 등의 고유전율 재료를 채용하고 있다. 그러나, 이러한 고유전율 재료는 종래부터 캐패시터 게이트 재료로서 이용하고 있었던 SiO2에 비해 특성이 안정되어 있지 않기 때문에, 상부 전극에 폴리-Si를 이용한 경우에는 캐패시터 형성후의 열 이력에 의해 산화되어 버려, 안정적인 특성의 디바이스 소자가 제조될 수 없게 된다. 이 때문에, 보다 산화되기 어려운 TiN막이 상부 전극으로 요구되고 있다.
이 TiN막은 물리적 증착(PVD) 기술을 이용하여 성막되고 있는데, 최근과 같이 디바이스의 미세화 및 고집적화가 특히 요구되고, 설계 규격이 특히 엄격하게 되어 있으면, 높은 선택비를 얻기 어려운 PVD로는 커버할 수 없게 되고 있다. 따라서, 보다 양질의 TiN막을 형성할 수 있는 화학적 증착(CVD) 기술을 이용하고 있다. 이 CVD로서는 구체적으로 반응 가스로 TiCl4와 NH3(암모니아) 또는 MMH(모노메틸 하이드라진)를 이용하여 가열한 기판에 토출시켜 성막을 실행하는 열 CVD가 있다. 이러한 열 CVD에 의해서 TiN막을 성막한 경우, 성막중에 Cl(염소) 성분이 잔류하기 쉬운 문제가 있다. 이 Cl이 잔류하면 형성막의 비저항값이 높아져, 캐패시터 상부 전극으로 적용한 경우에 적정한 특성을 얻을 수 없다.
또한, 주상 결정인 TiN막은 입계 확산이 발생하기 쉽기 때문에, 배리어성이 저하된다. 특히, 이 배리어성의 저하는 TiN막을 Cu 배선의 배리어층으로서 이용하는 경우나 캐패시터 상부 전극의 Ta2O5 배선의 산소 확산 배리어의 경우에 문제가 된다. 즉, 잔류 염소에 의한 Cu 배선 부식이나 산소의 확산에 의한 Ta2O5의 용량 저하가 문제가 된다.
성막중의 Cl 함유량은 성막 온도를 고온으로 함으로써 감소시킬 수 있지만, 고온 프로세스는 Cu, Al 등의 배선 재료의 부식 등의 문제가 있으므로 바람직하지 못하다.
한편, 플라즈마 CVD 기술의 하나의 방법으로서, 종형 용기(bell jar)(챔버)의 주위에 코일 등의 안테나 부재를 설치하고, 이것에 고주파 전력을 인가하여, 유도전자계를 형성하여 플라즈마를 형성하는 ICP(유도 결합 플라즈마; Inductively Coupled Plasma)-CVD 기술이 있다. 이 기술을 이용하여 TiN막을 성막한 경우에는 성막된 TiN막은 저항이 낮고 Cl이 적게 되어, 비교적 저온으로 형성된 형성막이라도 Cl 잔류량은 적다.
이러한 ICP-CVD 장치에 의해서 TiN막을 성막하는 경우에는 석영이나 알루미나제의 챔버가 이용되고 있지만, 이들은 내플라즈마성이 나쁘고, 또한 TiN막 성막후에 장치 내부를 클리닝하기 위해서 사용되는 ClF3 등의 부식 가스에 대한 내부식성이 나쁘다는 문제점이 있다.
또한, 상기 타입의 CVD 성막 장치는 통상 챔버의 상부방향으로부터 프로세스 가스를 도입하기 때문에, 챔버 내벽에 부착물이 부착되어 이물질이 발생하기 쉽고, TiN막을 성막한 경우에는 상부 챔버에 도전성막이 부착되어 플라즈마 발생이 감쇠하여, 성막이 곤란하게 되는 경우가 발생한다.
본 발명은 성막 장치, 열처리 장치나 에칭 장치에 탑재되는 챔버로서, 내부의 내식성이 높고, 프로세스 가스(처리 가스)에 의한 생성물 또는 에칭 생성물 등의 부착물이 내벽에 부착되기 어려운 챔버를 구비하는 처리 장치를 제공하는 것을 목적으로 한다.
이상과 같은 구성의 처리 장치는 피처리 기판을 수용하고, 가열, 플라즈마, 프로세스 가스 중 어느 하나 또는 이들의 조합에 의해서 해당 피처리 기판에 가공을 실시하는 처리를 실행하기 위한 부재가 수납된 챔버를 탑재하고, 이 챔버 내부 벽면 및 챔버내에 노출되는 상기 부재 표면에, Al2O3 및 Y2O3로 이루어지고, Al2O3/Y2O3의 중량비가 0.5 이상인 막이 형성된다. 상기 형성된 막의 Al2O3/Y2O3의 중량비는 0.5 이상 2.5 이하이다. 상기 형성된 막의 두께는 50㎛ 이상이다.
또한, 본 발명은 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재 및 그 제조방법을 제공하는 것을 목적으로 한다.
본 발명의 상기 내식성 부재 및 그 제조방법은 하기 [1] 내지 [15]와 같다.
[1] 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재로서,
기재와,
그 위에 주기율표 3a족 원소 화합물을 이용하여 용사에 의해 형성되고, 막 두께 50㎛ 이상이고, 절연 파괴 내압 4kV 이상을 가지며, 또한 CF4와 Ar과 O2의 혼합 가스의 플라즈마에 의한 삭감량이 알루미나의 삭감량보다 작은, 내플라즈마성 및 부식 가스에 대한 내부식성을 갖는 상기 주기율표 3a족 원소 화합물을 포함하는 막을 구비하는 것을 특징으로 하는 내식성 부재.
[2] 상기 주기율표 3a족 원소 화합물을 포함하는 막은, 이 주기율표 3a족 원소 화합물에, 추가로 Al2O3를 포함하는 것을 특징으로 하는 상기 [1]에 기재된 내식성 부재.
[3] 상기 주기율표 3a족 원소 화합물을 포함하는 막은, 이트륨·알루미늄·가넷을 포함하는 것을 특징으로 하는 상기 [1]에 기재된 내식성 부재.
[4] 상기 주기율표 3a족 원소 화합물을 포함하는 막은, Y, La, Ce, Eu 및 Dy 중 어느 하나의 산화물, 또는 Y, La, Ce, Eu 및 Dy 중 어느 하나의 불화물로부터 선택되는 막인 것을 특징으로 하는 상기 [1]에 기재된 내식성 부재.
[5] 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재로서,
기재와,
그 위에 Y2O3를 이용하여 용사에 의해 형성되고, 막 두께 50㎛ 이상이고, 절연 파괴 내압 4kV 이상을 가지며, 또한 CF4와 Ar과 O2의 혼합 가스의 플라즈마에 의한 삭감량이 알루미나의 삭감량보다 작은, 내플라즈마성 및 부식 가스에 대한 내부식성을 갖는 상기 Y2O3의 막을 구비하는 것을 특징으로 하는 내식성 부재.
[6] 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재의 제조방법으로서,
상기 내식성 부재를 구성하는 기재를 준비하고, 그 위에 주기율표 3a족 원소 화합물을 이용하여, 막 두께 50㎛ 이상이고, 절연 파괴 내압 4kV 이상을 가지며, 또한 CF4와 Ar과 O2의 혼합 가스의 플라즈마에 의한 삭감량이 알루미나의 삭감량보다 작은, 내플라즈마성 및 부식 가스에 대한 내부식성을 갖는 상기 주기율표 3a족 원소 화합물을 포함하는 막을 용사에 의해 형성하는 것을 특징으로 하는 내식성 부재의 제조방법.
[7] 상기 주기율표 3a족 원소 화합물을 포함하는 막은, 이트륨·알루미늄·가넷을 포함하는 것을 특징으로 하는 상기 [6]에 기재된 내식성 부재.
[8] 상기 주기율표 3a족 원소 화합물을 포함하는 막은, 실질적으로 Y, La, Ce, Eu 및 Dy의 산화물 또는 불화물 중 어느 하나로부터 선택되는 막인 것을 특징으로 하는 상기 [6]에 기재된 내식성 부재.
[9] 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재의 제조방법으로서,
상기 내식성 부재를 구성하는 기재를 준비하고, 그 위에 Y2O3를 이용하여, 막 두께 50㎛ 이상이고, 절연 파괴 내압 4kV 이상을 가지며, 또한 CF4와 Ar과 O2의 혼합 가스의 플라즈마에 의한 삭감량이 알루미나의 삭감량보다 작은, 내플라즈마성 및 부식 가스에 대한 내부식성을 갖는 상기 Y2O3의 막을 용사에 의해 형성하는 것을 특징으로 하는 내식성 부재의 제조방법.
[10] 상기 기재는, 석영, 세라믹, 금속, 금속 합금, 경질 플라스틱 및 표면이 양극 산화 처리된 알루미늄 중 어느 하나로 구성되어 있는 것을 특징으로 하는 상기 [1] 및 [3] 내지 [5] 중 어느 하나에 기재된 내식성 부재.
[11] 상기 기재는, 석영, 세라믹, 금속, 금속 합금, 경질 플라스틱 및 표면이 양극 산화 처리된 알루미늄 중 어느 하나로 구성되어 있는 것을 특징으로 하는 상기 [6] 내지 [9] 중 어느 하나에 기재된 내식성 부재.
[12] 상기 이트륨·알루미늄·가넷을 포함하는 막은, 비정질인 것을 특징으로 하는 상기 [3]에 기재된 내식성 부재.
[13] 상기 이트륨·알루미늄·가넷을 포함하는 막은, 비정질인 것을 특징으로 하는 상기 [7]에 기재된 내식성 부재.
[14] 상기 처리 장치는, 플라즈마 처리 장치, CVD 처리 장치 또는 애싱 처리 장치인 것을 특징으로 하는 상기 [1] 및 [3] 내지 [5] 중 어느 하나에 기재된 내식성 부재.
[15] 상기 처리 장치는, 플라즈마 에칭 처리 장치인 것을 특징으로 하는 상기 [1] 및 [3] 내지 [5] 중 어느 하나에 기재된 내식성 부재.
이하, 도면을 참조하여 본 발명의 실시형태에 대하여 상세히 설명한다.
도 1은 본 발명의 고내식성 용사막을 갖는 챔버를 탑재하는 처리 장치에 있어서, 제 1 실시형태로서 CVD 성막 장치에 적용한 구성예를 도시한 단면도이다.
본 실시형태의 성막 장치는, 예를 들면 TiN 박막을 성막하는 장치를 일례로 하고 있다. 이 성막 장치(10)는 하부 챔버(11a)와, 그 상부방향에 배치된 상부 챔버(11b)가 밀폐 가능하도록 일체로 구성되어 있는 챔버(11)를 갖고 있다. 이 상부 챔버(11b)는 하부 챔버(11a)보다도 작은 직경으로 되어 있다. 하부 챔버(11a)는, 예를 들면 표면이 양극 산화 처리된 알루미늄 등의 도전체(12)로 구성된다. 상부 챔버(11b)는, 예를 들면 세라믹으로 이루어진 기재(13)와, 그 내벽에 형성된 주기율표 3a족 원소 화합물을 포함하는 막(14)으로 구성된다.
상기 막(14)으로는 Y, Sc, La, Ce, Eu, Dy 등의 산화물, 불화물 등을 포함하는 것을 들 수 있다. 이 막(14)은 주기율표 3a족 원소 화합물, 예를 들면 Y2O3만이라도 무방하고, 물론 다른 물질과 복합되어도 무방하다. 본 실시형태의 설명에서는 주기율표 3a족 원소 화합물을 포함하는 막(14)은 Al2O3 및 Y2O3로 실질적으로 이루어지는 용사막(14)으로 한다.
또한, 챔버의 재료로서는 세라믹(Al2O3, SiO2, AlN 등), 알루미늄 또는 스테인레스강, 금속 또는 금속 합금 또는 경질 플라스틱을 이용할 수 있다. 또한 용사막(14)의 Al2O3/Y2O3의 중량비는 후술하는 도 8에 도시하는 바와 같이 0.5 이상 2.5 이하가 바람직하다. 또한, 도 8에는 중량비 1.5까지의 데이터밖에 도시하고 있지 않다. 용사막(14)을 형성할 때에는 Al2O3 및 Y2O3의 혼합물을 용사하여도 무방하고, 이트륨(yttrium)·알루미늄·가넷(garnet)(YAG) 등의 상기 조성 범위의 복합 산화물의 상태로 용사하여도 무방하다. 용사막(14)의 두께는 플라즈마를 발생시키기 위한 절연 내성을 근거로 하는 것으로, 예를 들면 도 24에 도시한 절연 파괴 전압(절연 파괴 내압) 특성으로부터 보면, 적어도 50㎛ 이상 100㎛ 이하(데이터상은 300㎛까지 측정)이면 바람직하고, 제조 공정이나 비용의 면에서 50㎛ 정도가 바람직하다.
기재(13)를 구성하는 세라믹으로서는 Al2O3, 석영이나 실리카 유리 등의 SiO2, AlN이 고려되고, 여기서는 하부 챔버(11a)의 내벽에는 상술한 용사막을 형성하지 않지만, 이들을 형성해도 무방하다.
하부 챔버(11a)내의 바닥부에는 세라믹 등의 절연판(15) 및 지지대(16)를 거쳐서, 피처리체가 되는 반도체 웨이퍼(이하, 「웨이퍼」라고 함)(W)를 탑재하기 위한 대략 원기둥 형상의 서셉터(17)가 설치되어 있다.
상기 지지대(16)의 내부에는 냉매실(18)이 설치되어 있고, 이 냉매실(18)에는 냉매가 냉매 도입관(19)을 거쳐서 도입되어, 배출관(20)으로부터 배출됨으로써 순환하여, 그 냉열이 상기 서셉터(17)를 거쳐서 웨이퍼(W)에 전달된다. 또한, 서셉터(17)에는 발열체(21)가 매립되어 있고, 이 발열체(21)는 전원(22)으로부터 급전됨으로써 웨이퍼(W)를 소정의 온도로 가열한다. 전원(22)에는 콘트롤러(23)가 접속되어 있다. 그리고, 냉매의 냉열 및 발열체(21)의 열에 의해 웨이퍼(W)의 온 도가 제어된다.
상기 서셉터(17)는 그 위에 웨이퍼(W)와 거의 동일한 형상의 정전 척(24)이 설치되어 있다. 정전 척(24)은 절연재(25)의 사이에 전극(26)이 매설되어 구성되고, 이 전극(26)에 직류 전원(27)으로부터 직류 전압이 인가됨으로써 발생하는 쿨롱력 등에 의해서 웨이퍼(W)를 정전 흡착한다. 이 정전 척(24) 상면의 외주에는 웨이퍼(W)를 둘러싸도록, 성막의 균일화를 도모하기 위한 부위, 예를 들면 포커스 링(28)이 설치되어 있다. 또한, 지지대(16), 서셉터(17), 정전 척(24) 및 포커스 링(28)에 있어서, 챔버내에 노출되는 표면은 각각 상술한 용사막(14)이 형성된다.
상부 챔버(11b)의 상부에는 샤워 헤드(30)가 설치되어 있다. 이 샤워 헤드(30)에는 챔버내 하부방향으로 가스를 토출하기 위한 다수의 가스 토출 구멍[30a(Ar, ClF3), 30b(Ar, TiCl4) 및 30c(NH3)]이 교대로 형성되어 있다. 그리고, 샤워 헤드(30)에는 가스 공급 기구(40)의 배관이 접속되어 있다. 즉, 후술하는 바와 같이 가스 토출 구멍(30a)에는 가스(Ar, ClF3)를 공급하는 배관(55)이 접속되고, 가스 토출 구멍(30b)에는 가스(Ar, TiCl4)를 공급하는 배관(56)이 접속되고, 가스 토출 구멍(30c)에는 가스(NH3)를 공급하는 배관(57)이 접속되어, 샤워 헤드(30)를 거쳐서 상부 챔버(11b) 내부로 각각 가스가 도입되도록 되어 있다.
이와 같이 샤워 헤드(30)는, 예를 들면 3개층의 가스 분산층과 가스 토출 구멍을 형성한 토출층의 적층 구조로 되어 있고, 각 가스 분산층에는 1종류의 가스를 헤드의 가스 토출면 전면으로 분산시키기 위한 홈과 구멍이 형성되고, 특히 다른 가스 분산층의 토출 구멍과 중첩되지 않도록 형성되어 있다. 도시하지 않았지만 이 토출면을 하부방향쪽에서 보면 매트릭스에 가스 토출 구멍이 배치되어 있다. 프로세스 가스가 되는 TiCl4 가스 및 NH3 가스가 교대로 형성된 상이한 토출 구멍으로부터 토출하여, 토출후에 혼합되는 포스트 혼합 방식이 채용된다.
가스 공급 기구(40)는 클리닝 가스인 ClF3를 공급하는 ClF3 공급원(41), Ar을 공급하는 Ar 공급원(42, 43), 프로세스 가스인 TiCl4를 공급하는 TiCl4 공급원(44), 프로세스 가스인 NH3를 공급하는 NH3 공급원(45)을 갖고 있다. 그리고, ClF3 공급원(41)에는 가스 라인(46)이, Ar 공급원(42, 43)에는 가스 라인(47, 48)이, TiCl4 공급원(44)에는 가스 라인(49)이, NH3 공급원(45)에는 가스 라인(50)이 각각 접속되어 있다. 그리고, 각 라인에는 밸브(51) 및 질량 유량 콘트롤러(mass flow controller, 52)가 설치되어 있다.
상기 Ar 공급원(42)으로부터 연장되는 가스 라인(47)에는 ClF3 공급원(41)으로부터 연장되는 가스 라인(46)이 합류하고, ClF3 공급원(41)으로부터 연장되는 가스 라인(46)은 가스 라인(53)에 합류하여, 가스 라인(46)에 설치된 밸브(49)를 개방함으로써, 클리닝 가스가 되는 ClF3가 가스 라인(46) 및 배관(55)을 통해서 샤워 헤드(30)에 도달하고, 가스 토출 구멍(30a)으로부터 상부 챔버(11b)내로 도입 가능하도록 되어 있다. 물론, Ar 공급원(42)으로부터 Ar만을 공급하는 경우도 있다.
또한, Ar 공급원(43)으로부터 연장되는 가스 라인(48)에는 TiCl4 공급원(44)으로부터 연장되는 가스 라인(49)이 합류하여, 가스 라인(49) 및 배관(54)을 통해서 Ar 가스로 운반된 TiCl4 가스가 샤워 헤드(30)에 도달하고, 가스 토출 구멍(30b)으로부터 챔버(11)내로 도입 가능하도록 되어 있다.
또한, NH3 가스는 NH3 공급원(45)으로부터 가스 라인(50) 및 배관(55)을 통해서 샤워 헤드(30)에 도달하고, 가스 토출 구멍(30c)으로부터 상부 챔버(11b)내로 도입된다. 또한, NH3 대신에 모노메틸 하이드라진(MMH)을 이용해도 무방하다.
또한, 하부 챔버(11a)의 바닥 벽에는 배기관(61)이 접속되어 있고, 이 배기관(61)에는 진공 펌프를 포함하는 배기 장치(62)가 접속되어 있다. 그리고, 배기 장치(62)를 작동시킴으로써 챔버(11)내를 소정의 진공도까지 감압할 수 있다. 하부 챔버(11a)의 측벽에는 게이트 밸브(63)가 설치되어 있고, 이 게이트 밸브(63)를 개방한 상태로 웨이퍼(W)가 외부, 예를 들면 인접하는 로드록실(도시하지 않음)과의 사이에서 교환이 실행된다.
상부 챔버(11b)의 주위에는 안테나 부재로서의 코일(65)이 권취되어 있고, 코일(65)에는 고주파 전원(66)이 접속되어 있다. 고주파 전원(66)은 예를 들면 13.56㎒의 주파수를 갖고 있다. 그리고, 고주파 전원(66)으로부터 코일(65)에 고주파 전력을 공급함으로써, 상부 챔버(11b)내에 유도전자계가 형성된다. 또한, 냉각제 등의 냉각 매체를 이용한 냉각 기구(67)와 이것을 구동하는 냉각원(68)이 마 련되어 있다.
이와 같이 구성되는 장치에 있어서는 게이트 밸브(63)를 개방한 상태로 챔버(11)내에 웨이퍼(W)를 반입하여 정전 척(24)상에 탑재한다. 그리고, 웨이퍼(W)는 전극(26)에 전압이 인가됨으로써, 정전 척(24)에 흡착된다. 그 후에, 게이트 밸브(63)를 닫고, 배기 장치(62)로 챔버(11)내를 배기하여 소정의 감압 상태로 한다. 계속해서, Ar 공급원(42)으로부터 챔버(11)내에 Ar 가스를 도입하면서, 고주파 전원(66)으로부터 코일(65)에 고주파 전력을 공급하여 상부 챔버(11b)내에 유도전자계를 형성한다. 이 고주파 전계에 의해서 플라즈마가 생성된다.
그 후에, NH3 공급원(45) 및 TiCl4 공급원(44)으로부터, 각각 소정 유량의 NH3 가스 및 TiCl4 가스를 상부 챔버(11b)내로 도입하고, 이들을 플라즈마화시켜서 하부 챔버(11a)측으로 이끌어, 이 플라즈마에 의해 웨이퍼(W)상에 TiN 박막이 성막된다. 이 때의 TiN 박막의 성막은 발열체(21)로의 출력 및 냉매의 유량을 제어하여 300℃ 내지 450℃ 정도의 온도에서 실행한다. 그리고, 성막후에, 챔버(11)로부터 외부로 웨이퍼(W)가 반출되고, 챔버(11)내에 클리닝 가스가 되는 ClF3 가스가 도입되어 내부가 클리닝된다.
이상의 처리에 있어서는, 상부 챔버(11b)내에 생성되는 플라즈마에 의해 상부 챔버(11b)의 내벽이 부식되고, 클리닝시에는 부식성 가스인 ClF3 가스에 노출된다. 이러한 환경하에서는, 종래의 석영이나 Al2O3제의 챔버에서는 내부식성이 충분하지 않아서, 수명이 짧다는 결점이 있었다. 그러나, 본 실시형태에서는 주로 Al2O3 및 Y2O3로 이루어지고, 그 Al2O3/Y2O3의 중량비를 0.5 이상으로 한 내식성이 높은 용사막(14)을 상부 챔버(11b)의 내벽상에 형성하고 있어, 플라즈마나 클리닝 가스가 접촉하더라도 부식되기 어려워 챔버의 수명을 길게 할 수 있다.
또한, 용사막(14)은 주기율표 3a족 원소 화합물을 포함하고 있기 때문에, 절연성을 갖고 있고, 기재의 재료로서는 여기에서 이용하고 있는 세라믹에 한정하지 않고, 알루미늄이나 스테인레스강 등 여러가지의 재료를 이용할 수 있다. 또한, 이 용사막(14)은 소결품과 비교하여 저비용이며, 성막을 단시간에 실행할 수 있다는 큰 이점이 있다. 또한, 하부 챔버(11a)의 내벽에도 이러한 용사막(14)을 형성하여도 무방하고, 하부 챔버(11a)의 내식성도 향상시킬 수 있다.
다음에, 이러한 용사막의 내식성을 확인한 실험 결과에 대하여 설명한다.
여기서는 평행 평판형 플라즈마 에칭 장치를 예로서 이용하고 있고, 13.56㎒에서 1300W의 고주파 전력을 인가하고, 챔버내 압력이 133.3㎩(1000mTorr)이며, 가스 유량비가 CF4:Ar:O2=95:950:10[총 유량 0.0633㎥/sec(1055sccm)]으로 20시간 플라즈마를 조사했다.
샘플로는 20mm×20mm×2mm의 알루미늄 기재에 Al2O3 및 Y2O3로 이루어진 용사막과, Y2O3, Sc2O3, ScF3, YF3, La2O3, CeO2, Eu2O3, 및 Dy2O3의 용사막을 각각 200㎛의 두께로 형성하여, 표면을 연마한 것을 이용하였다. 구체적으로는 Al2O3/Y2O3의 중량비를 0.5로 용사한 것과, 순도 99.9%의 YAG(Y3Al5O12; 중량비 Al2O3/Y2O3=0.75)를 용사한 것을 샘플로 이용했다. 도 2a에 도시하는 바와 같이, 이러한 샘플의 중앙부 10mm 각(角)을 남기고 외주부를 폴리이미드 필름에 의한 마스킹을 실행하여 플라즈마를 조사했다. 그리고, 내플라즈마성을 플라즈마에 의한 에칭량(삭감량)으로 평가했다. 에칭량은 표면 조도계를 이용하여, 도 2b에 도시하는 바와 같이 깊이로 평가했다. 비교를 위해서, 다른 재료의 샘플도 마찬가지로 내식성을 평가했다. 그 결과를 도 3에 나타내고 있다. 여기서, 에칭량은 알루미나의 에칭량을 "1"로 규격화하여 나타낸다.
도 3에 도시하는 바와 같이, 주기율표 3a족 원소 화합물을 포함하는 막은 다른 재료보다도 플라즈마에 대한 내식성이 현저하게 높은 것이 확인되었다. 또한, 이러한 막중에서 Al2O3 및 Y2O3로 이루어진 용사막은 YAG 조성으로 함으로써, 특히 높은 내식성을 나타내고 있다.
다음에, Al2O3/Y2O3의 중량비를 각각 0.43, 0.66, 1.5로 하고, 이들 혼합 분말을 알루미늄 기재에 용사하여 용사막을 형성했다. 이들의 X선 회절 패턴을 도 4, 도 5 및 도 6에 도시하고 있다. 이들 도면에 도시하는 바와 같이, 어느쪽의 용사막도 Al2O3 및 Y2O3의 결정에 대응하는 회절 피크가 주체이지만, YAlO3나 Y4Al2O9과 같은 복합 산화물의 회절 피크도 확인되었다. 이들 복합 산화물의 생성율은 도 7에 도시하는 바와 같이 Al2O3/Y2O3의 중량비가 증가함에 따라서 증가하는 것을 알 수 있다.
이들 샘플에 대하여 상술한 바와 마찬가지로 하여, 플라즈마에 의한 내식성 시험을 실시했다. 에칭량의 평가는 상기 10mm 사각부의 부분중 에지 부분을 제외한 중앙 부분의 깊이를 계측함으로써 실행했다. 그 결과를 도 8에 도시한다. 이 도면으로부터 Al2O3/Y2O3의 중량비가 0.5 이상에서 내식성이 양호하게 되는 것을 알 수 있다. 상술한 바와 같이, Al2O3/Y2O3의 중량비가 증가함에 따라, 복합 산화물의 생성율이 증가하는 것으로부터, 복합 산화물이 내식성에 기여하고 있을 가능성이 있다.
한편, 최초의 시험에 있어서 내식성을 평가한 YAG의 용사막은 X선 회절 패턴이 도 9에 도시하는 바와 같이 거의 비정질이었다.
이것으로부터, 비정질로 함으로써, 더욱 내식성이 향상되는 것도 고려할 수 있다.
다음에, 본 발명의 제 2 실시형태에 대하여 설명한다.
도 10은 제 2 실시형태에 따른 CVD 성막 장치를 도시한 단면도이다. 본 실시형태에서는 챔버, 샤워 헤드 및 가스 공급 기구의 구조가 상술한 제 1 실시형태와 상이하다. 본 실시형태의 구성 부위에서 도 1에 도시한 구성 부위와 동일한 부위에는 동일한 참조 부호를 부여하여, 그 설명을 생략한다.
이 장치에서는 하부 챔버(11a)의 상부방향에 설치된 상부 챔버(11c)가 Al2O3, SiO2, AlN 등의 세라믹 재료에 의해 형성되어 있다. 또한, 상부 챔버(11c)의 상부에 설치된 샤워 헤드(70)에는 챔버내의 하부방향으로 가스를 토출하기 위한 가스 토출 구멍(70a, 70b, 70c)이 교대로 형성되어 있다. 가스 공급 기구(40a)는 도 1에서 설명한 가스 공급 기구(40)와 동일한 가스 공급원, 밸브(49) 및 질량 유량 콘트롤러(50)에 의해서 구성되어 있다. 본 실시형태는 가스 공급 기구(40)로부터 샤워 헤드(70)로의 배관 구성이 상이하다.
즉, 헤드(70)에는 가스 공급 기구(40)의 배관이 접속되고, 후술하는 바와 같이 가스 토출 구멍(70a)에는 Ar 가스 및 ClF3 가스를 공급하는 배관(81)이 접속되고, 가스 토출 구멍(70b)에는 TiCl4 가스 및 Ar 가스를 공급하는 배관(82)이 접속되며, 가스 토출 구멍(70c)에는 NH3 가스를 공급하는 배관(83)이 접속되어 있다. 가스 토출 구멍(70b, 70c)에는 각각 상부 챔버(11c)로부터 하부 챔버(11a)의 상부방향까지 연장하는 파이프 형상의 가스 토출 부재(71, 72)가 접속되어 있고, 가스 토출 부재(71)의 내부에는 가스 토출 구멍(71a)이 형성되어 있고, 가스 토출 부재(72)의 내부에는 가스 토출 구멍(72a)이 형성되어 있다.
Ar 공급원(42)으로부터 연장되는 가스 라인(47) 및 ClF3 공급원(41)으로부터 연장하는 가스 라인(46)이 배관(81)에 접속되어 있고, 배관(81)으로부터 가스 토출 구멍(70a)을 거쳐서 Ar 가스 및 ClF3 가스가 상부 챔버(11c) 내에 도입된다. Ar 가스만이 공급되는 경우도 있다.
또한, TiCl4 공급원(44)으로부터 연장되는 가스 라인(49) 및 Ar 공급원(42)으로부터 연장되는 가스 라인(47)이 배관(82)에 접속되어 있다. 이 배관(82)으로부터 가스 토출 구멍(70b) 및 가스 토출 부재(71)의 가스 토출 구멍(71a)을 지나서, Ar 가스를 운반 가스로 하여 TiCl4 가스가 하부 챔버(11a)의 상부방향에 도입된다. 또한, NH3 공급원(44)으로부터 연장되는 가스 라인(48)은 배관(83)에 접속되어 있고, 배관(83)으로부터 가스 토출 구멍(70c) 및 가스 토출 부재(72)의 가스 토출 구멍(70a)을 지나서 NH3 가스가 하부 챔버(11a)의 상부방향에 도입된다.
따라서, TiCl4 가스 및 NH3 가스가 상부 챔버(11c)의 내부를 통과하지 않고 별개로 하부 챔버(11c)의 상부방향까지 직접 공급되고, 토출후에 이들이 하부 챔버(11a)내에서 혼합된다. ClF3 공급원(41)으로부터 연장되는 가스 라인(45)은 가스 라인(46)에 합류하고, 가스 라인(45)에 설치된 밸브(49)를 개방함으로써, 클리닝 가스인 ClF3가 가스 라인(45, 46) 및 배관(81)을 통하여 샤워 헤드(70)에 도달하고, 가스 토출 구멍(70a)을 지나서 ClF3 가스를 상부 챔버(11c)내에 도입 가능하게 되어 있다.
이와 같이 구성되는 CVD 성막 장치에 있어서는 제 1 실시형태와 마찬가지로 웨이퍼(W)를 챔버(11)내에 반입한 후에, Ar 가스를 플라즈마 생성 가스로서 이용하여 샤워 헤드의 가스 토출 구멍(70a)을 지나서 상부 챔버(11c)내에 도입하고, 코일(65)에 고주파 전원(66)으로부터 고주파 전력을 공급함으로써 상부 챔버(11c)내에 형성된 유도전자계에 의해서 Ar 가스의 플라즈마가 발생한다.
한편, 프로세스 가스인 TiCl4 가스 및 NH3 가스는 각각 가스 토출 부재(71, 72)를 지나서 직접 하부 챔버(11a)의 상부방향에 도입되고, 상부 챔버(11c)로부터 하부 챔버(11a)로 확산된 Ar 가스의 플라즈마에 의해 여기되어, 하부 챔버(11a) 상부방향에서 플라즈마화한다. 이것에 의해서, 웨이퍼(W)의 표면에서 반응이 일어나서 웨이퍼(W) 상에 TiN 박막이 성막된다.
상기 실시형태에 있어서도, 성막후에 챔버(11)로부터 외부로 반도체 웨이퍼를 반출하고, 챔버(11)내에 클리닝 가스가 되는 ClF3 가스가 도입되어 챔버 내부 표면이 클리닝된다.
이와 같이 본 실시형태에 있어서는, 상부 챔버(11c)에는 플라즈마 생성용 Ar 가스만 공급하고, 프로세스 가스인 TiCl4 가스 및 NH3 가스는 가스 토출 부재(71, 72)를 지나서 하부 챔버(11a)에 직접 공급되기 때문에, 프로세스 가스는 상부 챔버(11c)의 내벽에는 거의 도달하지 않으므로, 상부 챔버(11c)의 내벽에는 프로세스 가스에 기인하는 부착물이 거의 부착하지 않는다.
따라서, 종래와 같이 프로세스 가스에 의해서 챔버 내벽에 도전성막이 부착되어 플라즈마가 감쇠하고, 성막이 곤란해지는 문제가 발생하지 않는다.
다음에, 본 발명의 제 3 실시형태에 대하여 설명한다.
도 11은 제 3 실시형태에 따른 CVD 성막 장치를 도시하는 단면도이다.
본 실시형태는 도 1에 도시한 제 1 실시형태와 동일한 구성의 하부 챔버(11a)와, 도 10에 도시한 제 2 실시형태의 구성의 상부 챔버(11c)를 조합시킨 것 이다. 또한 상부 챔버(11b)의 내벽에는 상술한 것과 동일한 주로 Al2O3 및 Y2O3로 이루어진 내식성이 높은 절연성을 갖는 용사막(14)을 용사하고 있다. 본 실시형태의 구성 부위에서 도 1 및 도 10에 도시한 구성 부위와 동일한 부위에는 동일한 참조 부호를 부여하여, 그 설명을 생략한다.
이러한 제 3 실시형태는 상부 챔버(11b)의 내벽에 내식성이 높은 용사막(14)이 용사되는 구성에 의해서 플라즈마나 클리닝 가스가 접촉하더라도 부식되기 어려워 챔버 수명을 길게 할 수 있음과 동시에, 상부 챔버(11b) 내벽에는 프로세스 가스에 기인하는 부착물이 거의 부착하지 않는다. 따라서, 종래와 같이 챔버 내벽에 부착된 도전성막에 의해서 플라즈마가 감쇠하여 성막이 어려워지는 문제가 발생하지 않는다.
또한, 제 2 및 제 3 실시형태에 있어서도, 하부 챔버(11a)의 내벽에 상기 용사막을 형성해도 무방하고, 이 용사막을 형성함으로써 하부 챔버(11a)의 내식성도 향상시킬 수 있다.
다음에 본 발명의 제 4 실시형태에 대하여 설명한다.
도 12는 제 4 실시형태에 따른 CVD 성막 장치를 도시한 단면도이다.
본 실시형태의 장치 구성은 상술한 제 1 실시형태와 동일한 구성의 하부 챔버(11a)상에 가스 공급 위치가 상이한 상부 챔버(11d)를 조합한 것이다. 본 실시형태의 구성 부위에서 도 1에 도시한 구성 부위와 동일한 부위에는 동일한 참조 부호를 부여하여, 그 설명을 생략한다.
이 성막 장치는 프로세스 가스를 챔버(11)내에 공급하는 샤워 헤드(81)가 상부 챔버(11d)와 하부 챔버(11a) 사이에 환형으로 설치되어 있다. 상부 챔버(11d)의 내부 전면에는 내식성이 높은 절연성을 갖는 용사막(14)이 형성되어 있다. 가스 공급 기구(40)는 상술한 제 1 실시형태와 동일한 구성의 기구이지만, 클리닝 가스인 ClF3 가스 및 Ar 가스는 밸브(82, 83)의 전환 조작에 의해, 상부 챔버(11d)의 상부방향 및 측방향의 어느 하나로부터에서도 도입할 수 있게 되어 있다.
이러한 구성에 의해서, 가스 공급 기구(40)로부터 공급된 가스는 하부 챔버(11a)의 상부방향에서 중앙을 향하도록 토출되어, 웨이퍼(W)상에서 확산된다. 본 실시형태는 상술한 실시형태와 동일한 효과를 얻을 수 있고, 상부 챔버(11b) 내벽에는 프로세스 가스에 기인하는 부착물이 거의 부착하지 않는다. 또한, 용사막(14)이 형성되어 있기 때문에, 플라즈마나 클리닝 가스가 접촉하더라도 부식되기 어려워 챔버 수명을 길게 할 수 있다.
도 13a 및 도 13b에는 본 실시형태에 있어서의 샤워 헤드(81)의 구체적인 하나의 구성예를 도시한다.
상기 샤워 헤드(81)는 3개층 구조의 링형 헤드이며, 각 헤드부의 가스가 중앙층의 헤드부에 집중하도록 구성되어 있다. 즉, 각각의 헤드부(84, 85, 86)에는 프로세스 가스가 도입되는 링 형상으로 이루어진 확산 홈(84a, 85a, 86a)이 각각 형성되어 있다. 중앙층이 되는 헤드부(85)에는 각 확산 홈(84a, 85a, 86a)으로 통하는 다수의 토출 구멍(84b)(NH3 가스), 토출 구멍(85b)(Ar 가스), 토출 구 멍(86b)(TiCl4 가스 또는 ClF3 가스)이 수평 방향으로 일렬로 줄지어 토출하도록 형성된다. 예를 들면, Ar 가스를 사이에 두고, 다른 종류의 프로세스 가스가 순차적으로 토출되도록 배치된다.
도 13b에 도시한 예에서는 제 1 조합으로서 토출 구멍(85b, 86b, 85b, 84b, 85b, 86b…), 즉, Ar 가스, TiCl4 가스 또는 ClF3 가스, Ar 가스, NH3 가스, Ar 가스, TiCl4 가스 또는 ClF3 가스 등으로 되어 있다. 또한, 제 2 조합으로서는 토출 구멍(85b, 86b, 84b, 85b, 85b, 86b, 84b, 85b…), 즉, Ar 가스, TiCl4 가스 또는 ClF3 가스, NH3 가스, Ar 가스, Ar 가스, TiC14 가스 또는 ClF3 가스, NH3 가스, Ar 가스 등이 있다. 물론, 챔버 형상이나 프로세스의 조건 등에 따라서, 이들을 적절히 조합해도 무방하다. 또한, TiCl4 가스 및 ClF3 가스에 대해서 각각 독립된 토출 구멍을 형성해도 무방하다.
또한, 도 14a 및 도 14b에는 상술한 샤워 헤드(81)의 구체적인 하나의 변형예를 도시한다. 상술한 샤워 헤드(81)에서는 각 헤드부의 가스를 중앙층에 집중하도록 구성했지만, 이 변형예에서는 각각의 헤드부에 다수의 토출 구멍이 형성되어 중첩시킨 구성이다.
상기 샤워 헤드(90)는 3개층 구조의 링형 헤드이며, 각각의 헤드부(91, 92, 93)에는 프로세스 가스가 도입되는 링 형상으로 이루어진 확산 홈(91a, 92a, 93a)이 형성되어 있다. 각각의 헤드부에는 각 확산 홈(91a, 92a, 93a)으로 통하는 다 수의 토출 구멍(91b)(NH3 가스), 토출 구멍(92b)(Ar 가스), 토출 구멍(93b)(TiCl4 가스 또는 ClF3 가스)이 수평 방향으로 토출하도록 토출 구멍이 형성되어 있다.
이들 헤드부의 적층 순서에 의해서 도 15에 도시한 것과 같은 여러가지 구성예를 실현한다. 이 구성예에서는 6개의 케이스를 도시하고 있다. 또한, TiCl4 가스 및 ClF3 가스는 성막시와 클리닝시로 전환하여 이용하고 있지만, 각각 독립된 토출 구멍을 형성해도 무방하다.
본 실시형태에 있어서도 상술한 각 실시형태와 동등한 효과를 얻을 수 있다.
또한, 본 발명의 실시형태에 있어서, 가스를 도입하기 위한 샤워 헤드는 여러가지 변형이 가능하다.
상술한 각 실시형태에서는 프로세스 가스를 챔버(11)내에 도입하기 위해서 다수의 부재로 구성되어 있는데, 예를 들면 도 16에 도시한 바와 같이, 상부 종형 용기의 상부로부터 도입하여, 하부 챔버의 웨이퍼(W) 상부방향으로 프로세스 가스를 이끄는 1개의 가스 도입 부재(95)와, 이 가스 도입 부재(95)의 하단부에 연결하여 나사 형상으로 하부방향(웨이퍼 방향)을 향하여 가스를 토출하도록 다수의 토출 구멍을 형성한 가스 토출부(96)로 구성되는 샤워 헤드가 있다.
또한, 도 17에 도시하는 바와 같이, 가스 도입 부재(95)와 동일한 1개의 가스 도입 부재(97)와, 이 가스 도입 부재(97)의 하단에 연결하여, 넓어지도록 다수로 분기되어 각각의 가지부에 하부방향(웨이퍼 방향)을 향하여 가스를 토출하도록 다수의 토출 구멍을 형성한 가스 토출부(98)로 구성되는 샤워 헤드가 있다. 또한, 2 종류의 프로세스 가스를 별개로 도입하도록 했지만, 함께 도입하도록 해도 무방하다.
또한, 상기 각 실시형태에서는 TiN 박막의 성막에 대하여 도시했지만, 이것에 한하지 않고 다른 막이어도 무방하다. 특히, Ti 함유 재료 또는 Si 함유 재료를 성막할 때에는 염소 함유 가스를 원료 가스로서 이용하기 때문에, 본 발명은 이들 재료를 성막할 때에 유효하다. 이러한 재료로는 TiN, Ti, TiSiN, SiN이나, 최근 저유전율의 층간 절연막으로서 이용되고 있는 SiOF 등의 저유전율[low dielectric constant(k)] 재료, 또한 Cu의 배리어나 에칭 정지재로서 이용되고 있는 SiN을 들 수 있다.
또한, 상기 실시형태에서는 안테나로서 코일을 이용한 ICP-CVD 장치를 이용했지만, 챔버나 종형 용기를 사용하는 플라즈마 CVD 장치라면, 소용돌이형 안테나를 이용하는 TCP(트랜스 결합 플라즈마; Transformer Coupled Plasma)나, 헬리콘파를 이용하는 헬리콘파 플라즈마 처리 장치를 이용하는 것도 가능하다.
다음에, 도 18, 도 19 및 도 20에 있어서, 상술한 각 실시형태에 있어서의 처리 장치에 탑재한 챔버의 응용예를 도시하여 설명한다. 하기에 설명하는 처리 장치에 있어서의 하부 챔버는 도 1에 도시한 하부 챔버(11a)를 예로 하고 있고, 또한 가스 공급 시스템은 도 12에 도시한 챔버 측면으로부터 가스를 공급하는 가스 공급 기구를 예로 하고 있다. 여기서는 특징 부분만을 설명하고, 동일한 구성 부위에는 동일한 참조 부호를 부여하여, 그 설명을 생략한다.
도 18은 높이가 낮은 박형 챔버의 일례이다. 이 박형 챔버(11e)는 얇고, 예 를 들면 높이(깊이)(H)가 0.65cm 내지 10cm 정도이며, 바람직하게는 높이(H)가 0.65cm 내지 5cm가 적절하다. 또한, 챔버 내부 상면에서 웨이퍼(W)까지의 거리는 3.8cm 내지 30cm 정도이며, 바람직하게는 3.8cm 내지 20cm가 적절하다. 이와 같이, 챔버의 용기 용량이 적은 형상이기 때문에, 챔버 내부에 노출되는 면적이 작고, 장치가 소형화되어 유지 보수가 용이하게 되고, 배기 장치(62)에 걸리는 배기 부하가 경감된다.
도 19에 도시한 상부 챔버(11f)는 반구 형상 챔버, 소위 종형 용기 형상이며, 도 20의 상부 챔버(11g)는 돔 형상이다. 이들 상부 챔버에 의하면, 웨이퍼(W)에 대하여 안테나에 의한 전계 분포가 상자형 챔버에 비해서 균일하게 되기 때문에, 형성막의 막두께 분포나 에칭 등이 균일화된다.
도 21은 본 발명의 고내식성 용사막을 갖는 챔버를 탑재하는 처리 장치에 있어서, 제 5 실시형태로서 열처리 장치에 적용한 구성예를 도시한 도면이다.
이 열처리 장치(101)는 Ar 가스나 산소 가스를 이용하여, 형성막의 재결정화를 촉진하는 풀림 처리나 열산화 처리를 행할 수 있다.
이 열처리 장치(101)는 챔버(102)내에 배치된 웨이퍼(W)를 탑재하는 서셉터(103)와, 서셉터(103)의 하부방향에 설치되어 웨이퍼(W)의 반송시에 다수의 리프트 핀(104)으로 들어올리기 위한 웨이퍼 리프트 기구(105)와, 서셉터(103) 내부에 설치된 히터(106)와, 밀폐되도록 Ar 가스나 산소 가스 등의 가스를 웨이퍼(W)에 공급하는 가스 흐름 챔버(107)를 갖고 있다. 이 가스 흐름 챔버(107) 내부의 면상과, 서셉터(103)상에 설치되어 웨이퍼(W)의 탑재 위치를 안내하는 안내부(108) 면 상에는 상술한 Al2O3/Y2O3로 이루어진 용사막(14)을 형성함으로써, 상술한 각 실시형태와 동등한 효과를 얻을 수 있다.
도 22는 본 발명의 고내식성 용사막을 갖는 챔버를 탑재하는 처리 장치에 있어서, 제 6 실시형태로서 애싱 장치에 적용한 구성예를 도시한 도면이다.
이 애싱 장치는 하부 챔버(111a)와 상부 챔버(111b)로 이루어지고, 기밀 가능한 챔버(111)와, 웨이퍼(W)를 탑재하는 서셉터(112)와, 서셉터(112)의 내부에 설치된 웨이퍼(W) 가열용 히터(113)와, 도시하지 않은 산소 등의 프로세스 가스를 공급하기 위한 가스 공급 기구와, 챔버(111)내를 배기하기 위한 배기 장치로 구성된다.
이러한 애싱 장치에 있어서, 상부 챔버(111b)의 내부 전체면과, 하부 챔버의 내부 바닥면을 제외한 면(측벽면)상에는 상술한 것과 동등한 Al2O3/Y2O3로 이루어진 고내식성의 용사막이 형성되어 있다. 이 용사막(14)을 형성함으로써, 상술한 각 실시형태와 동등한 효과를 얻을 수 있다.
도 23은 본 발명의 고내식성 용사막을 갖는 챔버를 탑재하는 처리 장치에 있어서, 제 7 실시형태로서 에칭 장치에 적용한 구성예를 도시한 도면이다.
이 에칭 장치는 기밀 가능한 챔버(121)와, 챔버(121)내에 설치되어 플라즈마 생성용의 상부 전극의 기능을 가지는 프로세스 가스 공급용 샤워 헤드(122)와, 웨이퍼(W)를 탑재하여 플라즈마 생성용의 상부 전극의 기능을 가지는 서셉터(123)와, 다수의 밸브(124) 및 다수의 프로세스 가스 공급원(125)을 구비한 프로세스 가스 공급 기구(126)와, 샤워 헤드(122)에 고주파 전력을 부여하는 고주파 전원(127)과, 샤워 헤드(122)의 주위에 설치된 쉴드 링(shield ring)(128)과, 서셉터(123)의 상면에 설치된 정전 척 시스템(129)과, 이 정전 척(129) 상면의 외주에서 웨이퍼(W)를 둘러싸도록 설치된 포커스 링(130)과, 챔버(121)의 측면에 설치되어 웨이퍼(W)의 반입반출을 행하기 위한 게이트 밸브(131)와, 챔버(121)의 내측면에 설치된 데포쉴드(deposhield)(132)로 구성된다.
이들 중에, 서셉터(123), 포커스 링(130), 샤워 헤드(122) 및 쉴드 링(128)에 있어서의 챔버내에 노출되는 면, 또한 챔버(121)의 내부 상면 및 내부 바닥면에는 각각 상술한 것과 동일한 용사막(14)이 형성된다.
또한, 상술한 각 실시형태에서 형성한 용사막의 막두께는 50㎛ 이상이면 좋다. 용사막의 두께가 50㎛ 미만인 경우에는 내절연성 및 내전압성이 낮다. 이것은 본 발명자가 경험적으로 얻은 도 24의 막두께에 대한 절연 파괴 전압(절연 파괴 내압)의 관계를 나타내는 데이터에 근거하고 있다. 상기 도 24에 의하면, 50㎛ 내지 300㎛의 막두께 중, 50㎛ 내지 100㎛가 바람직하다. 특히 비용의 면에서 보면, 50㎛ 정도가 좋다.
본 실시형태에 있어서도 용사막(14)을 형성함으로써, 상술한 실시형태와 동일한 효과를 얻을 수 있다.
또한, 상술한 각 실시형태에서는 기판으로서 반도체 웨이퍼를 이용한 예를 설명하였지만, 이것에 한하지 않고 액정 표시 장치(LCD)의 유리 기판이라도 무방하다.
상술한 각 실시형태에 의하면, Al2O3/Y2O3 중량비가 0.5 이상인 Al2O3 및 Y2O3로부터 실질적으로 이루어진 용사막을 챔버의 내벽에 형성함으로써, 이 용사막의 고내식성으로부터 플라즈마나 클리닝 가스에 의해서 챔버가 부식되기 어렵게 된다. 따라서, 챔버 내부의 부식이 발생하기 어려운 성막, 에칭, 애싱 및 열처리를 하는 처리 장치에 적절하다.
또한, 가스 공급 기구는 챔버내의 웨이퍼 상면 근방에 프로세스 가스를 공급하기 때문에, 챔버 내벽에 거의 도달하지 않고, 생성물이 거의 부착하지 않는다. 또한, 챔버의 재료로는 세라믹(Al2O3, SiO2, AlN 등), 알루미늄, 스테인레스강, 금속 또는 합금을 이용할 수 있다.
본 발명에 따르면, Al2O3/Y2O3 중량비가 0.5 이상인 Al2O3 및 Y2O3로부터 이루어진 용사막을 챔버의 내벽에 형성함으로써, 이 용사막이 고내식성을 갖기 때문에 플라즈마나 클리닝 가스에 의해서 챔버가 부식되기 어렵게 되어서, 챔버의 수명을 길게 할 수 있다. 또한, 가스 공급 기구는 챔버내의 웨이퍼 상면 근방에 프로세스 가스를 공급하기 때문에, 챔버 내벽에 거의 도달하지 않고, 생성물이 거의 부착하지 않게 할 수 있다.

Claims (19)

  1. 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재로서,
    기재와,
    그 위에 주기율표 3a족 원소 화합물을 이용하여 용사에 의해 형성된 후 표면이 연마되고, 내플라즈마성 및 부식 가스에 대한 내부식성을 갖는 상기 주기율표 3a족 원소 화합물을 포함하는 막을 구비하는 것을 특징으로 하는
    내식성 부재.
  2. 제 1 항에 있어서,
    상기 주기율표 3a족 원소 화합물을 포함하는 막은, 이 주기율표 3a족 원소 화합물에, 추가로 Al2O3를 포함하는 것을 특징으로 하는
    내식성 부재.
  3. 제 1 항에 있어서,
    상기 주기율표 3a족 원소 화합물을 포함하는 막은, 이트륨·알루미늄·가넷을 포함하는 것을 특징으로 하는
    내식성 부재.
  4. 제 1 항에 있어서,
    상기 주기율표 3a족 원소 화합물을 포함하는 막은, Y, La, Ce, Eu 및 Dy 중 어느 하나의 산화물, 또는 Y, La, Ce, Eu 및 Dy 중 어느 하나의 불화물로부터 선택되는 막인 것을 특징으로 하는
    내식성 부재.
  5. 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재로서,
    기재와,
    그 위에 Y2O3를 이용하여 용사에 의해 형성된 후 표면이 연마되고, 내플라즈마성 및 부식 가스에 대한 내부식성을 갖는 상기 Y2O3를 포함하는 막을 구비하는 것을 특징으로 하는
    내식성 부재.
  6. 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재의 제조방법으로서,
    상기 내식성 부재를 구성하는 기재를 준비하고, 그 위에 주기율표 3a족 원소 화합물을 이용하여, 내플라즈마성 및 부식 가스에 대한 내부식성을 갖는 상기 주기율표 3a족 원소 화합물을 포함하는 막을 용사에 의해 형성하고, 그 후 그 막이 연마되는 것을 특징으로 하는
    내식성 부재의 제조방법.
  7. 제 6 항에 있어서,
    상기 주기율표 3a족 원소 화합물을 포함하는 막은, 이트륨·알루미늄·가넷을 포함하는 것을 특징으로 하는
    내식성 부재의 제조방법.
  8. 제 6 항에 있어서,
    상기 주기율표 3a족 원소 화합물을 포함하는 막은, 실질적으로 Y, La, Ce, Eu 및 Dy의 산화물 또는 불화물 중 어느 하나로부터 선택되는 막인 것을 특징으로 하는
    내식성 부재의 제조방법.
  9. 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재의 제조방법으로서,
    상기 내식성 부재를 구성하는 기재를 준비하고, 그 위에 Y2O3를 이용하여, 내플라즈마성 및 부식 가스에 대한 내부식성을 갖는 상기 Y2O3를 포함하는 막을 용사에 의해 형성하고, 그 후 그 막이 연마되는 것을 특징으로 하는
    내식성 부재의 제조방법.
  10. 제 1 항 및 제 3 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 기재는, 석영, 세라믹, 금속, 금속 합금, 경질 플라스틱 및 표면이 양극 산화 처리된 알루미늄 중 어느 하나로 구성되어 있는 것을 특징으로 하는
    내식성 부재.
  11. 제 6 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 기재는, 석영, 세라믹, 금속, 금속 합금, 경질 플라스틱 및 표면이 양극 산화 처리된 알루미늄 중 어느 하나로 구성되어 있는 것을 특징으로 하는
    내식성 부재의 제조방법.
  12. 제 3 항에 있어서,
    상기 이트륨·알루미늄·가넷을 포함하는 막은, 비정질인 것을 특징으로 하는
    내식성 부재.
  13. 제 7 항에 있어서,
    상기 이트륨·알루미늄·가넷을 포함하는 막은, 비정질인 것을 특징으로 하는
    내식성 부재의 제조방법.
  14. 제 1 항 및 제 3 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 처리 장치는, 플라즈마 처리 장치, CVD 처리 장치 또는 애싱 처리 장치인 것을 특징으로 하는
    내식성 부재.
  15. 제 1 항 및 제 3 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 처리 장치는, 플라즈마 에칭 처리 장치인 것을 특징으로 하는
    내식성 부재.
  16. 제 1 항에 있어서,
    상기 주기율표 3a족 원소 화합물을 포함하는 막은, 막 두께 50㎛ 이상이고, 절연 파괴 내압 4kV 이상을 가지며, 또한 CF4와 Ar과 O2의 혼합 가스의 플라즈마에 의한 삭감량이 알루미나의 삭감량보다 작은 것을 특징으로 하는
    내식성 부재.
  17. 제 5 항에 있어서,
    상기 Y2O3를 포함하는 막은, 막 두께 50㎛ 이상이고, 절연 파괴 내압 4kV 이상을 가지며, 또한 CF4와 Ar과 O2의 혼합 가스의 플라즈마에 의한 삭감량이 알루미나의 삭감량보다 작은 것을 특징으로 하는
    내식성 부재.
  18. 제 6 항에 있어서,
    상기 주기율표 3a족 원소 화합물을 포함하는 막은, 막 두께 50㎛ 이상이고, 절연 파괴 내압 4kV 이상을 가지며, 또한 CF4와 Ar과 O2의 혼합 가스의 플라즈마에 의한 삭감량이 알루미나의 삭감량보다 작은 것을 특징으로 하는
    내식성 부재의 제조방법.
  19. 제 9 항에 있어서,
    상기 Y2O3를 포함하는 막은, 막 두께 50㎛ 이상이고, 절연 파괴 내압 4kV 이상을 가지며, 또한 CF4와 Ar과 O2의 혼합 가스의 플라즈마에 의한 삭감량이 알루미나의 삭감량보다 작은 것을 특징으로 하는
    내식성 부재의 제조방법.
KR1020080067621A 1999-12-10 2008-07-11 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재 및 그 제조방법 KR100944571B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP35201899 1999-12-10
JPJP-P-1999-00352018 1999-12-10

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020070081242A Division KR100944570B1 (ko) 1999-12-10 2007-08-13 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재 및 그 제조방법

Publications (2)

Publication Number Publication Date
KR20080072806A KR20080072806A (ko) 2008-08-07
KR100944571B1 true KR100944571B1 (ko) 2010-02-25

Family

ID=18421226

Family Applications (15)

Application Number Title Priority Date Filing Date
KR1020000074163A KR20010062209A (ko) 1999-12-10 2000-12-07 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
KR1020070008620A KR100972878B1 (ko) 1999-12-10 2007-01-26 처리 장치
KR1020070008618A KR100884164B1 (ko) 1999-12-10 2007-01-26 내식성 부재 및 내식성 부재의 제조방법
KR1020070081265A KR100885597B1 (ko) 1999-12-10 2007-08-13 처리 장치
KR1020070081254A KR100884165B1 (ko) 1999-12-10 2007-08-13 처리 장치
KR1020070081242A KR100944570B1 (ko) 1999-12-10 2007-08-13 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재 및 그 제조방법
KR1020080067621A KR100944571B1 (ko) 1999-12-10 2008-07-11 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재 및 그 제조방법
KR1020080068351A KR100944572B1 (ko) 1999-12-10 2008-07-14 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치
KR1020080068339A KR100944573B1 (ko) 1999-12-10 2008-07-14 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치
KR1020080071397A KR100922902B1 (ko) 1999-12-10 2008-07-22 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재 및 그 제조방법
KR1020080080544A KR100934508B1 (ko) 1999-12-10 2008-08-18 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
KR1020080080533A KR100934048B1 (ko) 1999-12-10 2008-08-18 가스 토출 부재 및 플라즈마 처리 장치
KR1020090083065A KR100944576B1 (ko) 1999-12-10 2009-09-03 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치
KR1020090083063A KR100994592B1 (ko) 1999-12-10 2009-09-03 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치
KR1020100033986A KR101015667B1 (ko) 1999-12-10 2010-04-13 용사막이 형성된 부재 및 에칭 장치

Family Applications Before (6)

Application Number Title Priority Date Filing Date
KR1020000074163A KR20010062209A (ko) 1999-12-10 2000-12-07 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
KR1020070008620A KR100972878B1 (ko) 1999-12-10 2007-01-26 처리 장치
KR1020070008618A KR100884164B1 (ko) 1999-12-10 2007-01-26 내식성 부재 및 내식성 부재의 제조방법
KR1020070081265A KR100885597B1 (ko) 1999-12-10 2007-08-13 처리 장치
KR1020070081254A KR100884165B1 (ko) 1999-12-10 2007-08-13 처리 장치
KR1020070081242A KR100944570B1 (ko) 1999-12-10 2007-08-13 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재 및 그 제조방법

Family Applications After (8)

Application Number Title Priority Date Filing Date
KR1020080068351A KR100944572B1 (ko) 1999-12-10 2008-07-14 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치
KR1020080068339A KR100944573B1 (ko) 1999-12-10 2008-07-14 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치
KR1020080071397A KR100922902B1 (ko) 1999-12-10 2008-07-22 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재 및 그 제조방법
KR1020080080544A KR100934508B1 (ko) 1999-12-10 2008-08-18 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
KR1020080080533A KR100934048B1 (ko) 1999-12-10 2008-08-18 가스 토출 부재 및 플라즈마 처리 장치
KR1020090083065A KR100944576B1 (ko) 1999-12-10 2009-09-03 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치
KR1020090083063A KR100994592B1 (ko) 1999-12-10 2009-09-03 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치
KR1020100033986A KR101015667B1 (ko) 1999-12-10 2010-04-13 용사막이 형성된 부재 및 에칭 장치

Country Status (4)

Country Link
US (4) US20010003271A1 (ko)
JP (4) JP2001226773A (ko)
KR (15) KR20010062209A (ko)
TW (1) TW514996B (ko)

Families Citing this family (513)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6824825B2 (en) * 1999-09-13 2004-11-30 Tokyo Electron Limited Method for depositing metallic nitride series thin film
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
KR100516844B1 (ko) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
US6568896B2 (en) * 2001-03-21 2003-05-27 Applied Materials, Inc. Transfer chamber with side wall port
JP2002356387A (ja) * 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
KR100433285B1 (ko) * 2001-07-18 2004-05-31 주성엔지니어링(주) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자제조장치
JP4277973B2 (ja) * 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
JP4663927B2 (ja) * 2001-08-29 2011-04-06 信越化学工業株式会社 希土類含有酸化物部材
JP4838464B2 (ja) * 2001-09-26 2011-12-14 東京エレクトロン株式会社 処理方法
TWI262905B (en) 2001-11-13 2006-10-01 Tosoh Corp Quartz glass parts, ceramic parts and process of producing those
JP3964198B2 (ja) * 2001-12-21 2007-08-22 東京エレクトロン株式会社 プラズマ処理装置及びプロセス処理システム
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6884514B2 (en) 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
MXPA04007358A (es) * 2002-01-30 2005-06-08 Thyssen Elevator Capital Corp Cuerda de fibra sintetica para elevador.
JP2003224077A (ja) * 2002-01-30 2003-08-08 Tokyo Electron Ltd プラズマ処理装置、電極部材、バッフル板の製造方法、処理装置、および、表面処理方法
JP4074461B2 (ja) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
EP1352986B8 (en) 2002-04-04 2009-03-04 Tosoh Corporation Quartz glass thermal sprayed parts and method for producing the same
US7086347B2 (en) * 2002-05-06 2006-08-08 Lam Research Corporation Apparatus and methods for minimizing arcing in a plasma processing chamber
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US20040129674A1 (en) * 2002-08-27 2004-07-08 Tokyo Electron Limited Method and system to enhance the removal of high-k dielectric materials
JP4083512B2 (ja) * 2002-08-30 2008-04-30 東京エレクトロン株式会社 基板処理装置
JP4260450B2 (ja) * 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
JP4503270B2 (ja) * 2002-11-28 2010-07-14 東京エレクトロン株式会社 プラズマ処理容器内部材
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
JP3829935B2 (ja) 2002-12-27 2006-10-04 信越化学工業株式会社 高耐電圧性部材
CN100418187C (zh) 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
KR101016913B1 (ko) * 2003-03-31 2011-02-22 도쿄엘렉트론가부시키가이샤 처리요소용 배리어층 및 그의 형성방법
JP4394073B2 (ja) * 2003-05-02 2010-01-06 東京エレクトロン株式会社 処理ガス導入機構およびプラズマ処理装置
US7297247B2 (en) * 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
JP3868925B2 (ja) * 2003-05-29 2007-01-17 株式会社日立製作所 プラズマ処理装置
US20060124455A1 (en) * 2003-06-02 2006-06-15 Yizhou Song Thin film forming device and thin film forming method
KR101030433B1 (ko) * 2003-06-12 2011-04-20 주성엔지니어링(주) 챔버실드를 포함하는 화학기상증착 장치 및 챔버실드의 제조방법
KR100973666B1 (ko) * 2003-06-17 2010-08-03 주성엔지니어링(주) 원자층증착장치의 가스밸브 어셈블리
KR20050004995A (ko) * 2003-07-01 2005-01-13 삼성전자주식회사 플라즈마를 이용하는 기판 가공 장치
US7329467B2 (en) * 2003-08-22 2008-02-12 Saint-Gobain Ceramics & Plastics, Inc. Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same
JP2005072446A (ja) * 2003-08-27 2005-03-17 Chi Mei Electronics Corp プラズマ処理装置及び基板の表面処理装置
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
KR100568256B1 (ko) * 2003-12-11 2006-04-07 삼성전자주식회사 반도체 소자 제조 장비의 세정 방법
JP4532897B2 (ja) * 2003-12-26 2010-08-25 財団法人国際科学振興財団 プラズマ処理装置、プラズマ処理方法及び製品の製造方法
JP4606121B2 (ja) * 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
US20050227382A1 (en) * 2004-04-02 2005-10-13 Hui Angela T In-situ surface treatment for memory cell formation
JP4451221B2 (ja) * 2004-06-04 2010-04-14 東京エレクトロン株式会社 ガス処理装置および成膜装置
US7119032B2 (en) * 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
KR100953707B1 (ko) * 2004-08-24 2010-04-19 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 반도체 프로세싱 부품 및 이를 사용하는 반도체 제조방법
KR101069195B1 (ko) * 2004-09-23 2011-09-30 엘지디스플레이 주식회사 평판표시장치의 제조를 위한 대기개방형 박막처리장치 및이를 이용한 박막처리방법
KR101226120B1 (ko) * 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
JP2006144094A (ja) * 2004-11-22 2006-06-08 Fujimi Inc 溶射用粉末及びその製造方法
JP4560387B2 (ja) * 2004-11-30 2010-10-13 株式会社フジミインコーポレーテッド 溶射用粉末、溶射方法及び溶射皮膜
JP4912598B2 (ja) * 2005-02-15 2012-04-11 株式会社フジミインコーポレーテッド 溶射用粉末
US20060213437A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
WO2006137541A1 (ja) * 2005-06-23 2006-12-28 Tokyo Electron Limited 半導体処理装置用の構成部材及びその製造方法
JP2007088411A (ja) * 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
KR100727672B1 (ko) * 2005-07-14 2007-06-13 신에쯔 세끼에이 가부시키가이샤 플라즈마 에칭 장치용 부재 및 그 제조 방법
JP5308664B2 (ja) * 2005-09-01 2013-10-09 パナソニック株式会社 プラズマ処理装置
JP5089874B2 (ja) * 2005-09-12 2012-12-05 トーカロ株式会社 プラズマ処理装置用部材およびその製造方法
JP4522984B2 (ja) * 2005-11-02 2010-08-11 パナソニック株式会社 プラズマ処理装置
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US20070202701A1 (en) * 2006-02-27 2007-08-30 Tokyo Electron Limited Plasma etching apparatus and method
US20070215278A1 (en) * 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
KR100939256B1 (ko) * 2006-06-21 2010-01-29 한국과학기술연구원 반도체 제조 장비용 열용사 코팅물질의 제조방법
WO2007148931A1 (en) * 2006-06-21 2007-12-27 Korea Institute Of Science And Technology Ceramic coating material for thermal spray on the parts of semiconductor processing devices and fabrication method and coating method thereof
WO2008010632A1 (en) * 2006-07-20 2008-01-24 University-Industry Collaboration Foundation Chungnam National University Electrostatic chuck with high-resistivity ceramic coating materials
KR100877381B1 (ko) * 2006-07-20 2009-01-09 충남대학교산학협력단 고저항 세라믹 열용사 코팅 소재 및 이를 포함하는정전척의 제조방법
JP5134223B2 (ja) * 2006-09-06 2013-01-30 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP2008103403A (ja) * 2006-10-17 2008-05-01 Tokyo Electron Ltd 基板載置台及びプラズマ処理装置
JP5159204B2 (ja) 2006-10-31 2013-03-06 株式会社フジミインコーポレーテッド 溶射用粉末、溶射皮膜の形成方法、耐プラズマ性部材、及びプラズマ処理チャンバー
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20080236491A1 (en) * 2007-03-30 2008-10-02 Tokyo Electron Limited Multiflow integrated icp source
US7718559B2 (en) * 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
TWI654160B (zh) 2007-04-27 2019-03-21 美商應用材料股份有限公司 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US7976674B2 (en) * 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
KR101408375B1 (ko) * 2007-08-29 2014-06-18 최대규 유도 결합 플라즈마 소스가 내장된 히터 및 이를 구비한플라즈마 처리 챔버
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
KR100887582B1 (ko) * 2007-09-27 2009-03-09 세메스 주식회사 웨이퍼 가공 장치 및 이를 이용한 웨이퍼 가공 방법
CN101849283A (zh) * 2007-11-01 2010-09-29 株式会社Eugene科技 使用高频电感耦合等离子体对晶片进行表面处理的设备
US20090151870A1 (en) * 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
SG170079A1 (en) * 2008-01-14 2011-04-29 Komico Ltd Spray coating powder and method of manufacturing spray coating powder
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
WO2009125477A1 (ja) * 2008-04-08 2009-10-15 株式会社島津製作所 プラズマcvd用のカソード電極、およびプラズマcvd装置
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
KR101004822B1 (ko) * 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR20100006009A (ko) * 2008-07-08 2010-01-18 주성엔지니어링(주) 반도체 제조 장치
JP5439771B2 (ja) * 2008-09-05 2014-03-12 東京エレクトロン株式会社 成膜装置
KR101497897B1 (ko) * 2008-11-27 2015-03-05 삼성전자 주식회사 반도체 제조장치
JP5479867B2 (ja) * 2009-01-14 2014-04-23 東京エレクトロン株式会社 誘導結合プラズマ処理装置
JP2010174325A (ja) * 2009-01-29 2010-08-12 Kyocera Corp 放電用電極体、放電用電極アセンブリおよび放電処理装置
JP5214513B2 (ja) * 2009-02-02 2013-06-19 東京エレクトロン株式会社 プラズマ処理装置及び温度測定方法並びに温度測定装置
KR101514098B1 (ko) 2009-02-02 2015-04-21 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치와 온도 측정 방법 및 장치
JP4955027B2 (ja) * 2009-04-02 2012-06-20 クリーン・テクノロジー株式会社 排ガス処理装置における磁場によるプラズマの制御方法
KR101065351B1 (ko) * 2009-04-10 2011-09-16 세메스 주식회사 기판 이송 장치
KR101035547B1 (ko) * 2009-05-21 2011-05-23 삼정보일러공업(주) 산업 폐기물을 연소할 때 발생하는 폐열을 이용한 열 교환 방법 및 그 장치
JP5323628B2 (ja) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5039120B2 (ja) * 2009-12-07 2012-10-03 東京エレクトロン株式会社 プラズマ処理装置用のアルミナ部材及びプラズマ処理装置用のアルミナ部材の製造方法
KR101074810B1 (ko) * 2009-12-23 2011-10-19 삼성모바일디스플레이주식회사 캐리어 가스 공급 구조가 개선된 증착 장치 및 그것을 이용한 유기 발광 디스플레이 장치 제조방법
KR101716311B1 (ko) * 2009-12-24 2017-03-14 닛산 가가쿠 고교 가부시키 가이샤 이종원소 간 결합을 포함하는 화합물의 제조방법
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US9190289B2 (en) * 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
JP5740203B2 (ja) * 2010-05-26 2015-06-24 東京エレクトロン株式会社 プラズマ処理装置及びその処理ガス供給構造
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120183790A1 (en) * 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9155181B2 (en) * 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9336996B2 (en) * 2011-02-24 2016-05-10 Lam Research Corporation Plasma processing systems including side coils and methods related to the plasma processing systems
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
JP6034156B2 (ja) * 2011-12-05 2016-11-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20140108307A (ko) 2011-12-28 2014-09-05 가부시키가이샤 후지미인코퍼레이티드 산화이트륨 피막
KR101371435B1 (ko) * 2012-01-04 2014-03-12 주식회사 유진테크 처리유닛을 포함하는 기판 처리 장치
TW201347035A (zh) * 2012-02-02 2013-11-16 Greene Tweed Of Delaware 用於具有延長生命週期的電漿反應器的氣體分散板
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
SG11201405405SA (en) * 2012-03-09 2014-11-27 Youtec Co Ltd Cvd apparatus
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9279185B2 (en) * 2012-06-14 2016-03-08 Asm Technology Singapore Pte Ltd Feed-through apparatus for a chemical vapour deposition device
KR101383291B1 (ko) * 2012-06-20 2014-04-10 주식회사 유진테크 기판 처리 장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP6257071B2 (ja) * 2012-09-12 2018-01-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014082354A (ja) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
JP6017936B2 (ja) * 2012-11-27 2016-11-02 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
WO2014103728A1 (ja) * 2012-12-27 2014-07-03 昭和電工株式会社 成膜装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
CN107557758A (zh) * 2013-05-01 2018-01-09 应用材料公司 用于控制外延沉积腔室流量的注入及排放设计
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
JP6170340B2 (ja) * 2013-05-21 2017-07-26 東京エレクトロン株式会社 ガス供給ヘッド、ガス供給機構及び基板処理装置
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9711334B2 (en) * 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6045485B2 (ja) * 2013-12-20 2016-12-14 東京エレクトロン株式会社 基板処理装置
JP6317921B2 (ja) * 2013-12-20 2018-04-25 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP5888458B2 (ja) 2014-06-26 2016-03-22 Toto株式会社 耐プラズマ性部材及びその製造方法
US9431221B2 (en) * 2014-07-08 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-processing apparatus with upper electrode plate and method for performing plasma treatment process
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
CN106575634A (zh) * 2014-08-15 2017-04-19 应用材料公司 在等离子体增强化学气相沉积系统中于高温下使用压缩应力或拉伸应力处理晶片的方法和装置
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
CN107004583B (zh) 2014-12-02 2020-06-26 昭和电工株式会社 晶片支承台、化学气相生长装置、外延晶片及其制造方法
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
JP6156850B2 (ja) * 2014-12-25 2017-07-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の部材の交換判断方法
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
KR20200103890A (ko) * 2015-02-13 2020-09-02 엔테그리스, 아이엔씨. 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
JP5981013B1 (ja) * 2015-02-24 2016-08-31 株式会社リケン 内燃機関用ピストンリング
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
CN107690487B (zh) * 2015-06-12 2021-03-09 应用材料公司 用于半导体外延生长的注射器
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN106337202B (zh) * 2015-07-17 2018-11-06 中国科学院苏州纳米技术与纳米仿生研究所 一种用于高温晶体生长的气体花洒装置
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
WO2017095561A1 (en) * 2015-12-04 2017-06-08 Applied Materials, Inc. Advanced coating method and materials to prevent hdp-cvd chamber arcing
KR20180087457A (ko) * 2015-12-22 2018-08-01 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세스 장비를 위한 내부식성 코팅
CN106935470B (zh) * 2015-12-31 2019-03-08 中微半导体设备(上海)有限公司 一种带有温度测量装置的等离子处理器
US10727089B2 (en) * 2016-02-12 2020-07-28 Lam Research Corporation Systems and methods for selectively etching film
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP2017157778A (ja) 2016-03-04 2017-09-07 東京エレクトロン株式会社 基板処理装置
TWI677593B (zh) * 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10170313B2 (en) * 2016-05-02 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for a tunable electromagnetic field apparatus to improve doping uniformity
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6700156B2 (ja) * 2016-11-16 2020-05-27 株式会社ニューフレアテクノロジー 成膜装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
JP6899217B2 (ja) * 2016-12-28 2021-07-07 株式会社Screenホールディングス 基板処理装置、基板処理方法および基板処理システム
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN106539491B (zh) * 2017-01-23 2018-09-07 珠海格力电器股份有限公司 锅盖组件及压力锅
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11469079B2 (en) * 2017-03-14 2022-10-11 Lam Research Corporation Ultrahigh selective nitride etch to form FinFET devices
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10563303B2 (en) 2017-05-10 2020-02-18 Applied Materials, Inc. Metal oxy-flouride films based on oxidation of metal flourides
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US20180358204A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Strip Tool With Multiple Gas Injection Zones
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
WO2019051364A1 (en) * 2017-09-11 2019-03-14 Applied Materials, Inc. IN SITU SELECTIVE CLEANING OF HIGH K FILMS FROM A PROCESSING CHAMBER USING A REACTIVE GAS PRECURSOR
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
JP2019116676A (ja) * 2017-12-27 2019-07-18 テクノクオーツ株式会社 成膜装置の構成部材の耐食構造およびその構成部材の製造方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11087961B2 (en) * 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR102253808B1 (ko) * 2019-01-18 2021-05-20 주식회사 유진테크 기판 처리 장치
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
SG11202107817XA (en) * 2019-03-11 2021-09-29 Applied Materials Inc Lid assembly apparatus and methods for substrate processing chambers
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP7331762B2 (ja) * 2019-04-12 2023-08-23 信越化学工業株式会社 溶射材料、その製造方法、及び溶射皮膜の形成方法
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7340170B2 (ja) * 2019-06-25 2023-09-07 東京エレクトロン株式会社 ガス導入構造、熱処理装置及びガス供給方法
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102227909B1 (ko) * 2019-07-16 2021-03-16 주식회사 유진테크 배치식 기판처리장치 및 그 운용방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR102077974B1 (ko) * 2019-08-29 2020-02-14 주식회사 기가레인 플라즈마 처리 수직도가 향상된 포커스링을 포함하는 플라즈마 처리 장치
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR102077975B1 (ko) * 2019-10-15 2020-02-14 주식회사 기가레인 플라즈마 처리 수직도가 향상된 플라즈마 처리 장치
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
CN112713073B (zh) 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种耐腐蚀气体输送部件及其等离子体处理装置
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR102161704B1 (ko) * 2020-01-21 2020-10-06 한국과학기술연구원 부품 불화 장치 및 방법
WO2021150331A1 (en) * 2020-01-23 2021-07-29 Lam Research Corporation Protective coating for a semiconductor reaction chamber
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20220151610A (ko) * 2020-03-06 2022-11-15 도카로 가부시키가이샤 신규한 텅스텐계 용사 피막 및 그것을 얻기 위한 용사용 재료
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11661650B2 (en) * 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source
US11939664B2 (en) * 2021-08-30 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing semiconductor processes with coated bell jar
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023239542A1 (en) * 2022-06-07 2023-12-14 Lam Research Corporation Vacuum-insulated, heated reactor construction

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH104083A (ja) * 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材
KR19990013565A (ko) * 1997-07-15 1999-02-25 시바타마사하루 내식성 부재, 웨이퍼 설치 부재 및 내식성 부재의 제조 방법

Family Cites Families (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
AU541132B2 (en) * 1980-03-13 1984-12-20 T.I. Accles & Pollock Ltd Golf club shaft
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPS5887273A (ja) * 1981-11-18 1983-05-25 Hitachi Ltd セラミツク被覆層を有する部品とその製造方法
JPH065155B2 (ja) 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
NO163412B (no) 1988-01-25 1990-02-12 Elkem Technology Plasmalanse.
DE69015715T2 (de) 1989-07-11 1995-08-17 Sony Corp Verfahren zur Wärmebehandlung eines optischen Oxidkristalles und Wärmebehandlungsvorrichtung dafür.
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
JPH03115535A (ja) * 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
DE4109979C2 (de) 1990-03-28 2000-03-30 Nisshin Flour Milling Co Verfahren zur Herstellung beschichteter Teilchen aus anorganischen oder metallischen Materialien
JPH07122762B2 (ja) * 1990-06-13 1995-12-25 株式会社精工舎 記録装置
US5180467A (en) 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JP3000179B2 (ja) * 1991-08-26 2000-01-17 東京エレクトロン株式会社 搬送駆動装置
DE4103994A1 (de) 1991-02-11 1992-08-13 Inst Elektroswarki Patona Schutzueberzug vom typ metall-keramik fuer einzelteile aus hitzebestaendigen legierungen
EP0508731B1 (en) * 1991-04-09 1996-09-18 The Tokyo Electric Power Co., Inc. Use of an oxide coating to enhance the resistance to oxidation and corrosion of a silicon nitride based gas turbine blade
JP3175189B2 (ja) 1991-05-13 2001-06-11 ソニー株式会社 減圧cvd装置
JPH05238855A (ja) * 1992-02-28 1993-09-17 Tokyo Electric Power Co Inc:The セラミックコーティング部材の製造方法
CA2097222A1 (en) 1992-06-01 1993-12-02 Somyong Visaisouk Particle blasting utilizing crystalline ice
KR940006221A (ko) 1992-06-05 1994-03-23 제임스 조셉 드롱 집적회로구성 공정처리장치
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5302465A (en) 1992-10-26 1994-04-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Plasma sprayed ceramic thermal barrier coating for NiAl-based intermetallic alloys
WO1994014878A1 (en) 1992-12-28 1994-07-07 Nippon Zeon Co., Ltd. Molding with hard-coating layer and process for producing the same
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JP3228644B2 (ja) * 1993-11-05 2001-11-12 東京エレクトロン株式会社 真空処理装置用素材及びその製造方法
US5484752A (en) 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
JPH07263354A (ja) * 1994-03-25 1995-10-13 Kobe Steel Ltd プラズマcvd膜の形成方法
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
US5895586A (en) 1994-05-17 1999-04-20 Hitachi, Ltd. Plasma processing apparatus and plasma processing method in which a part of the processing chamber is formed using a pre-fluorinated material of aluminum
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
JP3699142B2 (ja) 1994-09-30 2005-09-28 アネルバ株式会社 薄膜形成装置
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5898586A (en) * 1994-11-04 1999-04-27 Eli Lilly And Company Method for administering clinical trail material
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
EP0722919B1 (en) * 1995-01-19 1999-08-11 Ube Industries, Ltd. Ceramic composite
US5759360A (en) 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3599834B2 (ja) * 1995-05-30 2004-12-08 アネルバ株式会社 プラズマ処理装置
JP3595608B2 (ja) 1995-05-30 2004-12-02 アネルバ株式会社 真空処理装置、真空処理装置における真空容器内面堆積膜除去方法及び真空処理装置における真空容器内面膜堆積均一化方法
JPH08339895A (ja) * 1995-06-12 1996-12-24 Tokyo Electron Ltd プラズマ処理装置
TW434745B (en) 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
JP3378126B2 (ja) * 1995-09-01 2003-02-17 三菱電機株式会社 真空処理装置および半導体装置の製造方法
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
IT1277078B1 (it) * 1995-12-14 1997-11-04 Geld & Kapitalanlagen Ag Macchina per la formazione di pastiglie di prodotto cosmetico
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5892278A (en) 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
CA2205817C (en) 1996-05-24 2004-04-06 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
JP3050124B2 (ja) 1996-05-27 2000-06-12 住友金属工業株式会社 プラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JP3241270B2 (ja) 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5885402A (en) 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
JP3619330B2 (ja) * 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
DE19654147A1 (de) * 1996-12-23 1998-06-25 Basf Ag Verwendung von Aminoisothiazolen als Mikrobizide
US6301004B1 (en) 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
JP3798491B2 (ja) * 1997-01-08 2006-07-19 東京エレクトロン株式会社 ドライエッチング方法
US5925228A (en) 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
JP2981184B2 (ja) 1997-02-21 1999-11-22 トーカロ株式会社 ボイラ伝熱管および管内面デポジット付着抑制効果に優れるボイラ伝熱管の製造方法
JP3488373B2 (ja) * 1997-11-28 2004-01-19 京セラ株式会社 耐食性部材
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
JPH10273777A (ja) * 1997-03-28 1998-10-13 Nikon Corp 誘導結合型プラズマcvd装置及びその装置を用いた均一成膜方法
JP2953424B2 (ja) * 1997-03-31 1999-09-27 日本電気株式会社 フェイスダウンボンディング用リードフレーム
US5900064A (en) 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
KR19990008937U (ko) 1997-08-13 1999-03-05 이문세 방음벽 조립체
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6180262B1 (en) * 1997-12-19 2001-01-30 United Technologies Corporation Thermal coating composition
KR100258984B1 (ko) 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JPH11219937A (ja) * 1998-01-30 1999-08-10 Toshiba Corp プロセス装置
JP3350433B2 (ja) 1998-02-16 2002-11-25 シャープ株式会社 プラズマ処理装置
JP4217299B2 (ja) 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (ko) 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP4037956B2 (ja) 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
JP2000103689A (ja) * 1998-09-28 2000-04-11 Kyocera Corp アルミナ質焼結体およびその製造方法、並びに耐プラズマ部材
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP3030287B1 (ja) 1998-10-09 2000-04-10 株式会社協同インターナショナル 成膜装置のクリーニング方法、スパッタリングターゲットのクリーニング方法及びこれらに使用するクリーニング装置
JP2000124197A (ja) 1998-10-16 2000-04-28 Hitachi Ltd プラズマ処理装置
JP4073584B2 (ja) * 1998-11-04 2008-04-09 株式会社ミクニ 弁駆動装置
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
JP4283925B2 (ja) * 1999-01-27 2009-06-24 太平洋セメント株式会社 耐蝕性部材
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
TW465017B (en) 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3911902B2 (ja) 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2001023959A (ja) 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP2001031484A (ja) 1999-07-22 2001-02-06 Nihon Ceratec Co Ltd 耐食性複合部材
US6387817B1 (en) 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6651504B1 (en) * 1999-09-16 2003-11-25 Ut-Battelle, Llc Acoustic sensors using microstructures tunable with energy other than acoustic energy
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP2001152307A (ja) 1999-11-29 2001-06-05 Nippon Steel Hardfacing Co Ltd 耐食性を有し、長期間使用に耐える複合皮膜の形成方法およびその複合皮膜を有する部材
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
JP3567855B2 (ja) 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
DE20104654U1 (de) 2000-03-15 2001-09-13 Preising Paul Eric Reinigungsvorrichtung für hochspannungsführende Anlagenteile
US6364948B1 (en) * 2000-03-21 2002-04-02 Mars, Inc. Coating and drying apparatus
US6396161B1 (en) * 2000-04-17 2002-05-28 Delco Remy America, Inc. Integrated starter alternator troller
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
TWI290589B (en) 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002134481A (ja) 2000-10-25 2002-05-10 Taiheiyo Cement Corp 真空処理装置用部材
JP2002151473A (ja) 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20020090464A1 (en) 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US20040081746A1 (en) 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
ATE404982T1 (de) 2001-02-07 2008-08-15 Hitachi Metals Ltd Verfahren zur herstellung einer metalllegierung für einen seltenerdmagneten auf eisenbasis
US6830622B2 (en) 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
TW541586B (en) 2001-05-25 2003-07-11 Tokyo Electron Ltd Substrate table, production method therefor and plasma treating device
US6811651B2 (en) 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
DE60228661D1 (de) 2001-09-21 2008-10-16 Fujifilm Corp Organische elektrolumineszente Vorrichtung
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
GB2383833A (en) 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6852433B2 (en) 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US20040060779A1 (en) 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US6896106B2 (en) * 2002-10-02 2005-05-24 Arvinmeritor Technology, Llc Method and assembly for automatic slack adjustment of an electric brake actuator
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
US6894769B2 (en) 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
JP2004241203A (ja) 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
KR101016913B1 (ko) 2003-03-31 2011-02-22 도쿄엘렉트론가부시키가이샤 처리요소용 배리어층 및 그의 형성방법
CN100495413C (zh) 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
US6838862B2 (en) * 2003-04-04 2005-01-04 Harris Corporation Pulse width modulator having reduced signal distortion at low duty cycles
EP1780298A4 (en) 2005-07-29 2009-01-07 Tocalo Co Ltd Part Coated with Y203 Thermally Sprayed Film and Method of Making the Same
JP4238882B2 (ja) 2006-06-09 2009-03-18 トヨタ自動車株式会社 車両用エゼクタシステム

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH104083A (ja) * 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材
KR19990013565A (ko) * 1997-07-15 1999-02-25 시바타마사하루 내식성 부재, 웨이퍼 설치 부재 및 내식성 부재의 제조 방법

Also Published As

Publication number Publication date
KR101015667B1 (ko) 2011-02-22
KR20070020110A (ko) 2007-02-16
KR20080071538A (ko) 2008-08-04
KR20070089773A (ko) 2007-09-03
KR100884165B1 (ko) 2009-02-17
KR100934508B1 (ko) 2009-12-29
KR20080082587A (ko) 2008-09-11
KR100922902B1 (ko) 2009-10-22
KR20080072806A (ko) 2008-08-07
KR20080082588A (ko) 2008-09-11
JP4689563B2 (ja) 2011-05-25
KR100934048B1 (ko) 2009-12-24
KR100885597B1 (ko) 2009-02-24
KR20070020109A (ko) 2007-02-16
US20080070032A1 (en) 2008-03-20
KR20070090863A (ko) 2007-09-06
KR20080071963A (ko) 2008-08-05
US20010003271A1 (en) 2001-06-14
US20030200929A1 (en) 2003-10-30
KR20090098780A (ko) 2009-09-17
KR100884164B1 (ko) 2009-02-17
JP2006336114A (ja) 2006-12-14
JP2007291528A (ja) 2007-11-08
US7846291B2 (en) 2010-12-07
KR100944570B1 (ko) 2010-02-25
JP5100202B2 (ja) 2012-12-19
KR100944572B1 (ko) 2010-02-25
KR20070089772A (ko) 2007-09-03
US20080069966A1 (en) 2008-03-20
KR100944573B1 (ko) 2010-02-25
KR20100055370A (ko) 2010-05-26
JP2001226773A (ja) 2001-08-21
KR100994592B1 (ko) 2010-11-15
KR20080075071A (ko) 2008-08-14
KR100972878B1 (ko) 2010-07-28
KR20010062209A (ko) 2001-07-07
KR100944576B1 (ko) 2010-02-25
US7879179B2 (en) 2011-02-01
KR20090098952A (ko) 2009-09-18
JP2012018928A (ja) 2012-01-26
TW514996B (en) 2002-12-21

Similar Documents

Publication Publication Date Title
KR100944571B1 (ko) 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치에 사용되는 내식성 부재 및 그 제조방법
US11251023B2 (en) Multi-layer plasma resistant coating by atomic layer deposition
US8282987B2 (en) Aluminum-plated components of semiconductor material and methods of manufacturing the components
TW202013426A (zh) 具保護性塗層之處理腔室的處理套組
US20240043992A1 (en) Multilayer ald coating for critical components in process chamber
KR100886989B1 (ko) Ti 막의 성막 방법 및 컴퓨터 판독 가능 기억 매체
CN116791086A (zh) 一种耐等离子体腐蚀涂层结构及其制备方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130201

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140204

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150119

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160119

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170119

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180202

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190130

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20200205

Year of fee payment: 11