TW514996B - Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film - Google Patents

Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film Download PDF

Info

Publication number
TW514996B
TW514996B TW089126103A TW89126103A TW514996B TW 514996 B TW514996 B TW 514996B TW 089126103 A TW089126103 A TW 089126103A TW 89126103 A TW89126103 A TW 89126103A TW 514996 B TW514996 B TW 514996B
Authority
TW
Taiwan
Prior art keywords
inner chamber
gas
processing device
scope
patent application
Prior art date
Application number
TW089126103A
Other languages
English (en)
Inventor
Hayashi Otsuki
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TW514996B publication Critical patent/TW514996B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension

Description

514996 Α7 Β7 五、發明說明( 相關申請按之交互引述 本申請案係以1990年12月1〇日提出申請之日本專利申 請案第11-352018號為基礎,及主張其優先權之利益,該申 請案之全部内容在此併入本案以為參考資料。 發明之背景說明 本發明係有關一個具有高耐腐蝕性膜的固定式内室之 處理室,其可應用於化學氣相沈積(CVD)中所用的成膜裝 置、熱處理裝置及蝕刻裝置等。 為因應近來對於南密度與高積體單元之需求,半導體 設備自二維連接結構進展至三維多重連接結構。鑑於該原 因,用於電子層間連接作用之埋入技術變得重要,該連接 作用係使用一接觸電洞連接一個下方的電路元件與一個上 方的連接層,及使用一介電電洞連接一個下方的連接層與 一個上方的連接層等等。就接觸電洞與介電電洞之埋入作 用而言,係使用鋁、鎢或者以該等作為一主要組份之合金。 在使用ί呂或銘合金之埋入步驟中,其生產過程係涉及 一加熱步驟等。若鋁連線與其下的矽基材彼此接觸,則在 其邊界區域存在發生鋁之,,矽抽吸,,效應等之風險及於該處 新形成一合金。依此所形成的合金之電阻值較大,而就所 產生设備之省電與咼速運作的需求之觀點而言,並非適合 需要的。更進一步,當使用鎢或鎢合金作為接觸電洞中之 埋入層時,六氟化鎢氣體入侵至矽基材,因此可能劣化該 設備之電子特性等。因此,其並非較佳者。 為避免該問題之發生,在接觸電洞或介電電洞中形成 (請先閱讀背面之注音?事項再填寫本頁}
-J-T· I I I I I 經濟部智慧財產局員工消費合作社印製 4 ^ 丄 ^ 丄 經濟部智慧財產局員工消費合作社印製 五、發明說明( 埋入層之前,在該電洞的底部與内壁形成一位障層,然 後形成該埋入層。一般而言,已知氮化鈦膜係為一位障層。 另一方面,在朝向高密度積體的趨勢之下,使用具有 南介電常數之材質諸如五氧化二钽作為一電容器閘極材 質,以在不改變其尺寸之下獲得較高的電容。然而,相較 於省知作為電容器閘極材質之二氧化矽而言,該具有高介 電吊'數之材貝的性質並非較為穩定。若於上覆蓋電極上使 用夕曰曰矽,其因電容形成作用後之化學反應而被氧化,因 而無法製得一個具有穩定性質之設備元件。因此,需要使 用氧化程度較低的氮化鈦膜作為上覆蓋電極。 氣化鈦膜係藉由物理氣相沈積(PVD)技術形成,及長 久以來一直存在對於更精細與更高的積體設備之需求。此 外,設計方面之規定亦更形嚴苛。因而,在物理氣相沈積 (PVD)中很難達成高覆蓋作用。故使用化學氣相沈積(CVD) 技術,藉此得以形成較高品質的氮化鈦膜。更詳細地係使 用熱化學氣相沈積(CVD),其中係於經加熱的基材上施用 四氯化鈦與氨或一甲基胼(MMH)作為反應氣體。在藉由該 熱化學氣相沈積作用形成氮化鈦膜之情況下,氣容易留置 於所形成的膜中,因而造成問題。該氯,之留置作用造成較 局的比電阻,而當該膜施用於覆蓋電容器之一電極時,則 無法獲得一適當的性質。 更進一步,本身為柱狀晶體之氮化鈦膜具有邊界擴散 之傾向,及與較低的位障特性有關。在氮化鈦膜作為覆蓋 電容器之一電極的銅連線之位障層或者作為五氧化二鈕連 表紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公髮) ----------------------v^· — — — — — — — (請先閱讀背面之注意事項再填寫本頁) A7 五、發明說明(3 ) (請先閱讀背面之注意事項再填寫本頁) ^的氧擴散位障層之情況下,較低的位障特性將構成問 題亦即,因為殘餘氣對於銅連線之侵蝕作用或者因為氧 之擴散作用而降低五氧化二钽的電容,而發生問題。 藉由升高成膜作用的溫度,可實質地降低所形成的膜 中之氯量。然而,因為諸如銅與鋁之連線材質之諸如熱電 阻與侵蝕的問題,高溫方法並非較佳者。 電感搞合電漿化學氣相沈積(ICP-CVD)係為電漿化學 氣相沈積(CVD)技術中之一種,其中在一鐘形罩(内室)周圍 提供諸如一線圈之一天線元件。藉由對其通入高頻電力, 而產生電感電磁場以提供電衆。在使用該技術以形成氮 化鈦膜之情況下,所形成的氮化鈦膜具有低的電阻及氣含 量’甚至在較低溫形成之膜亦含有低的殘餘氣量。 雖然使用石英或氧化鋁製成之内室,及藉由電感耦合 電裝化學氣相沈積(ICP-CVD)形成氮化鈦膜,然而其耐電 聚性不佳’而其對於在氮化鈦膜形成作用之後用以清潔裝 置内部之姓刻氣體諸如氟氣氣之耐腐蝕性亦不佳,因此造 成問題。 經濟部智慧財產局員工消費合作社印製 更進一步,在該類型的化學氣相沈、積(CVD)成膜裝置 I’因為自内室之上方引入一加工氣體,而在該内室的内 壁形成殿積物,及易形成外來的撒積物。在形成氮化鈦膜 之情況下,因為導電性膜澱積於内室上方的内壁,而造成 所產生的電漿之衰減,因此使其難以形成膜。 1:1月之概要說明 本發明的目標係提供一種處理裝置,其具有用於一成 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) :δ = 514996
五、發明說明(4 經濟部智慧財產局員工消費合作社印製 膜裝置、加熱處理裝置及餘刻裝置之一内室,該内室耳有 高耐腐蝕性及其内·壁較不易澱積加工氣體之產物或者諸如 一蝕刻產物之產物。 依此建構之處理裝置具有一固定式内室,其支標一個 待加工之基材及具有在加熱、電襞及加工氣體中之任一者 或其組合之下用以加工處理該底材之元件,其中在該内室 的内壁表面及内室中之元件的暴露表面上形成三氧化二链 及三氧化二釔膜,三氧化二鋁/三氧化二釔之重量比係大於 0.5。三氧化二鋁/三氧化二釔之重量比係介於〇5至4之一範 圍。所形成的膜之厚度係大於50微米。 將於下列的說明中陳述本發明之其他目標與優點,其 中一部份係於s玄說明中顯而易見,或可自本發明之實施中 習得。可藉由以下所特別指出之工具與組合,以實現及獲 致本發明之目標與優點。 圖式之簡要說明 所附圖式係被納入及構成詳細說明之一部份,其說明 本發明目前的較佳具體例,及與上述的一般性說明與後述 的較佳具體例之詳細說明共同闡釋本發明之原理。 第1圖係顯示如本發明第一具體例之一電漿化學氣相 沈積裝置的實際結構之一圖; 第2A與2B圖係顯示以蝕刻與評估標準進行測試之一 言式樣; 第3圖係顯示元件之蝕刻時間與蝕刻量之關係; 第4圖係顯示當三氧化二鋁/三氧化二釔之重量比為 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 7 -------------^--------^---------^ (請先閱讀背面之注意事項再填寫本頁) A: Φ 經濟部智慧財產局員工消費合作社印製 五、發明說明(5 ) 0.43時’一噴霧膜之X光繞射圖像; 第5圖係顯示當三氧化二鋁/三氧化二釔之重量比為 0.66時,一噴霧膜之X光繞射圖像; 第6圖係顯示當三氧化二紹/三氧化二纪之重量比為 1.50時’一噴霧膜之X光繞射圖像; 第7圖係顯示當一噴霧膜之三氧化二鋁/三氧化二釔的 重量比不同時之複合氧化物生產率; 第8圖係顯示當一噴霧膜之三氧化二鋁/三氧化二釔之 重量比與蝕刻量之關係; 第9圖係顯示當以一 YAG製備一噴霧膜時,該喷霧膜之 X光繞射圖像; 第10圖係顯示如第二具體例之一電漿化學氣相沈積裝 置的實際形式之一圖; 第11圖係顯示如第三具體例之一電漿化學氣相沈積裝 置的實際形式之一圖; 第12圖係顯示如第四具體例之一電衆化學氣相沈積裝 置的實際結構之一圖; 第13A與13B圖係顯示第四具體例中之噴頭的實際結 構; ^ 第14A與14B圖係顯示第四具體例中之噴頭的改良結 構之一圖; 第15圖係顯示頭部區域的實際結構之一圖; 第16圖係顯示排氣元件的第一改良結構之一透視圖; 第17圖係顯示排氣元件的第二改良結構之一透視圖; 本紙張尺度適用中國國家標準(CNS)A4規格x 297公爱} ------ 514991
經濟部智慧財產局員工消費合作社印製
第18圖係顯示高度較低的薄型内室之一形式; 第19圖係顯示半球形内室之一形式; 第20圖係顯示圓頂内室之一形式; 第21圖係顯示一加熱裝置的實際結構之一圖; 第22圖係顯示一灰磨裝置的實際結構之一圖·, 第23圖係顯示一蝕刻裝置的實際結構之一圖;及 第24圖係顯示在本發明的各具體例中,崩潰電壓與噴 霧膜的厚度之關係。 ' 發明之詳細說明 以下將參照所附圖式說明本發明的具體例。 第1圖係顯示一種化學氣相沈積成膜裝置實例之戴面 圖,該成膜裝置係位於具有内含高耐腐蝕性噴霧膜之一固 定式内室之處理裝置中,及係作為本發明第一具體例。 本發明的成膜裝置係形成例如一種氮化鈦薄膜。成膜 裝置10包括一個内室u,其具有以氣密方式形成一完整單 元之一個下内室lla與一個上内室nb。上内室llb之直徑小 於下内室11a。下内室11a包括諸如鋁之一導電體12,其表 面例如經陽極氧化,霆理。上内室lib包括如陶瓷材質之基 底物質13,及包括一噴霧膜14。膜14含有釔、銃、鑭、鈽、 銪、鏑等之氧化物,或該等金屬之氟化物。膜!4可由週期 表IIIea元素的化合物製成,諸如三氧化二釔。毋需說明地, 膜14可由該一化合物或任一種其他材質製成。在本發明 中,含有一種ΙΠ-a元素的化合物之膜14 ,係為實質上包含 三氧化二鋁與三氧化二釔之一喷霧膜。可使用陶瓷(三氧化 297公釐) --------^---------線 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 9 514996 A7 B7_ _ 五、發明說明(7 ) 二鋁、二氧化矽、氮化鋁等)、鋁或不銹鋼、金屬或金屬合 金,作為内室的材質。 (請先閱讀背面之注意事項再填寫本頁) 噴務膜14之二氧化二鋁/三氧化二釔之重量比,較佳為 〇·5至4。應瞭解第8圖僅顯示至多為丨.5之重量比數據。在 喷霧膜14之形成作用中,可喷塗三氧化二鋁與三氧化二釔 化合物,或者噴塗例如位於上所提及的組成範圍之一複合 氧化物狀態的釔-鋁-石榴石(YAG)。基於電漿生成作用之絕 緣耐受性質及例如第2圖所示的崩潰電壓特性之觀點而 言,噴霧膜之厚度可至少為50微米,而自製造方法與成本 之觀點而言,噴霧膜之厚度較佳為微米及不超過3〇〇微米。 基底物質13之陶瓷可為三氧化二鋁、二氧化矽,諸如 石夕玻璃與石英、氮化鋁,任擇地可使用硬質塑膠,及上述 的噴霧膜在此並非形成於上内室1 lb之内壁,但其亦可形成 於該處。 在下内室11 a之内底,提供一個由陶瓷等製成的絕緣板 15及支撐基底16 ’及於支樓基底16上提供一個實質圓筒狀 的支持臺17,以於其上放置一個作為待加工處理物件之半 導體晶圓(此後稱作晶圓)。 經濟部智慧財產局員工消費合作社印製 在支撐基底16之内部提供一冷卻劑室18,以經由冷卻 劑引入管19而接收一冷卻劑。該冷卻劑係由排氣管2〇排 出。經由該循環,冷度自支持臺17傳導至晶圓w。加熱元 件21係埋於支持臺17中,以經由電源22供應電力而將晶圓 W加熱至一預定的溫度。在電源22上連接一控制器23。晶 圓W的溫度係藉由冷卻劑之冷卻作用與加熱元件之加熱作 10 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 514996 A: 五、發明說明(8 用而予以控制。
在支持臺17上提供一個構形與晶圓貿實質相同的靜電 卡盤24。靜電卡盤24之形成方式,係將一電極26埋入一絕 緣材貝25中。日日圓w受到庫倫(c〇ui〇mb)力等之靜電吸引, 庫倫(Coulomb)力係藉由在電極26施用來自一直流電源27 之直流電壓而產生。在靜電卡盤24之上表面的外圍部份, 提供圍繞晶圓w之一區域,例如一集中環28,以達到成膜 作用之均性。上所提及的噴霧膜14係於内室中之支撑基 底16、支持臺17、靜電卡盤24及集中環以之該等暴露表面 上形成。 訂 在上内至lib之上提供一喷頭元件3〇。在噴頭元件 中,以交錯方式形成許多排氣孔3〇a(氬、氟氣氣)、3〇b(氬、 四氣化鈦)及30c(氨),以在内室中以向下的方向排氣。一氣 體供應系統40的管線係與喷頭元件3〇連接。亦即,如下列 所說明者,用以供應氣體(氬、氟氣氣)的管線55係與排氣 孑L30a連接,用以供應氣體(氬、四氣化鈦)的管線%係與排 氣孔3 Ob連接,用以供應氣體(氨)的管線$ 7係與排氣孔川。 連接。藉此而將各氣體經由噴頭元件3〇而導入上内室ub 中。 噴頭元件30係由三氣體分散板之三層疊合結構所組 成,及包括具有排氣孔之排出板。各排氣板具有凹槽與孔, 以容許一種氣體均勻地分散於喷頭中之整個排氣表面區 域。更詳細地,氣體分散板的排氣孔之形成方式,係不與 其他氣體分散板的排氣孔重疊。雖然未於圖式中加以說 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 x 297公釐) 1 經濟部智慧財產局員工消費合作社印製 A7
明’自下方觀看排氣孔的表面側時,排氣孔係以矩陣排列 方式配置。及採用一個後混合系統,其中,四氣化鈦氣體 與氨氣係自交錯形成的不同排氣孔中排出,及該等氣體在 排出之後混合作為一處理氣體。 氣體供應系統40具有一個氟氣氣供應源41,以供應氣 氣氣作為一清洗氣體;具有一個氬氣供應源42與43以供廣 氬氣,具有一個四氯化鈦供應源44,以供應四氣化鈦作為 一處理氣體;及具有一個氨氣供應源45,以供應氨氣作為 一處理氣體。氣體管線46係與氟氣氣供應源41連接,氣體 管線47與48係分別與氬氣供應源42與43連接,氣體管線49 係與四氣化鈦供應源44連接,及氣體管線50係與氨氣供應 源45連接。在該等氣體管線提供一閥5i(51a、51b)與質量 流量控制器52。 自氟氣氣供應源41延伸之氣體管線46,係接合進入自 氬氣供應源42延伸之氣體管線47。自氟氯氣供應源41延伸 之氣體管線46係接合進入氣體管線53。藉由開啟設於氣體 管線46上之閥5 1,讓作為一清洗氣體之氟氣氣通過氣體管 線46與管線53及到達噴頭30,以經由排氣孔3〇a而導入上内 室lib中。毋需說明地,存在氬氣供應源42僅供應氬氣之情 況。 自四氣化鈦供應源44延伸之氣體管線49,係接合進入 自氮氣供應源43延伸之氣體管線48。措由氮氣之攜帶,四 氣化鈦氣體通過氣體管線49而到達喷頭30。四氣化鈦氣體 經由排氣孔30b而導入内室11中。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 12 (請先閱讀背面之注意事項再填寫本頁) ··%1 訂---------嫵,·. A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(10 ) 更進一步’由氨氣供應源45所供應之氨氣通過氣體管 線5〇與管線55而到達喷頭3〇,及經由排氣孔30C而導入上内 室Ub中。應瞭解可使用一甲基肼(MMH)以取代氨氣。 在下内至1 la之底壁提供一排氣管61,其係與包括一真 空幫浦之排氣裝置62連接。藉由排氣裝置62之運作,可將 内室11中之壓力降至一預定的真空程度。在下内室lla之側 壁提供一閘閥63,當該閘閥位於開啟狀態時,容許晶圓w 進出例如一鄰近的裝料閘室,某未示於圖中。 作為一天線元件之線圈65,係纏繞於上内室Hb周圍, 及將一高頻電源66與線圈65連接。高頻電源66具有例如 13.65 MHz之頻率。藉由在線圈65上施用來自高頻電源66 之高頻電力,可在上内室11b之内產生一感應電磁場。更進 一步’提供一個使用一冷卻介質諸如冷卻劑之冷卻機制 67 ’及提供一個用以驅動該機制之冷卻源68。 使用該裝置開啟閘閥63,及當該閘閥位於開啟狀態 一 ‘時,將晶圓W置入内室11中及置於靜電卡盤24之上。_由 在電極26上施用一電壓,而使得晶圓w受到靜電卡盤<24之 吸引。之後’將閘閥63關閉,藉由排氣系統62將内室11之 内部抽真空至一預定的真空程度。然後,當氬氣供應源42 將氬氣導入内室11之際,在線圈65上施用來自高頻電源66 之高頻電力,以在上内室lib之内產生一感應電磁場。在該 高頻電場之下產生電漿。 然後,自氨氣供應源45與四氣化鈦供應源44,將一預 定量的氨氣與四氣化鈦導入上内室1 lb中以產生電聚,及將 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) — IIIII IIIIII — ·1111111 « — 111 — — — — I I I /、 f請先閱讀背面之注4事項再填寫本頁)、 . 13 514996 A7
請 先·S、 背 s 之 注 意 事 項 再 填 寫 本 頁
tr
514996 五、發明說明(l2 經濟部智慧財產局員工消費合作社印製
— — — — — — — — — - — 111 (請先閱讀背面之注意事項再填寫本頁) ^ · -線· 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) A7 133.3帕(1000m托)及氣體流速為四氟甲烷:氬··氧=% : 950 · 1〇(總流速為0·0633立方公尺/秒(1〇55 之下,以 電漿照射一離散膜20小時。 使用8種類型的試樣,各包括一個2〇χ2〇χ2毫米鋁基 底,一個以三氧化二鋁與三氧化二釔製成及形成於該鋁基 底上之200微米厚的第一喷霧膜,一個形成於第一噴霧膜上 之200微米厚的第二噴霧膜。第一噴霧膜係由第一類型試樣 中的二氧化二釔、第二類型試樣中的三氧化二銃、第三類 型試樣中的三氟化二銃、第四類型試樣中的三氟化釔、第 五類型試樣中的三氧化二鑭、第六類型試樣中的二氧化 鈽、第七類型試樣中的三氧化二銪及第八類型試樣中的三 氧化鏑製成。更詳細地,使用其三氧化二鋁/三氧化二釔之 重量比為0.5之一噴霧膜及以99.9%純度的YAG(Y3Al5〇12 : 三氧化二鋁/三氧化二釔之重量比為〇 75)噴塗之一膜。如第 2 A圖所示,5式樣之外側周邊區域係以一聚酿亞胺膜遮蔽, 而留下10平方毫米之中心區域,及以電漿照射之。以蝕刻 量評估其耐電漿性質。如第2B圖所示,藉由使用一表面粗 縫度檢測儀,而以蝕刻深度評估蝕刻量。亦評估其他材質 试樣之耐腐蝕性,以進行比較。評估結果示於第3圖。在此, 在氧化鋁的蝕刻量經標準化為“1”之下,顯示蝕刻量。 如第3圖所示,確認含有週期表ni-a元素之膜對於電漿 之耐腐蝕性係高於其他的材質。在該等膜之中,由三氧化 二銘與三氧化二釔製成之噴霧膜展現特高的耐腐蝕性,因 其係由YAG組成物所製成。 15 五、 發明說明(l3 ’、、、後纟一氧化一鋁/二氧化二釔之重量比設定於 0.43、G·66與丨·5之情況下,將料混合粉末料於-铭基 底物質上,以形成-噴霧琪。第4'5與6圖顯示各者之 繞射圖像。如該等圖式中所示,就噴㈣中之任—者而言, 對應於_乳化一铭與二乳化二纪結晶之繞射尖峰係為顯著 的,但對應於複合氧化物諸如三氧化妹與九氧化喊二 紹之繞射尖峰亦為可辨識^如第7_示,發現該等複合 氧化物之生產率隨著三氧化二銘/三氧化二紀的重量比之 增加而增加。 訂 如上述之相同方式,測試該等試樣對於電聚之耐腐钮 性。错由在上所提及之邊緣部份以外的10平方毫米部份, 測量其中心部份的蝕刻深度,而進行蝕刻量之評估“士果 示於第8圖。自該圖中發現,當三氧化二紹/三氧化二纪之 重量比大於0.5時’具有較佳的_性。因為,如上所述 Γ複合氧化物之生產率隨著三氧化二紹/三氧化二紀的童 里比之增加而增加’故複合氧化物可能有助於耐腐飯性。 另-方面’如第9圖所示’在第_試驗中用於評估耐腐 蝕性之YAG喷霧膜,係為實質上非晶質的。 有4α於此,可視作藉由促使該噴霧膜成為非晶質者, 而增進耐腐蝕性。 以下將說明發明的第二具體例。 第Μ圖係顯示如第二具體例之—Μ化學氣相沈積裝 置之截面圖。在該具體例中,内室、噴頭與氣體供應系 統的結構係不同於第—具體例。在該圖中,相同的參考標 本紙張尺度適用中國國家標準(CNS)^^^ 297公釐) Α7
Α7 J 經濟部智慧財產局員工消費合作社印製 五、發明說明(Η ) #b係用以指在結構上對應於第1圖所示者之部件或元件,及 因此省略任何進_步的說明。 在該努署rb , ^ 罝T ’在下内室11a上方提供一個上内室lie, 1系由種陶瓷材質諸如三氧化二鋁、二氧化矽與氮化 紹製成。在提供於上内室lie頂部之管線類型的噴頭元件30 乂交錯方式形成排氣孔70a、70b及70c,以將氣體排向 内室之下方區域。如上述的氣體供應系統40,氣體供應系 統40a包括氣體供應源、閥51及質量流量控制器52。該具體 U自氣體供應系統40至噴頭元件70之管線配置,係與第一 具體例不同。 亦即,氣體供應系統4〇之管線係與喷頭元件7〇連接。 T下列所說明者,用以供應氬氣與氟氯氣之管線81係與棑 氣孔70a連接,用以供應四氣化鈦與氬氣之管線“係與排氣 孔7〇b連接,及用以供應氨氣之管線83係與排氣孔70c連 接。自上内室llc延伸朝向下内室Ua之上方區域之管線狀 的排氣το件71與72,係與排氣孔70b&7〇c連接。於排氣元 件71中形成一排氣孔71a,及於排氣元件72中形成一排氣孔 72a。 自氬氣供應源42延伸之一氣體管線47以及自氟氣氣供 應源41延伸之一氣體管線46,係與管線81連接。氬氣與氟 氣氣經由排氣孔7〇a而自管線81導入上内室11 e中,需暸解 有時僅供應氬氣至該處。 自四氣化鈦供應源44延伸之一氣體管線49以及自氬氣 供應源43延伸之一氣體管線48,係與管線82連接。四氣化 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 x 297公釐) ^-----------------線 (請先閱讀背面之注意事項再填寫本頁) 17 514996 A:
訂 t 請 先* 閱 讀 背ί & 之 注 意 事 項
I
五、發明說明(16 ) 11 ’及將作為一清洗氣體之氟氣氣導入内室i i中,以清潔 該内室之内壁。 如上述’在該具體例中,作為電聚產生氣體之氬氣本 身係供應至上内室1丨0中,而作為處理氣體之四氯化鈦與氨 氣係經由排氣元件71與72而直接供應至下内室Ua中,藉此 處理氣體幾乎未曾觸及上内室llc之内壁。結果,幾乎未在 上内室11c之内壁上形成來自處理氣體的澱積物。 因此’不同於習知技藝技術之處,係在於導電膜並非 备由處理氣體而澱積於内室之内壁,及在所涉及的電漿之 衰減作用之下,成膜作用並無絲毫困難。 以下將說明本發明的第三具體例。 第11圖係顯示如本發明的第三具體例之一化學氣相沈 積裝置的截面圖。 經濟部智慧財產局員工消費合作社印製 該具體例包括類似於第丨圖所示第一具體例的下内室 11 a ’與類似於第1 〇圖所示第一具體例的上内室11 c之一個 組合結構。於上内室lib之内壁上形成如上述之一種主要由 二氧化二紹與乒氧化二釔製成之噴霧膜丨4,其具有絕緣性 質與高耐腐蝕性。在該具體例中,相同的參考標號係用以 指在結構上對應於第1與10圖所示者之部件或元件,及因此 省略任何進一步的說明。 在第三具體巧t中,高耐腐蝕性喷霧膜14係於上内室llb 之内壁上形成,即使電漿與清洗氣體與該内壁接觸亦難以 將其蝕刻,故提供該内室較長的使用壽命。此外,幾乎未 在上内室lib上形成自處理氣體所產生的澱積物。結果,不 本紙張尺度適用中鲺國家標準(CNS)A4規格(210 X 297公釐) 19 五、發明說明(l7 ) 同於習知技藝技術之處,係在於因該内室内壁上之一導電 膜所造成的電漿衰減作用,並未產生任何不便,及因此在 成膜作用方面並未遭遇任何困難。 應瞭解即使在第二與第三具體例中,喷霧膜可形成於 下内室11a之内壁上,及藉由形成該噴霧膜,而可能增進下 内室Ua之高耐腐蝕性。 以下將說明本發明的第四具體例。 第12圖係顯示如本發明的第四具體例之一化學氣相沈 積裝置的截面圖。 該具體例之裝置結構包括類似於上所提及的第一具體 例之下内室11 a,以及位於下内^室11 a上方之具有不同的供 氣位置的上内室lid之一個組合結構。在該真體例中,相同 的參考標號係用以指在結構上對應於第1與1〇圖所示者之 部件或元件,及因此省略任何進一步的說明。 在該成膜裝置中,在上内室lid與下内室11a之間形成 一個環狀噴頭81,以將一處理氣體供應至内室丨j中。在上 内室lid之整個内表面上形成一種高耐腐蝕性與絕策性喷 霧膜。氣體供應系統40之結構係與第一具體例類似,但可 藉由切換閥82與83之操作,而自上内室lid之頂側或側壁導 入氟氣氣(作為一清洗氣體)及氬氣。 在該結構下,由氣體供應系統4〇所供應之一氣體係排 入及直接朝向位於下内室11a中之上方區域的中央部份,及 擴散至晶圓W之上。在該具體例中,可能獲致與上述具體 例類似之一效應。幾乎難以在上内室丨丨d之内壁上形成自處 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 20 514996 A7
丁 k it 經濟部智慧財產局員工消費合作社印製
^ HI tr------ (請先閱讀背面之注意事項再填寫本頁) I ϋ I A: --—---- B7__ 五、發明說明(19) ~ 然在上所提及的噴頭81中,來自各頭部區域的氣體係收集 進入中層頭部區域,該改良結構之類型係以在層積方向非 重且的方式’於各頭部區域形成數個排氣孔。 立該噴頭90具有一種環型的三層結構,藉此於對應的頭 部區域91、92與93提供環狀擴散管道91 a、92 a與93a,以 ^處理氣體導入該内室中。在對應的頭部區域形成導向擴 散管道91 a、923與93&之數個排氣孔91b(氨氣)、9“(氬氣) 與93b(四氣化鈦或氟氣氣),以促使該等氣體以水平方向排 出。 第15圖所示之各種實際結構,係依該等頭部區域之層 疊順序而予以實現。第15B1|員示該等結構中之6個案例 瞭解四氯化鈦與氟氣氣係交替地用於成膜期間與清洗期 間,但亦可提供該等氣體之對應的獨立排氣孔。 即使在該等具體例中,亦可能獲致與上述具體例相關 之相同優點。 可在本發明的具體例中,對於用以將氣體導入内室之 噴頭進行各種的改變與改良。 雖然在上所提及的具體例中,係如第16圖所示者使用 數個元件而將處理氣體導入内室丨丨中’噴頭之構形亦可具 有一個氣體導入元件95,以將處理氣體自上方的鐘形罩上 側供應至位於下内室之内的晶圓w;及具有一個排氣區域 % ’其與該氣體導人元件95的下端連接及具有數個排氣 孔,以將一氣體以螺旋方式向下供應至晶圓w。 如第17圖所示,喷頭包括一個與氣體導入元件%類似
本紙張尺錢财國國家標準(CNS)A4規格(21G X 297公髮J 22 514996 A7
訂 k it 經濟部智慧財產局員工消費合作社印製 514996 A7 ----------B7 五、發明說明(21 ) 7C件:相同的參考標號,說明特徵部份。因此省略其說明。 第圖』不问度較低的薄型内室。該薄型内室"e係為 薄的,及具有例如約〇.65至1()公分及較佳公分之高 度Η内至頂邛之内表面至晶圓w之距離約為'a至儿公 刀及車又佳备為3.8至20公分。因為該内室具有一個體積較小 的形狀,藉此内室内之暴露區域較小,及因而該裝置較為 小型及其維護較為容易。因此,可能減輕系統62之排氣負 何0 第囷斤示之上内至11 f係為半球形類型,亦即鐘罩類 型,第20圖所示之上内室Ug則為圓頂類型。在該等上内室 中,由一天線所產生之電場相對於晶圓W之分布情況,係 比箱形内室來得均-,因而使得所‘成的膜厚度與餘刻作 用等較為均一。 第21圖係顯示一種熱處理裝置的實際結構,其係位於 如本發明之具有内含高耐腐蝕性噴霧膜之一固定式内室之 處理裝置中,及作為第五具體例。 熱處理裝置101可進行用以增進所形成的膜之再結晶 作用之一種鍛燒方法,與一種熱氧化方法。 熱處理裝置101在内室102之内具有一個支持臺1〇3,以 支撐-晶圓W ;在支持臺103之下提供一個晶圓提升機制 105,以在轉移晶圓W之際,藉由數個起模頂桿ι〇4而提升 晶圓W ;在支持臺1〇3中提供一個加熱器1〇6 ;及具有一個 氣體流動室107,其以氣密方式將諸如氬氣與氧氣之一氣體 供應至晶圓W。於氣體流動室107之内表面以及於支持臺 本紙張尺度適用中國國家標準(CNS)A4規格(21G X 297公髮)""""" -------- (請先閱讀背面之注意事項再填寫本頁)
24
103之上所&供的導向部件1〇8(用以引導晶圓安裝位置)之 表面上,形成三氧化二鋁/三氧化二釔噴霧膜,藉此可能獲 致與上述各具體例相同之效果。 t·, 經濟部智慧財產局員工消費合作社印製 第22圖係顯示一種施用於灰磨裝置的實際結構,其係 位於如本發明之具有内含高耐腐蝕性噴霧膜之一固定式内 室之處理裝置中,及作為第六具體例。 該灰磨裝置包括一個以氣密方式密封的内室m,其具 有一個下内室111a與上内室11113、於其上放置晶圓w之一 個支持臺112、提供於支持臺112之中及用以加熱該晶圓w 之一個加熱器113、用以供應一處理氣體諸如氧氣之一個氣 體供應系統(未顯示)、用以將内室丨1丨的内部抽成真空之一 個抽氣裝置。 在U亥灰磨裝置中’除了底部的内表面之外,在上内室 111b的整個内表面及下内室llla的側壁上形成高耐腐蝕性 噴霧膜,藉此可能獲致與上述各具體例相同之效果。 第23圖係顯示一種施用於钱刻裝置的實際結構,其係 位於如本發明之具有内含高耐腐蝕性喷霧膜之一固定式内 室之處理裝置中,及作為第七具體例。 3亥姓刻裝置包括一個以氣密方式密封的内室121、提供 於該内室121之内及作用為用以產生電漿的上電極之一個 處理氣供應喷頭、用以放置晶圓冒及作用為用以產生電漿 的上電極之一個支持臺123、具有數個閥124與數個處理氣 源125之一個處理氣供應系統、用以將高頻電力供應至喷頭 122之一個高頻電源127、提供於喷頭122周圍之一個屏蔽環 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) Μ--------1---------^ (請先閱讀背面之注意事項再填寫本頁) 25 經濟部智慧財產局員工消費合作社印製
如上述之案例,噴霧膜14係於内室中之支持臺丨23、集 中衮130贺頭122與屏敝環128之暴露表面上形成,及進一 步於内至121之上方内表面與底部内表面上形成。 應瞭解於上述各具體例中所形成的噴霧膜,僅需具有 50微米以上的厚度。在噴霧膜的厚度小於5〇微米之情況 下,其絕緣耐性與耐電壓較低。此係基於吾等實驗所得之 數據’其顯示崩潰電壓與膜厚度之關係,如第24圖所示。 依據第24圖,膜厚度較佳介於5〇至3〇〇微米之範圍。 即使在該具體例中,可藉由形成噴霧膜,而獲致與上 述具體例相同之效果。 雖然在上述各具體例中,作為基材之半導體晶圓已藉 由實例而予以說明,本發明並非受限於此,及亦可形成用 於一液晶顯示裝置(LCD)之玻璃基材。 如上述各具體例,在内室的内壁上形成實質上由重量 比大於0.5之二氧化二链/三氧化二記所製成的噴霧膜,藉 此’該内室因為高耐腐蝕性噴霧膜之存在,較不易受到電 漿與清洗氣體之蝕刻作用。因而,本發明適用於位於内室 的姓刻程度較低的噴霧膜之上的成膜作用、蝕刻作用、灰 磨作用及熱處理。
I ^ 訂--------- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t )
514996 五、發明說明(24 ) 更進一步,因為氣體供應系統係將一處理氣體供應至 鄰近該内室之内的一晶圓之上方區域,該氣體幾乎不觸及 該内室之内壁,及幾乎未有任何產物澱積於該壁上。可使 用陶究(三氧化二I呂、二氧化石夕、氮化銘等)、結、不銹鋼、 金屬或合金,作為該内室之材質。 嫻熟技藝者即可瞭解其他的優點與改良。因此,本發 明就較廣方面而言並不侷限於在此所示與說明之特定細節 與代表具體例。因而,可進行各種改良,而不偏離由所附 申請專利範圍及其同等物所界定之全體發明概念的精神與 範疇。 裝---------訂· (請先閲讀背面之注意事項再填寫本頁) •線. 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 27 514996 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(25) 10.. .成膜裝置 11…内室 11a...下内室 lib...上内室 11c...上内室 lid. ..上内室 lie. ..内室 llf. ..上内室llg. ..上内室 12.. .導電體 13…基底物質 14.. .喷霧膜 15.. .絕緣板 16…支撐基底 17.. .支持臺 18.. .冷卻劑室 19.. .冷卻劑引入管 20.. .排氣管 21.. .加熱元件 22.. .電源 23.. .控制器 24…靜電卡盤 元件標號對照 25.. .絕緣材質 26…電極 2 7...直流電源 28.. .集中環 30…噴頭元件 30a·.·排氣孔 30b...排氣孔 30c...排氣孔 40.. .氣體供應系統 40a...氣體供應系統 41.. .氟氣氣供應源 42.. .氬氣供應源 43.. .氛氣供應源 44…四氣化鈦供應源 45…氨氣供應源 46.. .氣體管線 47…氣體管線 48.. .氣體管線 49.. .氣體管線 50.. .氣體管線 51.··閥 51 a · · ·閥 —.—^------^---------i J. (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 28 五 514996 A7 B7 發明說明( di. 經濟部智慧財產局員工消費合作社印製 26 51b.··閥 82.··閥(第12圖) 52…質量流量控制器 83···管線(第10圖) 53...氣體管線 83···閥(第12圖) 55...管線 84…頭部區域 56...管線 84a...擴散管道 57…管線 84b…排氣孑L 61...排氣管 85...頭部區域 62...排氣裝置 85a…擴散管道 63…閘閥 85b··.排氣孑L 6 5…線圈 86...頭部區域 6 6...南頻電源 86a…擴散管道 67...冷卻機制 86b··.排氣孑L 6 8…冷卻源 90...喷頭 70a…排氣孑L 91...頭部區域 70b...排氣孔 91a...擴散管道 70c...排氣孔 91 b...排氣孔 71…排氣元件 92…頭部區域 71 a...排氣孔 92a...擴散管道 72...排氣元件 92b...排氣孑L 72a...排氣孑L 93...頭部區域 81···氣體管線(第10圖) 93a...擴散管道 81…喷頭(第12、13A、 93b...排氣孔 13B、14A與 14B 圖) 95...氣體導入元件 82···管線(第10圖) 96…排氣區域 . —-----^--------- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 29 514996 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(27 ) 97... 氣體導入元件 112.. .支持臺 98"· 排氣區域 113·. .加熱器 101. ..熱處理裝置 121.. .内室 102. ..内室 122.. •噴頭 103. ..支持臺 123.. 支持臺 104. ..起模頂桿 124.. ,•閥 105. ..晶圓提升機制 125·· ,.處理氣源 106. ..加熱器 127·, ..南頻電源 107. ..氣體流動室 128., ..屏蔽環 108. ..導向部件 129. ..靜電卡盤系統 111. ·.内室 130. ..集中環 111a ...下内室 131. • •閘閥 111b 上内室 132. ..澱積屏蔽 (請先閱讀背面之注意事項再填寫本頁)
•噃II 訂---------Λ-#- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 30

Claims (1)

  1. 514996 申請專利範圍
    N u / ^ " f p ’,. 第89126103號專利申請案申請專利範圍修正^ 修正日期:91年3月 I 一種處理裝置,其包括: 一處理内室,用於持有一待處理之基材;及 一處理機構,其係處理該内室中所持有之基材, 一喷霧膜,由一含有週期表m_a元素之一化合物之 物質所組成,該噴霧膜係以熱噴霧法形成,藉此該噴霧 膜形成於鄰近處理空間之該内室的内表面上,於該處理 空間中,物件被處理且在處理機構之構件上。 2·如申請專利範圍第1項之處理裝置,其中該含有週期表 ΙΠ-a元素之一化合物之物質包括三氧化二鋁。 3·如申請專利範圍第2項之處理裝置,其中·該喷霧膜勝由 釔、銃、鑭、鈽、銪、鏑及鋁之氟化物或氧化物所組成。 4.如申請專利範圍第1項之處理裝置,其中該含有週期表 Πΐ-a元素之一化合物之物質包括三氧化二鋁與三氧化 —崔乙。 5·如申請專利範圍第4項之處理裝置,其中該三氧化二鋁 相對於该二氧化二紀之重量比係為〇·5或〇·5以上。 6·如申請專利範圍第4項之處理裝置,其中該三氧化二鋁 相對於該二氧化二紀之間的比例係為5 : 3。 7·如申請專利範圍第4項之處理裝置,其中該含有三氧化 一紹及二氧化一紀之物質係為紀-|g -石權石。 8·如申請專利範圍第i項之處理裝置,其中該喷霧膜係由 本紙張尺度適家標準(CNS) M規格(繼撕公楚): ---- -31 - 514996 A8 Β8· C8 D8、申請專利範圍 _ 釔、銃、鑭、鈽、銪、鏑及鋁之氟化物或氧化物所構成。 9·如申請專利範圍第1項之處理裝置,該裝置係一種電漿 处理裝置中之一、一膜形成裝置及一熱處理裝置。 •如申凊專利範圍第1項之處理裝置,其中該處理機構一 方面處理該基材,一方面施用一腐蝕性氣體至該内室 中。 u.如申請專利範圍第丨項之處理裝置,其中該含有一種週 期表ΙΙΙ-a元素之一化合物之該喷霧膜具有一 5〇微米或 5〇微米以上之厚度。 12· 一種處理裝置,其利用在一上内室内生成之電感電磁場 來生成電漿,且處理待處理的基材,該處理裝置包含: 一個下内室’其含有一用於持有待處理基材之支撐 臺部件;. 一個上内室,其設於該下内室之上方; 天線構件,其配置於該上内室周圍,用以在該上内 室中生成一電感電磁場; 咼頻波施用構件,其係用以將高頻電力施用至該天 線構件; 氣體供應構件,其係用於將數種處理氣體供應至該 上内室中;及 排氣構件,其係用於將來自該上内室與該下内室之 該處理氣體排出; 一噴霧膜,其由含有週期表m_a元素之一化合物之 物質所組成,係以_熱噴霧方法形成,藉此該喷霧膜係 (請先閱讀背面之注意事項再填寫本頁) 訂· .I 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公爱). -32 - 514996 A8 B8 C8 D8 六、申請專利範圍 形成在鄰近-處理空間之内室讀件,於該空間中物件被 處理。 13.-種處理裝置,其利用在一上内室内生成之電感電磁場 來產生電漿,且在_待處理的基材上形成—膜,該處理 裝置包含: 一個下内室,其含有一用於持有待處理基材之支撐 臺部件; 一個上内室,其設於該下内室之上方; 天線構件,其配置於該上内室周圍,用於在上内室 中生成一電感電磁場; 高頻波施用構件,其係用於將高頻電力·施用至該天 線構件; 以 氣體供應構件,其設於該下内室之上,且沿著該下 内室週邊延伸呈圓環狀,用於將數種處理氣體及一電漿 生成氣體供應至該下内室中,藉此該等氣體可在一位置 混合,該位置在支撐臺部件上所持有一基材的上方;及 排氣構件,其係用於將來自該上内室與該下内室之 該等處理氣體排出。 14·如申請專利範圍第12或13項之處理裝置,其中該氣體供 應構件包含一個氣體出口機構,該氣體出口機構包括數 層,該等層已彼此層疊方式安裝,且對應於該處理氣體 及,電漿生成氣體,该荨層各具有一形成在外圓周表面的 氣體入口,一從該氣體入口進入之氣體被形成為氣流, 該氣流通過該對應層之一内通道,該等層中之一具有一 本紙張尺度適用中國國家標準(®s) A4規格(210X297公釐) ------.·[------------#… (請先閱讀背面之注意事項再填寫本頁) •、一t — 33 514996 A8 —D8 六、申請專利範圍 •排氣體出口,該等氣體出口形成在内圓周表面,每隔一 個軋體出口與該等層之内通道連接,而其他的氣體出口 則間隔地與環狀層之内通道連接。 15·如申請專利範圍第12或13項之處理裝置,其中該氣體供 應構件包含一個氣體出口機構,該機構包括數層,該等 層以彼此層疊方式安裝,且相對應於該處理氣體及電漿 生成氣體,该等層各在外圓周表面具有一個氣體入口、 一内通道以及數個氣體出口,該等氣體出口形成在内圓 周表面,且透過該内通道與該氣體入口相通,該等層之 氣體出口係從其中一者轉至另一者。 16.如申請專利範圍第12項之處理裝置,其中該·含有週期表 Πΐ-a元素之一化合物之物質包括三氧化二鋁與三氧化 二紀。 I7·如申請專利範圍第16項之處理裝置,其甲該三氧化二鋁 膜相對於二氧化二妃膜之重量比係為〇·5或〇·5以上。 18·如申請專利範圍第16項之處理裝置,其進一步包括用於 降低该上内室的溫度之一冷卻劑源,及其中該下内室與 上内室係以氣密方式連接,且氣體供應構件將處理氣體 供應至該感應電磁場在支持臺部件之上方所產生之電 聚’藉此於基材上形成一膜。 19·如申請專利範圍第16項之處理裝置,其中該三氧化二鋁 相對於三氧化二釔之間的比係為5 ·· 3。 20·如申請專利範圍第16項之處理裝置,其中含有三氧化二 鋁與三氧化二釔之物質係為釔_鋁_石榴石。 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁} •訂丨 34 A8 B8 C8 --~^___ 申請專利範圍 一 -^如申請專利範圍第12或13項之處理裝置,其中該上内室 具有一基底,該基底係由選自下列群中的材質製成:陶 究、金屬、含有該金屬之合金及硬質塑膠。 •如申請專利範圍第21項之處理裝置,其中該陶瓷係選自 下列群中之一 ··三氧化二鋁、二氧化矽與氮化鋁。 23·如申請專利範圍第12項之處理裝置,其中該含有週期表 maTG素之一化合物之喷霧膜,具有一5〇微米或5〇微米 以上之厚度。 24·如申請專利範圍12或13項之處理裝置,其進一步包括用 以降低該上内室的溫度之一冷卻劑源,及其中該下内室 上内至係以氣後方式連接,且氣體供應構件將處理氣 體供應至該感應電磁場在該支持臺部件之上方所生成 之電漿,藉此於該基材上形成一膜。 25·如申請專利範圍第12或13項之處理裝置,其進一步包含 電源’该電源用於供應高頻電壓在該下内室與該上内 室之間’及其中該感應電磁場在該支持臺部件之上方產 生一電漿,該氣體供應構件供應該等處理氣體,及於該 下内室與該上内室之間施用高頻電壓,藉此蝕刻該基材 之一表面。 26。 如申請專利範圍第丨丨或12項之處理裝置,其中該上内室 係為一半球形内室、一圓頂狀内室,或為高度低於一電 漿產生區域之薄形内室。 27。 一種執行用於待處理基材之鍛燒處理及熱氧化處理之 處理裝置,其包含: 本紙張尺度適用中國國家標準(CNS) A4規格(210父297公爱) (請先閱讀背面之注意事項再填寫本頁)
    35 514996 A8 B8 C8 D8 六、申請專利範圍 一個支持臺部件,其持有置_於其上之基材並以一内 部加熱器加熱該基材, (請先閲讀背面之注意事項再填寫本頁) 一導向部件,其位於該支持臺部件之上且導引該基 材至一安裝位置;及 氣體流動室,於該室中,上面置有基材之該支持臺 部件被密封,且該氣體流動室提供一處理氣體至該基 材;以及 用一含有週期表ΠΙ-a元素之一化合物之物質,以熱 喷霧處理形成一噴霧膜,該喷霧膜形成於内室構件之表 面,該内室構件位於該内室之内侧,且該内室構件鄰近 一處理空間,於其中執行該基材之該鍛燒處·理及該熱氧 化處理。 28·—種執行用於待處理基材灰化處理之處理裝置,其包 含·· 一内室,該基材收納於其中; 一支撐臺,其位於該内室中,持有置於其上之該基 材,且以一内部加熱器加入該基材, 一氣體供應機構,其供應一處理氣體至該内室中,以及 一排氣孔,用於從該内室排氣, 一喷霧膜,其係用一含有週期表ΠΙ-a元素之一化合物 之物質,以熱喷霧處理形成,該喷霧膜形成於内室構件 之表面,該内室構件位於該内室之内側,且該内室構件 鄰近一處理空間,於其中執行該基材之該鍛燒處理及該 熱氧化處理。 本紙張尺度適用中國國家標準(CTiS) A4規格(210X297公釐) 36 514996 A8 B8, C8 -—____ . D8 六、申請專利範圍 — 29·種執行用於待處理基材電漿處理之處理裝置,其包 含: 一密封内室; 一喷頭’其位於該内室中且用於供應一處理氣體,該 噴頭係作為用於生成電漿之上電極; 氣體供應裝置,其用於藉由該喷頭及一支持臺部件供 應一處理氣體及電漿生成氣體,該支持臺部件持有一待 處理基材並作為用以生電漿之下電極;及 電漿生成電源施用構件,其用於將一電漿生成電源施 用皇該喷頭,藉此在介於該喷頭及該支持臺部件間之處 理空間内生·成電漿, 一喷霧膜,其係用一含有週期表ΙΠ-a元素之一化合物 之物質,以熱喷霧處理形成,該喷霧膜形成於内室構件 之表面,該内室構件位於該内室之内侧,且該内室構件 鄰近该處理空間,於該處理空間執行該基材之該電漿處理。 30·如申請專利範圍第29項之處理裝置,其中該含有週期表 Hl-a元素之一化合物之物質包括三氧化二鋁。 31. 如申請專利範圍第29項之處理裝置,其中該含有週期表 Hl_a元素之一化合物之物質包括三氧化二鋁與三氧化 —*乙。 32. 如申請專利範圍第31項之處理裝置,其中該三氧化二銘 相對於該三氧化二紀之重量比係為0.5或〇.5以上。 33 ·如申請專利範圍第29項之處理裝置,其中該喷霧膜係由 本紙張尺度通用中國國家標準(CNS) A4規格(210X297公釐). (請先閱讀背面之注意事項再填寫本頁)
    37 Μ 4996 Α8 Β8 C8 -—_________ D8 六、申請專利範圍 ^ 紀、銳、鑭、鈽、銪、鏑及鋁之氟_化物或氧化物所組成。 34·如申請專利範圍第29項之處理裝置,其中該含有一種週 期表III_a元素之物質包括燒結的釔_紹_石榴石(YAG)。 35· —種用於一處理裝置中且具有抗腐蝕性及抗電漿性特 徵之構件,其包含·· 一該含有週期表ΙΠ-a元素之一化合物之物質之膜,該膜 係以一熱噴霧方法形成於該構件上。 36.如申請專利範圍第35項之構件,其中該處理裝置執行膜 之开成、钱刻、鍛燒及灰化中之任何一種。 37·如申請專利範圍第35項之構件,其包括下列者之一:陶 瓷材質、鋁、不銹鋼、金屬、金屬合金、石·英及陽極化 鋁。 _ 38.如申請專利範圍第37項之構件,其中該陶瓷材質是下列 者中任一種··三氧化二鋁、二氧化矽、氮化鋁、氮化矽 及碳化石夕。 39·如申睛專科範圍第35項之構件,其中該處理裝置用腐餘 氣體及電漿執行處理。 4〇·如申請專利範圍第39項之構件,其中該腐蝕氣體係一以 鹵素為主之氣體。 41·如申請專利範圍第39項之構件,其中該處理裝置用到高 頻電漿、ICP電漿及螺旋波電漿。 42·如申請專利範圍第35項之構件,其中該含有週期表m_a 元素之一化合物之物質包括三氧化二銘。 43·如申請專利範圍第35項之構件,其中該含有週期表m_a 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)’· (請先閲讀背面之注意事項再填寫本頁) .、可| 38 514996 A8 B8 C8 D8 申請專利範圍 元素之一化合物之物質包括三氪化二鋁及三氧化二紀。 44·如申請專利範圍第43項之構件,其中談該三氧化二鋁相 對於該三氧化二釔之重量比係為〇.5或〇.5以上。 45·如申請專利範圍第43項之構件,其中該三氧化二鋁相對 於該三氧化二釔之間的比例係為5 : 3。 46·如申請專利範圍第43項之構件,其中該含有三氧化二鋁 及三氧化二釔之物質係為釔-鋁_石榴石。 47·如申請專利範圍第35項之構件,其中該一週期表 元素之化合物係為燒結的釔_鋁_石榴石。 48·如申請專利範圍第47項之構件,其中該三氧化二鋁相對 於該三氧化二釔之重量比係為〇 75。 · 49·如申請專利範圍第47項之構件,其中該釔_鋁_石榴石具 有一 99.9%之純度.。 50. 如申請專利範圍第47項之構件,其中該含有釔_鋁_石榴 石且以熱喷霧處理之膜是非晶質的。 51. 如申研專利範圍第35項之構件,其中該噴霧膜係由紀、 銃、鑭、鈽、銪、鏑及鋁之氟化物或氧化物所構成。 52·如申請專利範圍第29項之處理裝置,其中該内室部件含 有澱積屏蔽、屏蔽環、支持臺及壁表面。 本紙張尺度適用中國國家標準(QiS) A4規格(21〇><297公爱), — ----------------. f請先閲讀背面之注意事項再填窝本頁) •訂· 39
TW089126103A 1999-12-10 2000-12-07 Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film TW514996B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP35201899 1999-12-10

Publications (1)

Publication Number Publication Date
TW514996B true TW514996B (en) 2002-12-21

Family

ID=18421226

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089126103A TW514996B (en) 1999-12-10 2000-12-07 Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film

Country Status (4)

Country Link
US (4) US20010003271A1 (zh)
JP (4) JP2001226773A (zh)
KR (15) KR20010062209A (zh)
TW (1) TW514996B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI415913B (zh) * 2008-01-14 2013-11-21 Komico Ltd 噴塗製程之粉料及其形成方法以及使用此粉料之噴塗層及其形成方法
TWI426577B (zh) * 2008-07-08 2014-02-11 Jusung Eng Co Ltd 用於製造一半導體裝置的方法
TWI505753B (zh) * 2009-01-14 2015-10-21 Tokyo Electron Ltd Inductively Coupled Plasma Processing Unit
TWI754325B (zh) * 2019-08-29 2022-02-01 南韓商吉佳藍科技股份有限公司 包括具有改善的電漿處理垂直度的聚焦環的電漿處理裝置
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法

Families Citing this family (511)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6824825B2 (en) * 1999-09-13 2004-11-30 Tokyo Electron Limited Method for depositing metallic nitride series thin film
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
KR100516844B1 (ko) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
US6568896B2 (en) * 2001-03-21 2003-05-27 Applied Materials, Inc. Transfer chamber with side wall port
JP2002356387A (ja) * 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
KR100433285B1 (ko) * 2001-07-18 2004-05-31 주성엔지니어링(주) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자제조장치
JP4277973B2 (ja) * 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
JP4663927B2 (ja) * 2001-08-29 2011-04-06 信越化学工業株式会社 希土類含有酸化物部材
JP4838464B2 (ja) * 2001-09-26 2011-12-14 東京エレクトロン株式会社 処理方法
EP1310466A3 (en) 2001-11-13 2003-10-22 Tosoh Corporation Quartz glass parts, ceramic parts and process of producing those
JP3964198B2 (ja) * 2001-12-21 2007-08-22 東京エレクトロン株式会社 プラズマ処理装置及びプロセス処理システム
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6884514B2 (en) 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
CA2474725A1 (en) * 2002-01-30 2003-08-07 Thyssen Elevator Capital Corp. Synthetic fiber rope for an elevator
JP2003224077A (ja) * 2002-01-30 2003-08-08 Tokyo Electron Ltd プラズマ処理装置、電極部材、バッフル板の製造方法、処理装置、および、表面処理方法
JP4074461B2 (ja) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
KR100913116B1 (ko) 2002-04-04 2009-08-19 토소가부시키가이샤 석영유리 용사부품 및 그 제조방법
US7086347B2 (en) * 2002-05-06 2006-08-08 Lam Research Corporation Apparatus and methods for minimizing arcing in a plasma processing chamber
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US20040129674A1 (en) * 2002-08-27 2004-07-08 Tokyo Electron Limited Method and system to enhance the removal of high-k dielectric materials
JP4083512B2 (ja) * 2002-08-30 2008-04-30 東京エレクトロン株式会社 基板処理装置
JP4260450B2 (ja) * 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
CN1249789C (zh) * 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
JP4503270B2 (ja) * 2002-11-28 2010-07-14 東京エレクトロン株式会社 プラズマ処理容器内部材
JP3829935B2 (ja) 2002-12-27 2006-10-04 信越化学工業株式会社 高耐電圧性部材
CN100418187C (zh) 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
WO2004095532A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
JP4394073B2 (ja) * 2003-05-02 2010-01-06 東京エレクトロン株式会社 処理ガス導入機構およびプラズマ処理装置
US7297247B2 (en) * 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
JP3868925B2 (ja) * 2003-05-29 2007-01-17 株式会社日立製作所 プラズマ処理装置
US20060124455A1 (en) * 2003-06-02 2006-06-15 Yizhou Song Thin film forming device and thin film forming method
KR101030433B1 (ko) * 2003-06-12 2011-04-20 주성엔지니어링(주) 챔버실드를 포함하는 화학기상증착 장치 및 챔버실드의 제조방법
KR100973666B1 (ko) * 2003-06-17 2010-08-03 주성엔지니어링(주) 원자층증착장치의 가스밸브 어셈블리
KR20050004995A (ko) * 2003-07-01 2005-01-13 삼성전자주식회사 플라즈마를 이용하는 기판 가공 장치
US7329467B2 (en) * 2003-08-22 2008-02-12 Saint-Gobain Ceramics & Plastics, Inc. Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same
JP2005072446A (ja) * 2003-08-27 2005-03-17 Chi Mei Electronics Corp プラズマ処理装置及び基板の表面処理装置
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
KR100568256B1 (ko) * 2003-12-11 2006-04-07 삼성전자주식회사 반도체 소자 제조 장비의 세정 방법
JP4532897B2 (ja) * 2003-12-26 2010-08-25 財団法人国際科学振興財団 プラズマ処理装置、プラズマ処理方法及び製品の製造方法
JP4606121B2 (ja) * 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
US20050227382A1 (en) * 2004-04-02 2005-10-13 Hui Angela T In-situ surface treatment for memory cell formation
JP4451221B2 (ja) * 2004-06-04 2010-04-14 東京エレクトロン株式会社 ガス処理装置および成膜装置
US7119032B2 (en) * 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
KR100953707B1 (ko) * 2004-08-24 2010-04-19 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 반도체 프로세싱 부품 및 이를 사용하는 반도체 제조방법
KR101069195B1 (ko) * 2004-09-23 2011-09-30 엘지디스플레이 주식회사 평판표시장치의 제조를 위한 대기개방형 박막처리장치 및이를 이용한 박막처리방법
KR101226120B1 (ko) * 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
JP2006144094A (ja) * 2004-11-22 2006-06-08 Fujimi Inc 溶射用粉末及びその製造方法
JP4560387B2 (ja) * 2004-11-30 2010-10-13 株式会社フジミインコーポレーテッド 溶射用粉末、溶射方法及び溶射皮膜
JP4912598B2 (ja) * 2005-02-15 2012-04-11 株式会社フジミインコーポレーテッド 溶射用粉末
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060213437A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
WO2006137541A1 (ja) * 2005-06-23 2006-12-28 Tokyo Electron Limited 半導体処理装置用の構成部材及びその製造方法
JP2007088411A (ja) * 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
KR100727672B1 (ko) * 2005-07-14 2007-06-13 신에쯔 세끼에이 가부시키가이샤 플라즈마 에칭 장치용 부재 및 그 제조 방법
WO2007026889A1 (ja) * 2005-09-01 2007-03-08 Matsushita Electric Industrial Co., Ltd. プラズマ処理装置、プラズマ処理方法、これに用いられる誘電体窓及びその製造方法
JP5089874B2 (ja) * 2005-09-12 2012-12-05 トーカロ株式会社 プラズマ処理装置用部材およびその製造方法
JP4522984B2 (ja) * 2005-11-02 2010-08-11 パナソニック株式会社 プラズマ処理装置
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US20070202701A1 (en) * 2006-02-27 2007-08-30 Tokyo Electron Limited Plasma etching apparatus and method
US20070215278A1 (en) * 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
KR100939256B1 (ko) * 2006-06-21 2010-01-29 한국과학기술연구원 반도체 제조 장비용 열용사 코팅물질의 제조방법
WO2007148931A1 (en) * 2006-06-21 2007-12-27 Korea Institute Of Science And Technology Ceramic coating material for thermal spray on the parts of semiconductor processing devices and fabrication method and coating method thereof
WO2008010632A1 (en) * 2006-07-20 2008-01-24 University-Industry Collaboration Foundation Chungnam National University Electrostatic chuck with high-resistivity ceramic coating materials
KR100877381B1 (ko) * 2006-07-20 2009-01-09 충남대학교산학협력단 고저항 세라믹 열용사 코팅 소재 및 이를 포함하는정전척의 제조방법
JP5134223B2 (ja) * 2006-09-06 2013-01-30 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP2008103403A (ja) * 2006-10-17 2008-05-01 Tokyo Electron Ltd 基板載置台及びプラズマ処理装置
JP5159204B2 (ja) 2006-10-31 2013-03-06 株式会社フジミインコーポレーテッド 溶射用粉末、溶射皮膜の形成方法、耐プラズマ性部材、及びプラズマ処理チャンバー
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20080236491A1 (en) 2007-03-30 2008-10-02 Tokyo Electron Limited Multiflow integrated icp source
US7718559B2 (en) * 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
CN103102157A (zh) 2007-04-27 2013-05-15 应用材料公司 降低暴露于含卤素等离子体表面的腐蚀速率的装置和方法
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US7976674B2 (en) * 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
KR101408375B1 (ko) * 2007-08-29 2014-06-18 최대규 유도 결합 플라즈마 소스가 내장된 히터 및 이를 구비한플라즈마 처리 챔버
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
KR100887582B1 (ko) * 2007-09-27 2009-03-09 세메스 주식회사 웨이퍼 가공 장치 및 이를 이용한 웨이퍼 가공 방법
JP2011503844A (ja) * 2007-11-01 2011-01-27 ユージン テクノロジー カンパニー リミテッド 高周波駆動誘導結合プラズマを用いたウェハ表面処理装置
US20090151870A1 (en) * 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP5287850B2 (ja) * 2008-04-08 2013-09-11 株式会社島津製作所 プラズマcvd用のカソード電極、およびプラズマcvd装置
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
KR101004822B1 (ko) * 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
JP5439771B2 (ja) * 2008-09-05 2014-03-12 東京エレクトロン株式会社 成膜装置
KR101497897B1 (ko) * 2008-11-27 2015-03-05 삼성전자 주식회사 반도체 제조장치
JP2010174325A (ja) * 2009-01-29 2010-08-12 Kyocera Corp 放電用電極体、放電用電極アセンブリおよび放電処理装置
JP5214513B2 (ja) * 2009-02-02 2013-06-19 東京エレクトロン株式会社 プラズマ処理装置及び温度測定方法並びに温度測定装置
KR101514098B1 (ko) 2009-02-02 2015-04-21 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치와 온도 측정 방법 및 장치
JP4955027B2 (ja) * 2009-04-02 2012-06-20 クリーン・テクノロジー株式会社 排ガス処理装置における磁場によるプラズマの制御方法
KR101065351B1 (ko) * 2009-04-10 2011-09-16 세메스 주식회사 기판 이송 장치
KR101035547B1 (ko) * 2009-05-21 2011-05-23 삼정보일러공업(주) 산업 폐기물을 연소할 때 발생하는 폐열을 이용한 열 교환 방법 및 그 장치
JP5323628B2 (ja) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
JP5039120B2 (ja) * 2009-12-07 2012-10-03 東京エレクトロン株式会社 プラズマ処理装置用のアルミナ部材及びプラズマ処理装置用のアルミナ部材の製造方法
KR101074810B1 (ko) * 2009-12-23 2011-10-19 삼성모바일디스플레이주식회사 캐리어 가스 공급 구조가 개선된 증착 장치 및 그것을 이용한 유기 발광 디스플레이 장치 제조방법
US20120318662A1 (en) * 2009-12-24 2012-12-20 Nissan Chemical Industries, Ltd. Method for forming bond between different elements
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
JP5740203B2 (ja) * 2010-05-26 2015-06-24 東京エレクトロン株式会社 プラズマ処理装置及びその処理ガス供給構造
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120196139A1 (en) * 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9155181B2 (en) * 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9336996B2 (en) 2011-02-24 2016-05-10 Lam Research Corporation Plasma processing systems including side coils and methods related to the plasma processing systems
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
JP6034156B2 (ja) * 2011-12-05 2016-11-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN104093874A (zh) 2011-12-28 2014-10-08 福吉米株式会社 氧化钇皮膜
KR101371435B1 (ko) 2012-01-04 2014-03-12 주식회사 유진테크 처리유닛을 포함하는 기판 처리 장치
TW201347035A (zh) * 2012-02-02 2013-11-16 Greene Tweed Of Delaware 用於具有延長生命週期的電漿反應器的氣體分散板
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
WO2013133110A1 (ja) * 2012-03-09 2013-09-12 株式会社ユーテック Cvd装置
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9279185B2 (en) * 2012-06-14 2016-03-08 Asm Technology Singapore Pte Ltd Feed-through apparatus for a chemical vapour deposition device
KR101383291B1 (ko) * 2012-06-20 2014-04-10 주식회사 유진테크 기판 처리 장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) * 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP6257071B2 (ja) * 2012-09-12 2018-01-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014082354A (ja) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
JP6017936B2 (ja) * 2012-11-27 2016-11-02 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
WO2014103728A1 (ja) * 2012-12-27 2014-07-03 昭和電工株式会社 成膜装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
KR102264053B1 (ko) * 2013-05-01 2021-06-11 어플라이드 머티어리얼스, 인코포레이티드 Epi 챔버 유동 조작을 위한 주입구 및 배기구 설계
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
JP6170340B2 (ja) * 2013-05-21 2017-07-26 東京エレクトロン株式会社 ガス供給ヘッド、ガス供給機構及び基板処理装置
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
JP6199619B2 (ja) 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9711334B2 (en) * 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9440886B2 (en) * 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6045485B2 (ja) * 2013-12-20 2016-12-14 東京エレクトロン株式会社 基板処理装置
JP6317921B2 (ja) * 2013-12-20 2018-04-25 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP5888458B2 (ja) 2014-06-26 2016-03-22 Toto株式会社 耐プラズマ性部材及びその製造方法
US9431221B2 (en) * 2014-07-08 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-processing apparatus with upper electrode plate and method for performing plasma treatment process
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
KR102430454B1 (ko) * 2014-08-15 2022-08-05 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 강화 화학 기상 증착 시스템에서 높은 온도들로 압축 또는 인장 응력을 갖는 웨이퍼들을 프로세싱하는 방법 및 장치
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10208398B2 (en) * 2014-12-02 2019-02-19 Showa Denko K.K. Wafer support, chemical vapor phase growth device, epitaxial wafer and manufacturing method thereof
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
JP6156850B2 (ja) * 2014-12-25 2017-07-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の部材の交換判断方法
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
CN109023303A (zh) * 2015-02-13 2018-12-18 恩特格里斯公司 衬底部分上的复合原子层沉积ald涂层及在衬底部分上形成经图案化ald涂层的方法
JP5981013B1 (ja) * 2015-02-24 2016-08-31 株式会社リケン 内燃機関用ピストンリング
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160362813A1 (en) * 2015-06-12 2016-12-15 Applied Materials, Inc. Injector for semiconductor epitaxy growth
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN106337202B (zh) * 2015-07-17 2018-11-06 中国科学院苏州纳米技术与纳米仿生研究所 一种用于高温晶体生长的气体花洒装置
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN108292588B (zh) * 2015-12-04 2022-02-18 应用材料公司 用以防止hdp-cvd腔室电弧放电的先进涂层方法及材料
TW201804028A (zh) * 2015-12-22 2018-02-01 應用材料股份有限公司 半導體處理設備的抗腐蝕性塗層
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106935470B (zh) * 2015-12-31 2019-03-08 中微半导体设备(上海)有限公司 一种带有温度测量装置的等离子处理器
US10727089B2 (en) * 2016-02-12 2020-07-28 Lam Research Corporation Systems and methods for selectively etching film
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP2017157778A (ja) 2016-03-04 2017-09-07 東京エレクトロン株式会社 基板処理装置
TWI677593B (zh) * 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10170313B2 (en) * 2016-05-02 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for a tunable electromagnetic field apparatus to improve doping uniformity
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6700156B2 (ja) * 2016-11-16 2020-05-27 株式会社ニューフレアテクノロジー 成膜装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
JP6899217B2 (ja) * 2016-12-28 2021-07-07 株式会社Screenホールディングス 基板処理装置、基板処理方法および基板処理システム
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN106539491B (zh) * 2017-01-23 2018-09-07 珠海格力电器股份有限公司 锅盖组件及压力锅
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11469079B2 (en) * 2017-03-14 2022-10-11 Lam Research Corporation Ultrahigh selective nitride etch to form FinFET devices
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US20180327892A1 (en) 2017-05-10 2018-11-15 Applied Materials, Inc. Metal oxy-flouride films for chamber components
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US20180358204A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Strip Tool With Multiple Gas Injection Zones
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR20200039827A (ko) * 2017-09-11 2020-04-16 어플라이드 머티어리얼스, 인코포레이티드 반응성 가스 전구체를 사용한 프로세싱 챔버로부터의 하이-k 막들의 선택적 인-시튜 세정
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
KR20200087267A (ko) 2017-12-08 2020-07-20 램 리써치 코포레이션 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위해 개선된 홀 패턴을 갖는 통합된 샤워헤드
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
JP2019116676A (ja) * 2017-12-27 2019-07-18 テクノクオーツ株式会社 成膜装置の構成部材の耐食構造およびその構成部材の製造方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11087961B2 (en) * 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR102253808B1 (ko) * 2019-01-18 2021-05-20 주식회사 유진테크 기판 처리 장치
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
SG11202107817XA (en) * 2019-03-11 2021-09-29 Applied Materials Inc Lid assembly apparatus and methods for substrate processing chambers
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP7331762B2 (ja) * 2019-04-12 2023-08-23 信越化学工業株式会社 溶射材料、その製造方法、及び溶射皮膜の形成方法
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7340170B2 (ja) * 2019-06-25 2023-09-07 東京エレクトロン株式会社 ガス導入構造、熱処理装置及びガス供給方法
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR102227909B1 (ko) 2019-07-16 2021-03-16 주식회사 유진테크 배치식 기판처리장치 및 그 운용방법
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR102077975B1 (ko) * 2019-10-15 2020-02-14 주식회사 기가레인 플라즈마 처리 수직도가 향상된 플라즈마 처리 장치
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
CN112713073B (zh) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种耐腐蚀气体输送部件及其等离子体处理装置
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR102161704B1 (ko) * 2020-01-21 2020-10-06 한국과학기술연구원 부품 불화 장치 및 방법
JP2023511196A (ja) * 2020-01-23 2023-03-16 ラム リサーチ コーポレーション 半導体反応チャンバの保護コーティング
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
CN115244209A (zh) * 2020-03-06 2022-10-25 东华隆株式会社 新型的钨系喷镀覆膜和用于获得其的喷镀用材料
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source
US11939664B2 (en) * 2021-08-30 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing semiconductor processes with coated bell jar
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023239542A1 (en) * 2022-06-07 2023-12-14 Lam Research Corporation Vacuum-insulated, heated reactor construction

Family Cites Families (208)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) * 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
AU541132B2 (en) * 1980-03-13 1984-12-20 T.I. Accles & Pollock Ltd Golf club shaft
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPS5887273A (ja) * 1981-11-18 1983-05-25 Hitachi Ltd セラミツク被覆層を有する部品とその製造方法
JPH065155B2 (ja) * 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
NO163412B (no) 1988-01-25 1990-02-12 Elkem Technology Plasmalanse.
DE69015715T2 (de) * 1989-07-11 1995-08-17 Sony Corp Verfahren zur Wärmebehandlung eines optischen Oxidkristalles und Wärmebehandlungsvorrichtung dafür.
US5334462A (en) * 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
JPH03115535A (ja) * 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) * 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
GB2242443B (en) 1990-03-28 1994-04-06 Nisshin Flour Milling Co Coated particles of inorganic or metallic materials and processes of producing the same
JPH07122762B2 (ja) * 1990-06-13 1995-12-25 株式会社精工舎 記録装置
US5180467A (en) * 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JP3000179B2 (ja) * 1991-08-26 2000-01-17 東京エレクトロン株式会社 搬送駆動装置
DE4103994A1 (de) 1991-02-11 1992-08-13 Inst Elektroswarki Patona Schutzueberzug vom typ metall-keramik fuer einzelteile aus hitzebestaendigen legierungen
DE69213802T2 (de) * 1991-04-09 1997-02-27 Ngk Insulators Ltd Verwendung einer Oxidschicht zur Verbesserung der Oxydation- und Korrosionswiderstand einer Gasturbinenschaufeln aus Siliziumnitrid
JP3175189B2 (ja) 1991-05-13 2001-06-11 ソニー株式会社 減圧cvd装置
JPH05238855A (ja) * 1992-02-28 1993-09-17 Tokyo Electric Power Co Inc:The セラミックコーティング部材の製造方法
WO1993024275A1 (en) 1992-06-01 1993-12-09 Ice Blast International Ltd. Particle blasting utilizing crystalline ice
EP0573057A1 (en) 1992-06-05 1993-12-08 Applied Materials, Inc. Integrated circuit structure processing apparatus with chemically corrosion-resistant Al2O3 protective coating on surface of quartz window exposed to corrosive chemicals
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5302465A (en) * 1992-10-26 1994-04-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Plasma sprayed ceramic thermal barrier coating for NiAl-based intermetallic alloys
DE69330719T2 (de) 1992-12-28 2002-07-04 Nippon Zeon Co Gegenstand mit harter beschichtung und verfahren zu seiner herstellung
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) * 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JP3228644B2 (ja) * 1993-11-05 2001-11-12 東京エレクトロン株式会社 真空処理装置用素材及びその製造方法
US5484752A (en) * 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JPH07263354A (ja) * 1994-03-25 1995-10-13 Kobe Steel Ltd プラズマcvd膜の形成方法
US5651723A (en) * 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) * 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
KR100331053B1 (ko) * 1994-05-17 2002-06-20 가나이 쓰도무 플라즈마처리장치및플라즈마처리방법
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
JP3699142B2 (ja) 1994-09-30 2005-09-28 アネルバ株式会社 薄膜形成装置
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5898586A (en) * 1994-11-04 1999-04-27 Eli Lilly And Company Method for administering clinical trail material
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
EP0722919B1 (en) * 1995-01-19 1999-08-11 Ube Industries, Ltd. Ceramic composite
US5759360A (en) * 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3599834B2 (ja) * 1995-05-30 2004-12-08 アネルバ株式会社 プラズマ処理装置
JP3595608B2 (ja) 1995-05-30 2004-12-02 アネルバ株式会社 真空処理装置、真空処理装置における真空容器内面堆積膜除去方法及び真空処理装置における真空容器内面膜堆積均一化方法
JPH08339895A (ja) * 1995-06-12 1996-12-24 Tokyo Electron Ltd プラズマ処理装置
JP3208044B2 (ja) * 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW323387B (zh) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
JP3378126B2 (ja) * 1995-09-01 2003-02-17 三菱電機株式会社 真空処理装置および半導体装置の製造方法
EP0777258A3 (en) * 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
IT1277078B1 (it) * 1995-12-14 1997-11-04 Geld & Kapitalanlagen Ag Macchina per la formazione di pastiglie di prodotto cosmetico
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
CA2205817C (en) 1996-05-24 2004-04-06 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5892278A (en) * 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
JP3050124B2 (ja) 1996-05-27 2000-06-12 住友金属工業株式会社 プラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JPH104083A (ja) * 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材
JP3241270B2 (ja) * 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5885402A (en) * 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
JP3619330B2 (ja) * 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
DE19654147A1 (de) * 1996-12-23 1998-06-25 Basf Ag Verwendung von Aminoisothiazolen als Mikrobizide
US6301004B1 (en) 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
JP3798491B2 (ja) * 1997-01-08 2006-07-19 東京エレクトロン株式会社 ドライエッチング方法
US5925228A (en) * 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) * 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
JP2981184B2 (ja) * 1997-02-21 1999-11-22 トーカロ株式会社 ボイラ伝熱管および管内面デポジット付着抑制効果に優れるボイラ伝熱管の製造方法
JP3488373B2 (ja) * 1997-11-28 2004-01-19 京セラ株式会社 耐食性部材
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
JPH10273777A (ja) * 1997-03-28 1998-10-13 Nikon Corp 誘導結合型プラズマcvd装置及びその装置を用いた均一成膜方法
JP2953424B2 (ja) * 1997-03-31 1999-09-27 日本電気株式会社 フェイスダウンボンディング用リードフレーム
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
JP3362113B2 (ja) * 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
KR19990008937U (ko) 1997-08-13 1999-03-05 이문세 방음벽 조립체
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6180262B1 (en) * 1997-12-19 2001-01-30 United Technologies Corporation Thermal coating composition
KR100258984B1 (ko) * 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JPH11219937A (ja) * 1998-01-30 1999-08-10 Toshiba Corp プロセス装置
JP3350433B2 (ja) 1998-02-16 2002-11-25 シャープ株式会社 プラズマ処理装置
JP4217299B2 (ja) 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (ko) * 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP4037956B2 (ja) 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) * 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
JP2000103689A (ja) * 1998-09-28 2000-04-11 Kyocera Corp アルミナ質焼結体およびその製造方法、並びに耐プラズマ部材
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP3030287B1 (ja) 1998-10-09 2000-04-10 株式会社協同インターナショナル 成膜装置のクリーニング方法、スパッタリングターゲットのクリーニング方法及びこれらに使用するクリーニング装置
JP2000124197A (ja) 1998-10-16 2000-04-28 Hitachi Ltd プラズマ処理装置
JP4073584B2 (ja) * 1998-11-04 2008-04-09 株式会社ミクニ 弁駆動装置
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
JP4283925B2 (ja) * 1999-01-27 2009-06-24 太平洋セメント株式会社 耐蝕性部材
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) * 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3911902B2 (ja) 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2001023959A (ja) 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP2001031484A (ja) 1999-07-22 2001-02-06 Nihon Ceratec Co Ltd 耐食性複合部材
US6387817B1 (en) 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) * 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6651504B1 (en) * 1999-09-16 2003-11-25 Ut-Battelle, Llc Acoustic sensors using microstructures tunable with energy other than acoustic energy
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP2001152307A (ja) 1999-11-29 2001-06-05 Nippon Steel Hardfacing Co Ltd 耐食性を有し、長期間使用に耐える複合皮膜の形成方法およびその複合皮膜を有する部材
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
JP3567855B2 (ja) 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
WO2001068323A1 (de) * 2000-03-15 2001-09-20 Preising Paul Eric Reinigungsverfahren und -vorrichtung für hochspannungsführende anlagenteile
US6364948B1 (en) * 2000-03-21 2002-04-02 Mars, Inc. Coating and drying apparatus
US6396161B1 (en) * 2000-04-17 2002-05-28 Delco Remy America, Inc. Integrated starter alternator troller
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) * 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002134481A (ja) 2000-10-25 2002-05-10 Taiheiyo Cement Corp 真空処理装置用部材
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20020090464A1 (en) 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US20040081746A1 (en) 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6790242B2 (en) * 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
CN1220989C (zh) 2001-02-07 2005-09-28 株式会社新王磁材 制造铁基稀土磁体用合金材料的方法
US6830622B2 (en) 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
TW541586B (en) 2001-05-25 2003-07-11 Tokyo Electron Ltd Substrate table, production method therefor and plasma treating device
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
DE60228661D1 (de) * 2001-09-21 2008-10-16 Fujifilm Corp Organische elektrolumineszente Vorrichtung
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
GB2383833A (en) 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) * 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6852433B2 (en) * 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US20040060779A1 (en) * 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US6896106B2 (en) * 2002-10-02 2005-05-24 Arvinmeritor Technology, Llc Method and assembly for automatic slack adjustment of an electric brake actuator
CN1249789C (zh) 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
US6806949B2 (en) * 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
JP4597972B2 (ja) 2003-03-31 2010-12-15 東京エレクトロン株式会社 処理部材上に隣接するコーティングを接合する方法。
US6838862B2 (en) * 2003-04-04 2005-01-04 Harris Corporation Pulse width modulator having reduced signal distortion at low duty cycles
WO2007013184A1 (ja) * 2005-07-29 2007-02-01 Tocalo Co., Ltd. Y2o3溶射皮膜被覆部材およびその製造方法
JP4238882B2 (ja) 2006-06-09 2009-03-18 トヨタ自動車株式会社 車両用エゼクタシステム

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI415913B (zh) * 2008-01-14 2013-11-21 Komico Ltd 噴塗製程之粉料及其形成方法以及使用此粉料之噴塗層及其形成方法
TWI426577B (zh) * 2008-07-08 2014-02-11 Jusung Eng Co Ltd 用於製造一半導體裝置的方法
TWI505753B (zh) * 2009-01-14 2015-10-21 Tokyo Electron Ltd Inductively Coupled Plasma Processing Unit
TWI754325B (zh) * 2019-08-29 2022-02-01 南韓商吉佳藍科技股份有限公司 包括具有改善的電漿處理垂直度的聚焦環的電漿處理裝置
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法

Also Published As

Publication number Publication date
KR20070020109A (ko) 2007-02-16
JP2012018928A (ja) 2012-01-26
KR20080071538A (ko) 2008-08-04
KR20090098952A (ko) 2009-09-18
JP2001226773A (ja) 2001-08-21
US20080070032A1 (en) 2008-03-20
KR100944571B1 (ko) 2010-02-25
KR100944572B1 (ko) 2010-02-25
KR100944573B1 (ko) 2010-02-25
US20010003271A1 (en) 2001-06-14
KR20070089773A (ko) 2007-09-03
KR100885597B1 (ko) 2009-02-24
US20080069966A1 (en) 2008-03-20
KR100934048B1 (ko) 2009-12-24
KR100972878B1 (ko) 2010-07-28
KR20100055370A (ko) 2010-05-26
US7879179B2 (en) 2011-02-01
JP4689563B2 (ja) 2011-05-25
KR100944576B1 (ko) 2010-02-25
KR100884164B1 (ko) 2009-02-17
KR101015667B1 (ko) 2011-02-22
KR20070090863A (ko) 2007-09-06
KR20080082587A (ko) 2008-09-11
JP2006336114A (ja) 2006-12-14
KR100884165B1 (ko) 2009-02-17
US7846291B2 (en) 2010-12-07
US20030200929A1 (en) 2003-10-30
KR20010062209A (ko) 2001-07-07
KR20080082588A (ko) 2008-09-11
KR100934508B1 (ko) 2009-12-29
KR20070089772A (ko) 2007-09-03
JP2007291528A (ja) 2007-11-08
KR20070020110A (ko) 2007-02-16
KR100922902B1 (ko) 2009-10-22
KR20080072806A (ko) 2008-08-07
KR100944570B1 (ko) 2010-02-25
KR20080075071A (ko) 2008-08-14
JP5100202B2 (ja) 2012-12-19
KR100994592B1 (ko) 2010-11-15
KR20080071963A (ko) 2008-08-05
KR20090098780A (ko) 2009-09-17

Similar Documents

Publication Publication Date Title
TW514996B (en) Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US20040168769A1 (en) Plasma processing equipment and plasma processing method
JP2010522989A (ja) 半導体材料処理装置のアルミニウムめっき構成要素および該構成要素を製造する方法
KR20140052899A (ko) 텅스텐 카바이드 코팅된 플라즈마 반응기 챔버의 금속 컴포넌트 및 코팅 방법
WO2021050168A1 (en) Methods for forming a protective coating on processing chamber surfaces or components
TWI725657B (zh) 電漿蝕刻裝置
JP2022185570A (ja) シャワーヘッド、電極ユニット、ガス供給ユニット、基板処理装置及び基板処理システム

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent