JP4689563B2 - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP4689563B2
JP4689563B2 JP2006245777A JP2006245777A JP4689563B2 JP 4689563 B2 JP4689563 B2 JP 4689563B2 JP 2006245777 A JP2006245777 A JP 2006245777A JP 2006245777 A JP2006245777 A JP 2006245777A JP 4689563 B2 JP4689563 B2 JP 4689563B2
Authority
JP
Japan
Prior art keywords
gas
chamber
film
bell jar
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006245777A
Other languages
English (en)
Other versions
JP2006336114A (ja
Inventor
林 大槻
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006245777A priority Critical patent/JP4689563B2/ja
Publication of JP2006336114A publication Critical patent/JP2006336114A/ja
Application granted granted Critical
Publication of JP4689563B2 publication Critical patent/JP4689563B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Plasma Technology (AREA)
  • Electrodes Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Description

本発明は、被処理基板に対してプラズマ処理を施すプラズマ処理装置に関する。
半導体デバイスの製造においては、最近の高密度化および高集積化の要請に対応して、回路構成を多層配線構造にする傾向にあり、このため、下層の半導体デバイスと上層の配線層との接続部であるコンタクトホールや、上下の配線層同士の接続部であるビアホールなどの層間の電気的接続のための埋め込み技術が重要になっている。
このようなコンタクトホールやビアホールの埋め込みには、一般的にAl(アルミニウム)やW(タングステン)、あるいはこれらを主体とする合金が用いられる。この中でAlまたはAl合金を用いた場合にはこのような金属や合金が下層のSi(シリコン)基板やAl配線と直接接触すると、これらの境界部分においてAlの吸い上げ効果等に起因して両金属の合金が形成されるおそれがある。このようにして形成される合金は抵抗値が大きく、このような合金が形成されることは近時デバイスに要求されている省電力化および高速動作の観点から好ましくない。また、WまたはW合金をコンタクトホールの埋め込み層として用いる場合には、埋め込み層の形成に用いるWFガスがSi基板に侵入して電気的特性等を劣化させる傾向となり、やはり好ましくない結果をもたらす。
そこで、これらの不都合を防止するために、コンタクトホールやビアホールに埋め込み層を形成する前に、これらの内壁にバリア層を形成し、その上から埋め込み層を形成することが行われており、このようなバリア層としてTiN膜が用いられている。
一方、高集積化にともない、キャパシタゲート材としては、スケールを変えることなく高いキャパシタンスを得るために、Ta等の高誘電率材を用いるようになってきている。しかし、このような高誘電率材は従来キャパシタゲート材として用いていたSiOに比べ安定でないために、従来よりその上部電極として用いられているpoly−Siを用いた場合には、キャパシタ作成後の熱履歴により酸化されてしまい、安定したデバイス素子の形成が不可能となってしまう。このため、より酸化されにくいTiN膜が上部電極として必要とされている。
従来、このようなTiN膜は物理的蒸着(PVD)を用いて成膜されていたが、最近のようにデバイスの微細化および高集積化が特に要求され、デザインルールが特に厳しくなっており、埋め込み性の悪いPVDでは十分な特性を得ることが困難となっている。そこで、TiN膜をより良質の膜を形成することが期待できる化学的蒸着(CVD)で成膜することが行われている。具体的には、反応ガスとしてTiClとNH(アンモニア)またはMMH(モノメチルヒドラジン)を用い熱CVDにより成膜されている。
ところで、このように熱CVDによってTiN膜を成膜する場合には、膜中にCl(塩素)が残留しやすい。Clが残留すると成膜される膜は比抵抗値が高くなり、キャパシタ上部電極に適用した場合に十分な特性が得られない。また、柱状結晶であるTiN膜は粒界拡散が生じやすいため、バリア性が低くなってしまう。特に、このバリア性の低さはTiN膜をCu配線のバリア層として用いる場合やキャパシタ上部電極のTa配線の酸素拡散バリアの場合に問題となる。つまり、残留塩素によるCu配線腐食や酸素の拡散によるTaの容量低下が問題となる。
膜中のClは、成膜温度を高温にすることにより除去することは可能ではあるが、高温プロセスはCu,Al等の配線材料の腐食等の問題があり好ましくない。
一方、プラズマCVDの一手法として、処理容器の一部として用いられるベルジャーの周囲にコイル等のアンテナ部材を設け、これに高周波電力を印加し、誘導電磁界を形成してプラズマを形成するICP(Inductively Coupled Plasma)−CVDがあり、このようなICP−CVDによってTiN膜を成膜する場合には、成膜されたTiN膜は低抵抗および低Clであり、低温成膜でもCl残留量は少ない。
このようなICP−CVD装置によりTiN膜を成膜する場合には、石英やアルミナ製のベルジャーが用いられているが、処理容器の一部として用いられるベルジャー内壁に処理ガスに起因する付着物がつきやすいという問題点がある。
本発明はかかる事情に鑑みてなされたものであって、処理容器に処理ガスに起因する付着物がつき難いプラズマ処理装置を提供することを目的とする。
上記課題を解決するために、本発明によれば、被処理基板を収容するチャンバーと、
前記チャンバーの上方に前記チャンバーに連通するように設けられたベルジャーと、
ベルジャー内に誘導電磁界を形成するためのアンテナ手段と、
前記アンテナ手段に高周波電力を印加する高周波印加手段と、
プラズマ生成ガスおよび処理ガスが供給され、これらガスを前記ベルジャーまたは前記チャンバーに導入するガス導入手段と
を具備し、前記ベルジャー内に形成された誘導電磁界によりプラズマを形成してプラズマ処理を行うプラズマ処理装置であって、
前記ガス導入手段は、前記ベルジャーの上部に設けられ、前記ベルジャー内にプラズマ生成ガスを吐出する複数の第1の吐出孔を有するシャワーヘッドと、前記シャワーヘッドから前記チャンバーの上部まで延びて配置され、前記被処理基板上に処理ガスを吐出する第2のガス吐出孔を有するガス吐出部材とを備えることを特徴とするプラズマ処理装置が提供される。
本発明において、前記チャンバーは、その内壁が周期律表第3a族元素化合物を含む膜からなることが好ましい。これによりチャンバーの内壁の耐食性をも高めることができる。
本発明に係るプラズマ処理装置において、前記ガス吐出部材の下端部に、螺旋状をなし多数の前記第2のガス吐出孔が形成されたガス吐出部を設けることができる。また、前記ガス吐出部材として、その下端部が複数のガス吐出部に分岐しているものを用いることができる。
本発明によれば、ガス導入手段は、ベルジャーの上部に設けられ、ベルジャー内にプラズマ生成ガスを吐出する複数の第1の吐出孔を有するシャワーヘッドと、シャワーヘッドからチャンバーの上部まで延びて配置され、被処理基板上に処理ガスを吐出する第2のガス吐出孔を有するガス吐出部材とを備えるので、処理ガスは処理容器の内壁にほとんど到達せず、処理容器内壁には処理ガスに起因する付着物がほとんど付着しない。
また、処理容器を構成するチャンバーとベルジャーとの間に設けられ、その内周側の全周にわたって処理ガスを吐出する複数の吐出口が設けられた環状のガス供給手段を具備するので、処理ガスを被処理基板に近い位置から供給することができ、処理容器内壁に処理ガスに起因する付着物を付着し難くすることができる。また、このような構成により、処理を施す被処理基板に近い位置から処理ガスを均一に供給することができる。
以下、添付図面を参照して、本発明の実施の形態について詳細に説明する。
図1は、本発明の第1の実施形態に係るCVD成膜装置を示す断面図である。このCVD成膜装置はTiN薄膜を成膜するものであり、略円筒状のチャンバー11と、チャンバー11の上方にチャンバー11に連続して設けられた略円筒状のベルジャー12とを有しており、ベルジャー12は、チャンバー11よりも小径となっている。チャンバー11は、例えば表面が陽極酸化処理されたアルミニウムで構成されている。ベルジャー12は、例えばセラミックス材料からなる基材13と、その内壁に形成された周期律表第3a族元素化合物を含む膜14とで構成されている。
周期律表第3a族元素化合物を含む膜14としては、Y、Sc、La、Ce、Eu、Dy等の酸化物、フッ化物等を含むものを挙げることができる。このような酸化物、フッ化物等としてはY、Sc、La、CeO、Eu、Dy、ScF、YF等が例示される。周期律表第3a族元素化合物を含む膜14に含まれる第3a族元素は単独であっても複数であってもよい。周期律表第3a族元素化合物を含む膜14は、周期律表第3a族元素化合物のみ、例えばYのみで構成されていてもよく、他の物質と複合されていてもよい。他の物質と複合されたものとしては、周期律表第3a族元素化合物とAlとから実質的になるものが挙げられる。このような膜としてはYとAlとから実質的になるものが例示され、この場合にはAl/Y重量比を0.5以上とすることが好ましい。また、Al/Y重量比は2.5以下が好ましい。より耐食性を良好にする観点からは、イットリウム・アルミニウム・ガーネット(YAG)組成(YAl12)であるAl/Y重量比で0.75の組成が好ましい。また、必ずしもYAGが晶出している必要はないが、耐食性が特に優れたYAG結晶を含むことが一層好ましい。また、周期律表第3a族元素化合物を含む膜14としては、実施的にYからなる膜であっても高い耐食性を得ることができる。周期律表第3a族元素化合物を含む膜14は、溶射膜であっても焼結膜であってもよい。これらの中では比較的厚い膜を簡単に形成することができることから、溶射膜が好ましい。溶射膜でAl−Y膜を形成する場合には、AlおよびYの混合物を溶射してもよいし、YAG等の上記組成範囲内の複合酸化物の状態で溶射してもよい。周期律表第3a族元素化合物を含む膜14としてYAGの結晶を含む膜を確実に形成するためには焼結膜であることが好ましい。基材13を構成するセラミックスとしては、Al、石英やシリカガラス等のSiO、AlNが例示される。なお、ここではチャンバー11の内壁にはこのような膜を設けてはいないが、チャンバー11の内壁にもこのような膜を形成してもよい。
チャンバー11内の底部にはセラミックなどの絶縁板15および支持台16を介して、被処理体である半導体ウエハ(以下「ウエハ」という)Wを載置するための略円柱状のサセプタ17が設けられている。
前記支持台16の内部には、冷媒室18が設けられており、この冷媒室18には冷媒が冷媒導入管19を介して導入され排出管20から排出されて循環し、その冷熱が前記サセプタ17を介してウエハWに伝熱される。また、サセプタ17には発熱体21が埋め込まれており、この発熱体21は電源22から給電されることによりウエハWを所定の温度に加熱する。電源22にはコントローラー23が接続されている。そして、冷媒の冷熱および発熱体21の熱によりウエハWの温度が制御される。
前記サセプタ17は、その上にウエハWと略同形の静電チャック24が設けられている。静電チャック24は、絶縁材25の間に電極26が介在されており、電極26に接続された直流電源27から直流電圧が印加されることにより、クーロン力等によってウエハWを静電吸着する。
ベルジャー12の上部には、アルミニウム、アルマイトニッケル合金等の金属材料、または、セラミックス材料で構成され、その外周面に前述したベルジャー12の内壁と同様に周期律表第3a族元素化合物を含む膜14が形成されたシャワーヘッド30が設けられている。このシャワーヘッド30には下方へガスを吐出するための多数のガス吐出孔30a、30bおよび30cが形成されている。そして、シャワーヘッド30にはガス供給機構40の配管55、56および57が接続されている。すなわち後述するようにガス吐出孔30aにはArガスを供給する配管56が接続されており、ガス吐出孔30bにはNHガスを供給する配管55が接続されており、ガス吐出孔30cにはTiClガスおよびArガス、または、ClFガスを供給する配管57が接続されていて、シャワーヘッド30を介してベルジャー12内へ所定のガスが導入されるようになっている。このようにシャワーヘッド30はマトリックスタイプであり、成膜ガスであるTiClガスおよびNHガスが異なる吐出孔から吐出され、吐出後に混合されるポストミックス方式が採用される。なお、ここではシャワーヘッド30の外周面に周期律表第3a族元素化合物を含む膜14を形成することによりシャワーヘッド30外周面の耐食性を高めた場合を示したが、シャワーヘッド30の外周面に周期律表第3a族元素化合物を含む膜14を形成することは必須ではない。
ガス供給機構40は、クリーニングガスであるClFを供給するClF供給源41、成膜ガスであるTiClを供給するTiCl供給源42、キャリアガスとして用いられるArを供給する第1のAr供給源43、プラズマガスとして用いられるArを供給する第2のAr供給源44、成膜ガスであるNHを供給するNH供給源45を有している。そして、ClF供給源41にはガスライン46が、TiCl供給源42にはガスライン47が、第1のAr供給源43にはガスライン48が、第2のAr供給源44にはガスライン49が、NH供給源45にはガスライン50がそれぞれ接続されている。そして、各ガスラインにはバルブ51、マスフローコントローラ52およびバルブ53が設けられている。
TiCl供給源42から延びるガスライン47は、バルブ51、マスフローコントローラ52およびバルブ53を介して配管57に通じており、この配管57には第1のAr供給源43から延びるガスライン48が合流しており、ガスライン47および配管57を通ってArガスにキャリアされたTiClガスがシャワーヘッド30に至り、ガス吐出孔30cからベルジャー12内へ導入可能となっている。また、ClF供給源41から延びるガスライン46は配管57に合流しており、ガスライン46に設けられたバルブ51および53を開けることにより、クリーニングガスであるClFがガスライン46および配管57を通ってシャワーヘッド30に至り、ガス吐出孔30cからベルジャー12内へ導入可能となっている。第2のAr供給源44から延びるガスライン49は配管56に通じており、第2のAr供給源44からのArガスはガスライン49および配管56を通ってシャワーヘッド30に至り、ガス吐出孔30aからベルジャー12内へ導入可能となっている。NH供給源45から延びるガスライン50は配管55に通じており、NH供給源45からのNHガスはガスライン50および配管55を通ってシャワーヘッド30に至り、ガス吐出孔30bからベルジャー12内へ導入可能となっている。なお、前記NHの代わりにモノメチルヒドラジン(MMH)を用いてもよい。
チャンバー11の底壁には、排気管61が接続されており、この排気管61には真空ポンプを含む排気装置62が接続されている。そして排気装置62を作動させることによりチャンバー11およびベルジャー12内を所定の真空度まで減圧することができる。
また、チャンバー11の側壁にはゲートバルブ63が設けられており、このゲートバルブ63を開にした状態でウエハWが隣接するロードロック室(図示せず)との間で搬送されるようになっている。
ベルジャー12の周囲にはアンテナ部材としてのコイル65が巻回されており、コイル65には高周波電源66が接続されている。高周波電源66は例えば13.56MHzの周波数を有している。そして、高周波電源66からコイル65に高周波電力を供給することにより、ベルジャー12内に誘導電磁界が形成されるようになっている。コイル65は、ジャケット84により覆われており、このジャケット84内に冷媒供給装置83からの冷媒を冷媒導入管81を介して導入し、排出管82から排出して循環することによりコイル65を冷却することが可能である。
このように構成される装置においては、ゲートバルブ63を開にして、チャンバー11内にウエハWを装入し、サセプタ17に設けられた静電チャック24上にウエハWを載置する。その後、ゲートバルブ63を閉じ、排気装置62によりチャンバー11およびベルジャー12内を排気して所定の減圧状態にし、引き続き、第2のAr供給源44からベルジャー12内にArガスを導入しつつ、高周波電源66からコイル65に高周波電力を供給してベルジャー12内に誘導電磁界を形成する。この高周波電界によりプラズマが生成されるとともに、電極26に直流電源27から直流電圧を印加することによりウエハWが静電チャック24に吸着される。
その後、NH供給源45およびTiCl供給源42から、それぞれNHガスおよびTiClガスを所定の流量でベルジャー12内へ導入し、これらをプラズマ化させてチャンバー11内に導き、このプラズマによりウエハW上にTiN薄膜が成膜される。この際のTiN薄膜の成膜は、発熱体21への出力および冷媒の流量を制御して300〜450℃程度の温度で行う。
成膜後、チャンバー11からウエハWが搬出され、ベルジャー12およびチャンバー11内にクリーニングガスであるClFガスが導入されてベルジャー12およびチャンバー11内がクリーニングされる。
以上の処理においては、ベルジャー12内に生成されるプラズマによりベルジャー12の内壁がアタックされ、クリーニング時には腐食性ガスであるClFガスに曝される。このような環境下では、従来の石英やAl製のベルジャーでは、耐腐食性が十分ではなく、寿命が短いという欠点があったが、本実施形態では、ベルジャー12の内壁を上記構成の耐食性の高い周期律表第3a族元素化合物を含む膜14で形成しているので、プラズマやクリーニングガスが接触しても腐食し難くベルジャーの寿命を長くすることができる。さらに、基材13の材料はセラミックスに限らず、硬質プラスチック(エンジニアリングプラスチック)であってもよい。また、周期律表第3a族元素化合物を含む膜14は通常絶縁膜であるから、基材13の材料としてアルミニウム等の金属もしくはステンレス鋼等の合金を用いることも可能である。また、周期律表第3a族元素化合物を含む膜14として溶射膜を用いる場合には、溶射膜は焼結品に比較して低コストであり、膜形成が短時間に行えるといった大きな利点がある。なお、上述のように、チャンバー11の内壁にも周期律表第3a族元素化合物を含む膜を形成することができ、チャンバー11の内壁にこのような膜を形成することによりチャンバー11の耐食性をも向上させることができる。
次に、このような膜の耐食性を確認した実験結果について説明する。
ここでは、平行平板型プラズマエッチング装置を用い、13.56MHzで1300Wの高周波電力を印加し、チャンバー内圧力:133.3Pa(1000mTorr)、ガス流量比CF:Ar:O=95:950:10(トータル流量1.055L/min(1055sccm))として20時間プラズマを照射した。
本発明例のサンプルとしては、20×20×2mmのアルミニウム基材にAlおよびYからなる溶射膜、ならびに、Y、Sc、ScF、YF、La、CeO、EuおよびDyの溶射膜を、それぞれ200μmの厚さで形成し、表面を研磨したものを用いた。AlおよびYからなる溶射膜としては、具体的には重量比でAl/Y=0.5として溶射したもの、および純度99.9%のYAG(YAl12;重量比でAl/Y=0.75)を溶射したものを用いた。図2の(a)に示すように、このようなサンプルの中央部10mm角を残して外周部をポリイミドフィルムマスキングしてプラズマを照射した。そして、耐プラズマ性をプラズマによる削れ量で評価した。削れ量は、表面粗さ計を用いて、図2の(b)に示すように深さで評価した。比較のため、他の材料のサンプルも同様に耐食性を評価した。その結果を表1に示す。表1の削れ量は、アルミナの削れ量を1として規格化して示す。
Figure 0004689563
この表に示すように、周期律表第3a族元素化合物を含む膜は、他の材料よりもプラズマに対する耐食性が著しく高いことが確認された。また、このような膜のうち、AlおよびYからなる溶射膜は、YAG組成とすることにより特に高い耐食性を示した。
次に、Al/Yの重量比をそれぞれ0.43、0.66、1.50とし、これら混合粉をアルミニウム基材に溶射して溶射膜を形成した。これらのX線回折パターンを図3、4、5に示す。これらの図に示すように、いずれの溶射膜もAlおよびYの結晶に対応する回折ピークが主体であったが、YAlOやYAlといった複合酸化物の回折ピークも確認された。これら複合酸化物の生成率は、図6に示すように、Al/Yの重量比が増加するに従って増加することがわかる。
これらサンプルについて、前述と同様にしてプラズマによる耐食性試験を実施した。削れ量の評価は、上記10mm角の部分のうちのエッジ部分を除く中央部分の深さを計測することにより行った。その結果を図7に示す。この図から、Al/Yの重量比が0.5以上で耐食性が良好になることがわかる。上述したようにAl/Yの重量比が増加するに従って、複合酸化物の生成率が増加していることから、複合酸化物が耐食性に寄与している可能性がある。
一方、最初の試験において耐食性を評価したYAGの溶射膜は、X線回折パターンが図8に示すようにほぼ非晶質であった。このことから、YAG組成は非晶質でも高い耐食性が得られることがわかる。
次に、周期律表第3a族元素化合物を含む膜の厚さと、耐絶縁性および耐電圧性との関係について説明する。アルミニウムからなる基材に膜厚50〜350μmのYAG組成の溶射膜を形成し、直流電圧を印加してそれぞれの膜厚における絶縁破壊電圧の大きさを測定した。結果を図9に示す。図9より、膜厚50μm以上とすることにより、十分に高い絶縁破壊電圧を得られることが確認された。
次に、本発明の第2の実施形態について説明する。図10は本発明の第2の実施形態に係るCVD成膜装置を示す断面図である。本実施形態ではベルジャーおよびシャワーヘッドの構造が第1の実施形態と異なっているが、他は実質的に同じであるから、図10中図1と同じものには同じ符号を付して説明を省略する。
この装置では、チャンバー11の上方に設けられたベルジャー12’は、Al、SiO、AlN等のセラミックス材料からなっている。また、ベルジャー12’の上部に設けられ、アルミニウム、アルマイトニッケル合金等の金属材料、または、セラミックス材料で構成されたシャワーヘッド70には、下方へガスを吐出するためのガス吐出孔70a,70b,70cが形成されている。そして、シャワーヘッド70にはガス供給機構40の配管が接続されており、後述するようにガス吐出孔70aにはArガスを供給する配管56が接続されており、ガス吐出孔70bにはNHガスを供給する配管55が接続されており、ガス吐出孔70cにはArガスにキャリアされたTiClガスまたはクリーニングガスであるClFを供給する配管57が接続されている。ガス吐出孔70bおよび70cには、それぞれチャンバー11の上部まで延びるガス吐出部材71および72が接続されており、ガス吐出部材71の内部にはガス吐出孔71aが、ガス吐出部材72の内部にはガス吐出孔72aが形成されている。
このように構成されるCVD成膜装置においては、第1の実施形態と同様にウエハWをチャンバー11内に搬入した後、Arガスをプラズマ生成ガスとして用いてシャワーヘッド70のガス吐出孔70aを介してベルジャー12’内に導入し、コイル65に高周波電源66から高周波電力を供給することによりベルジャー12’内に形成された誘導電磁界によりArガスのプラズマが発生する。一方、成膜ガスであるTiClガスおよびNHガスは、それぞれガス吐出部材71および72を介して直接にチャンバー11に導入され、ベルジャー12’からチャンバー11に拡散したArガスのプラズマにより励起されてチャンバー11内でプラズマ化する。これによりウエハWの表面で反応が生じてウエハW上にTiN薄膜が成膜される。
この実施形態においても、成膜後、チャンバー11からウエハWが搬出され、ベルジャー12’およびチャンバー11内にクリーニングガスであるClFガスが導入されてベルジャー12’およびチャンバー11内がクリーニングされる。
ベルジャーの上方から成膜ガスを導入するタイプのCVD成膜装置は、ベルジャー内壁に付着物が付着しやすく、TiN膜を成膜する場合には導電性膜が付着してプラズマが減衰し、成膜が困難になる場合が生じるが、本実施形態においては、ベルジャー12’にはプラズマ生成用のArガスのみ供給し、成膜ガスであるTiClガスおよびNHガスはガス吐出部材71および72を介してチャンバー11内に直接供給されるので、成膜ガスはベルジャー12’の内壁にほとんど到達せず、ベルジャー12’の内壁には成膜ガスに起因する付着物がほとんど付着しない。したがって、従来のように成膜ガスによってベルジャー内壁に導電性膜が付着してプラズマが減衰し、成膜が困難になるといった不都合が生じない。
次に本発明の第3の実施形態について説明する。図11は本発明の第3の実施形態に係るCVD成膜装置を示す断面図である。本実施形態の装置は第1の実施形態と同様、例えばセラミックス材料からなる基材13と、周期律表第3a族元素化合物を含む膜14とからなるベルジャー12を有しており、シャワーヘッド70の外周面も周期律表第3a族元素化合物を含む膜14で構成されているが、他は第2の実施形態と略同様に構成されている。
したがって、第3の実施形態によれば、ベルジャー12の内壁を周期律表第3a族元素化合物を含む膜14で構成しているので、プラズマやクリーニングガスが接触しても腐食し難くベルジャーの寿命を長くすることができるとともに、ベルジャー12内壁には成膜ガスに起因する付着物がほとんど付着しないので、従来のように成膜ガスによってベルジャー内壁に導電性膜が付着してプラズマが減衰し、成膜が困難になるといった不都合が生じない。また、シャワーヘッド70の側壁も周期律表第3a族元素化合物を含む膜14で構成しているのでシャワーヘッド70の耐食性も高められている。
なお、第2および第3の実施形態においても、チャンバー11の内壁に上記の膜を形成することができ、チャンバー11の内壁にこのような膜を形成することによりチャンバー11の耐食性をも向上させることができる。
また、上記第2および第3の実施形態において、成膜ガスをチャンバーに導入するために複数のガス吐出部材を用いたが、これに限らず、図12のように1本のガス導入部材91によりベルジャーの上部からチャンバーの上部へ成膜ガスを導き、その下端に連続するらせん状のガス吐出部92の下面に形成された多数のガス吐出孔から成膜ガスを供給するようにしてもよいし、図13に示すように、1本のガス導入部材93によりベルジャーの上部からチャンバーの上部へ成膜ガスを導き、その下端から分岐した複数のガス吐出部94の下面に形成された多数のガス吐出孔から成膜ガスを供給するようにしてもよい。また、2種類の成膜ガスを別個に導入するようにしたが、一緒に導入するようにしてもよい。
次に本発明の第4の実施形態について説明する。図14は本発明の第4の実施形態に係るCVD成膜装置を示す断面図であり、図15は本実施形態におけるシャワーヘッドの斜視図、図16(a)、(b)および(c)はシャワーヘッドの断面図である。本実施形態の装置では、シャワーヘッドの構造およびその位置が第1の実施例と異なっているが、他は実質的に同じであるから、図14中図1と同じものには同じ符号を付して説明を省略する。
図14に示すように、このCVD成膜装置においては、ベルジャー12’’およびチャンバー11内にガス供給するシャワーヘッド100が、ベルジャー12’’とチャンバー11との間に配置されている。また、ベルジャー12’’の上部には、内壁が周期律表第3a族元素化合物を含む膜14で構成された蓋体85が設けられている。なお、膜14が絶縁性であれば蓋体85は導体で構成してもよい。
図15および図16(a)、(b)および(c)に示すように、シャワーヘッド100は、円環状の形状を有しており、いずれもアルミニウム、アルマイトニッケル合金等の金属材料、または、セラミックス材料で構成された、上側部材100bと、中央部材100aと、下側部材100cとが重なり合った三層構造となっている。中央部材100aの外周側には、ガス供給機構40の配管56が接続され、Arガスが導入されるガス導入孔101aと、ガス供給機構40の配管55が接続され、NHガスが導入されるガス導入孔101bと、ガス供給機構40の配管57が接続され、TiClガスまたはClFガスが導入されるガス導入孔101cとが設けられている。また、中央部材100aの内周側の全周にわたって、Arガスを吐出するガス吐出孔105aと、NHガスを吐出するガス吐出孔105bと、TiClガスまたはClFガスを吐出するガス吐出孔105cとのそれぞれ複数が、所定のパターンを繰り返すように設けられている。
ガス導入孔101aは、中央部材100aに設けられた環状の流路102aを介してガス吐出孔105aと連通しており、ガス導入孔101bは、上側部材100bに設けられた環状の流路102bを介してガス吐出孔105bと連通しており、ガス導入孔101cは、下側部材100cに設けられた環状の流路102cを介してガス吐出孔105cと連通している。このようにシャワーヘッド100はマトリックスタイプであり、Arガス、TiClガスおよびNHガスが異なる吐出孔から吐出され、成膜ガスであるTiClガスおよびNHガスが吐出後に混合されるポストミックス方式が採用される。また、このシャワーヘッド100においては、1つおきに配置されたガス吐出孔105aの間に交互にガス吐出孔105bまたはガス吐出孔105cが配置されているので、ベルジャー12’’およびチャンバー11内に均一にガス吐出することができる。
このように、第4の実施形態によれば、ベルジャー12’’とチャンバー11との間にシャワーヘッド100を設けたので、ベルジャーの上方から成膜ガスを導入する場合に問題となるベルジャー12’’内壁への付着物の付着はほとんど生じない。かつ、ベルジャー12’’の上部に内壁を周期律表第3a族元素化合物を含む膜14で構成した蓋体85を設けたので、ベルジャー12’’の内壁全体を周期律表第3a族元素化合物を含む膜14で構成することができ、これによりベルジャー12’’の内壁全体を腐食し難くしてベルジャーの寿命を極めて長くすることができる。
なお、本実施形態におけるシャワーヘッド100において、ガス吐出孔105a、ガス吐出孔105bおよびガス吐出孔105cを配列するパターンは図15に示したものに限られるものではなく、均一にガス吐出することができることを条件に、変更することが可能である。また、ガス吐出孔105a、ガス吐出孔105bおよびガス吐出孔105cを一列に配列することは必須ではなく、例えば、図17に示すシャワーヘッド100’のように、中央部材100a’にArガスを吐出するガス吐出孔105aを設け、上側部材100b’にNHガスを吐出するガス吐出孔105bを設け、下側部材100c’にTiClガスまたはClFガスを吐出するガス吐出孔105cを設けた三段の配列としてもかまわない。この場合にも、ガス吐出孔105a、ガス吐出孔105bおよびガス吐出孔105cを配列するパターンは変更してもよい。さらに、シャワーヘッド100の表面に、周期律表第3a族元素化合物を含む膜を形成してもよい。
次に、本発明の第5の実施形態について説明する。図18は本発明の第5の実施形態に係るCVD成膜装置を示す断面図である。本実施形態の装置では、ベルジャーの構造等の一部が第4の実施例と異なっているが、他は実質的に同じであるから、図18中図14と同じものには同じ符号を付して説明を省略する。
図18に示すように、このCVD成膜装置においては、例えばセラミックス材料からなる基材121と、周期律表第3a族元素化合物を含む膜122とからなるベルジャー120が半球状に形成されており、この半球状のベルジャー120の外周にアンテナ部材としてのコイル123が巻回され、コイル123には高周波電源124が接続されている。また、サセプタ17には交流電源29が接続されており、サセプタ17に所定の電力を印加しながらプラズマ処理を行うことができるように構成されている。ただし、交流電源29は必須の構成ではなく、省略することが可能である。チャンバー11の底壁には排気管61が設けられている。
本実施形態によれば、ベルジャー120の壁面を表面積/体積の比が小さい球面とすることにより、腐食されたり付着物が付着するベルジャー12内壁の面積を最小限とすることができ、これに加えてベルジャー120内壁の全面を周期律表第3a族元素化合物を含む膜122で構成することとにより、ベルジャー120の腐食を一層効果的に防止し、ベルジャー120の寿命を極めて長くすることができる。
なお、本実施形態におけるベルジャーの形状は図18に示した半球状のものに限られるものではなく、例えば図19に示すCVD成膜装置におけるベルジャー120’のように、円筒状の下部120’aの上方に球面部120’bが接続されたドーム状に構成してもよい。この場合に、球面部120’bの形状は半球に限られず、必要に応じて、球の部分的な形状とすることができる。
次に、本発明の第6の実施形態について説明する。図20は本発明の第6の実施形態に係るCVD成膜装置を示す断面図である。本実施形態の装置では、ベルジャーおよびアンテナの構造が異なる点で第5の実施例と異なるが他は実質的に同じであるから、図20中図18と同じものには同じ符号を付して説明を省略する。
図20に示すように、このCVD成膜装置におけるベルジャー130は、断面コの字型の有蓋円筒状の形状を有し、ベルジャー130の側壁および天壁は基材132とその内壁を構成する周期律表第3a族元素化合物を含む膜131から構成されている。このベルジャー130の外周にはアンテナ部材としてのコイル133が巻回されており、コイル133には高周波電源134が接続されている。また、ベルジャー130の天壁上方には同じくアンテナ部材としての渦巻き状コイル135が配置されており、渦巻き状コイル135には高周波電源136が接続されている。
このCVD成膜装置においては、ベルジャー130のシャワーヘッド100上部からの高さHは0.65〜10cmとすることが好ましい。また、ベルジャー130のウエハW上面からの高さhは3.8〜30cmとすることが好ましい。より好ましいHの範囲は0.65〜5cm、hの範囲は3.8〜20cmである。
上記のように構成されたCVD成膜装置においては、コイル133および渦巻き状コイル135に高周波電力を供給することによりベルジャー130内に誘導電磁界を形成し、この高周波電界によりプラズマを生成し、上記の実施形態と同様にしてCVD成膜を行うことができる。このように、第1から第5の実施形態とは誘導電界を形成する手法の異なる本実施形態のCVD成膜装置においても、ベルジャー130の内壁に周期律表第3a族元素化合物を含む膜131を形成することにより、プラズマやクリーニングガスが接触してもベルジャー130を腐食し難くすることができ、ベルジャー130の寿命を長くすることができる。
なお、以上の実施形態ではCVD成膜装置としてTiN薄膜形成用のものについて示したが、これに限らず他の膜を形成するものであってもよい。特に、Ti含有材料またはSi含有材料を成膜する際には塩素含有ガスを原料ガスとして用いるので、本発明はこれらの材料を成膜する装置に対して有効である。このような材料としては、TiNの他、Ti、TiSiNや、近時、低誘電率の層間絶縁膜として用いられているSiOF等のlowk材料、さらにはCuのバリアやエッチングストップとして用いられているSiNが挙げられる。また、Ta含有材料を成膜するものであってもよい。Ta含有材料としてはバリア膜として用いられているTa、TaN、キャパシターの絶縁膜として用いられているTaが挙げられる。さらに、Taと同様にキャパシターの絶縁膜として用いられるBST、RuO、ZrOの成膜に適用することもできる。
次に本発明の第7の実施形態について説明する。図21は、本発明の第7の実施形態に係るCVD成膜装置を示す断面図である。
このCVD成膜装置150はWSi成膜用のものであり、アルミニウム等の金属からなるチャンバー(処理容器)152を有しており、このチャンバー152内には、ウエハW(被処理体)を載置するためのサセプタ153が設けられている。サセプタ153は円筒状の支持部材154により支持されている。ウエハWの外側上方を覆うようにシールドリング155が設けられている。このシールドリング155は、支持部材154に支持されており、サセプタ153の裏面等、下部側への成膜を防止、および後述するハロゲンランプ157の熱線が上方に照射されることを防止するとともに、クリーニング時にクリーニングガスの流路を確保する機能を有している。サセプタ153の真下の処理室底部には、石英等の熱線透過材料よりなる透過窓156が設けられ、その下方にはハロゲンランプ157を収容する加熱室158が設けられている。ハロゲンランプ157から放出された熱線は、透過窓156を透過してサセプタ153の下面を照射してこれを加熱し得るようになっている。チャンバー152の天井部には、処理ガスや洗浄ガス等を導入するためのシャワーヘッド159が設けられている。このシャワーヘッド159の下面には多数のガス吐出孔160が形成されており、その上面にはガス導入管161が接続されている。そして、このガス導入管161には、例えばそれぞれWFガスおよびSiHClガスを供給する処理ガス源162,163が接続されており、さらに、ClFガス等のハロゲン含有洗浄ガスを供給する洗浄ガス源164が接続されている。また、チャンバー152の底部近傍には排気口165が設けられており、図示しない真空ポンプによりこの排気口165を介してチャンバー152内が排気され、その中が、例えば0.7Torrの真空度に維持し得るようになっている。
このCVD成膜装置150において、チャンバー152の内壁を周期律表第3a族元素化合物を含む膜152aで構成することができる。このようにすることで、チャンバー152のClFガス等のハロゲン含有ガスに対する耐食性を高くすることができ、処理ガスによる成膜処理の後、ClFガスからなる洗浄ガスに切り換えて洗浄ガスをチャンバー152内に導入し、チャンバー152内をin−situクリーニングする際に、チャンバー152をエッチングされ難くすることができる。
また、サセプタ153、支持部材154、シールドリング155、シャワーヘッド159として、それぞれの基材上に周期律表第3a族元素化合物を含む膜153a、154a、155a、159aを形成した構成とすることができる。これにより、これらの部材のClFガス等のハロゲン含有ガスに対する耐食性を高くすることができ、クリーニングの際にこれらの部材をエッチングされ難くすることができる。
次に本発明の第8の実施形態について説明する。図22は、本発明の第8の実施形態に係るプラズマエッチング処理装置を示す断面図である。このプラズマエッチング処理装置200は、電極板が上下平行に対向し、一方にプラズマ形成用電源が接続された容量型平行平板エッチング装置として構成されている。
このプラズマエッチング処理装置200は、例えば表面がアルマイト処理(陽極酸化処理)されたアルミニウムからなり円筒状をなすチャンバー202を有しており、このチャンバー202内の底部には、ウエハWを載置するための略円柱状のサセプタ203が設けられている。サセプタ203内には図示しない冷媒体流路が設けられており、そこを通流する液体窒素等の冷媒により前記サセプタ203を介してウエハWが冷却される。このサセプタ203は下部電極として機能する。
前記サセプタ203は、その上中央部が凸状の円板状に成形され、その上にウハWと略同形の静電チャック204が設けられている。静電チャック204は、絶縁材の間に電極205が介在されており、電極205に直流電源206から例えば1.5kVの直流電圧が印加されることにより、例えばクーロン力によってウエハWを静電吸着する。前記サセプタ203の上端周縁部には、静電チャック204上に載置されたウエハWを囲むように、環状のフォーカスリング207が配置されている。このフォーカスリング207によりエッチングの均一性が向上される。サセプタ203は、昇降機構208により昇降可能となっており、サセプタ203の下方中央の駆動部分は大気雰囲気となっており、その部分はベローズ209で覆われ、真空部分と大気部分が分離されている。
前記サセプタ203上方には、このサセプタ203と平行に対向して上部電極210が設けられている。この上部電極210は、絶縁材215を介して、チャンバー202の上部に支持されており、サセプタ203との対向面を構成するとともに多数のガス吐出孔212を有する電極板211と、この電極板211を支持し例えば表面がアルマイト処理されたアルミニウムからなる電極支持体213とによって構成されている。電極板211の下面外周部にはシールドリング220が設けられている。前記電極支持体213にはガス導入口216が設けられ、このガス導入口216には、ガス導入管217が接続されている。そして、このガス導入管217には、エッチングガスとして例えばCFガス等のハロゲン含有ガスを導入するための処理ガス源218と、エッチングガスとしてOガス等の他のガスを導入するための処理ガス源219とが接続されており、これら処理ガスがガス導入管217、ガス導入口216を経て電極支持体213の内部に至り、電極板211のガス吐出孔212からチャンバー202内に吐出される。チャンバー202の底部近傍には排気口221が設けられており、図示しない真空ポンプによりこの排気口221を介してチャンバー202内が排気され、その中が所定の真空状態に維持し得るようになっている。またチャンバー202の内周面にはエッチングの際の副生成物がチャンバー202の内壁に付着することを防止するためのデポシールド222が着脱自在に設けられている。さらにチャンバー202の側壁にはゲートバルブ223が設けられており、このゲートバルブ223を開にした状態でウエハWの搬入出が行われる。
上部電極210には、整合器224を介してプラズマ形成用の第1の高周波電源225が接続されている。この第1の高周波電源225から上部電極210に例えば60MHzの高周波電力を印加することによりチャンバー202内にプラズマを形成する。下部電極としてのサセプタ203には、イオン引き込み用の第2の高周波電源227が接続されており、その給電線には整合器226が介在されている。この第2の高周波電源227からサセプタ203に例えば2MHzの高周波電力を印加することによりエッチングの際にウエハWにイオンを引き込む。
このプラズマエッチング装置200において、チャンバー202の内壁、すなわちデポシールド222の内壁を周期律表第3a族元素化合物を含む膜222aで構成することができる。また、チャンバー202内に配置された部材である、サセプタ203、フォーカスリング207、上部電極210の電極板211、シールドリング220として、それぞれの基材上に周期律表第3a族元素化合物を含む膜203a、207a、211a、220aを形成した構成とすることができる。
このようなプラズマエッチング装置200においては、ウエハWをチャンバー202内に搬入し、チャンバー202を所定の真空度に維持し、ウエハWを静電チャック204に吸着させた状態でエッチングのための処理ガスを導入しつつ、上部電極210に高周波電力を印加してチャンバー202内にプラズマを形成し、ウエハW上の所定の膜にエッチング処理を施すが、チャンバー202の内壁を周期律表第3a族元素化合物を含む膜222aで構成することにより処理ガスとして用いるハロゲン含有ガスに対するチャンバー202の耐食性を高めることができる。また、上記の部材をそれぞれの基材上に周期律表第3a族元素化合物を含む膜203a、207a、211a、220aを形成した構成とすることにより、これらの部材のハロゲン含有ガスに対する耐食性を高めることができる。
次に本発明の第9の実施形態について説明する。図23は、本発明の第9の実施形態に係るRTP装置を示す断面図である。このRTP装置は、ウエハWに不純物をドープした後のアニール処理等に適用される。図23において、RTP装置250はチャンバー251を有し、このチャンバー251は上部チャンバー251aおよび下部チャンバー251bに分離可能となっている。上部チャンバー251aおよび下部チャンバー251bの間には石英窓252が設けられている。チャンバー251の上方には発熱部253が着脱可能に設けられている。発熱部253は、水冷構造のジャケット254と、その下面に複数配列されたタングステンランプ255とを有している。チャンバー251の下方には半導体ウエハWを保持する水冷構造のプラテン256が着脱可能に設けられている。このプラテン256の上面にはウエハ支持ピン257が設けられており、ウエハWはこのウエハ支持ピン257に支持される。発熱部253のジャケット254と上部チャンバー251aとの間、上部チャンバー251aと石英窓252との間、石英窓252と下部チャンバー251bとの間、下部チャンバー251bとプラテン256との間にはシール部材Sが介在されており、チャンバー251は気密状態となる。チャンバー251内は図示しない排気装置により減圧可能となっている。
このようなRTP装置においては、チャンバー251内にウエハWをセットし、その中に気密な空間を形成し、排気装置により排気してその中を真空状態とする。次いで、発熱部253のタングステンランプ255をオンにすると、タングステンランプ255で発生した熱が石英窓252を通過してウエハWに至り、ウエハWが急速に加熱される。加熱が終了した後は、チャンバー251内を大気圧に戻し、発熱部253を退避させるとともに、プラテン256を下降させてウエハWを急速に冷却する。このようにして、所望の急速加熱処理が実現される。
ここで、下部チャンバー251bの内壁を周期律表第3a族元素化合物を含む膜251cで構成することができる。また、プラテン256として、基材上に周期律表第3a族元素化合物を含む膜256aを形成した構成とすることができる。周期律表第3a族元素化合物を含む膜は耐熱性も高いので、上記のような加熱処理に対しても高い耐性を示す。
なお、上記実施形態では基板として半導体ウエハを用いた場合について示したが、これに限らず液晶表示装置(LCD)のガラス基板であってもよい。
本発明の第1の実施形態に係るCVD成膜装置を示す断面図。 エッチングによる腐食試験のサンプルおよび評価基準を示す図。 Al/Y重量比=0.43の際の溶射膜のX線回折パターンを示す図。 Al/Y重量比=0.66の際の溶射膜のX線回折パターンを示す図。 Al/Y重量比=1.50の際の溶射膜のX線回折パターンを示す図。 溶射膜のAl/Y重量比を変化させた場合における複合酸化物の比率を示すグラフ。 溶射膜のAl/Y重量比とプラズマによる削れ量との関係を示す図。 YAGを用いて溶射を行った際の溶射膜のX線回折パターンを示す図。 膜厚と絶縁破壊電圧との関係を示すグラフ。 本発明の第2の実施形態に係るCVD成膜装置を示す断面図。 本発明の第3の実施形態に係るCVD成膜装置を示す断面図。 ガス吐出部材の他の例を示す斜視図。 ガス吐出部材のさらに他の例を示す斜視図。 本発明の第4の実施形態に係るCVD成膜装置を示す断面図。 図14に示したCVD成膜装置のシャワーヘッドを示す斜視図。 図15に示したシャワーヘッドの断面図。 シャワーヘッドの他の例を示す図。 本発明の第5の実施形態に係るCVD成膜装置を示す断面図。 図18に示したCVD成膜装置の変形例を示す断面図。 本発明の第6の実施形態に係るCVD成膜装置を示す断面図。 本発明の第7の実施形態に係る処理装置および耐食性部材が適用されるCVD成膜装置を示す断面図。 本発明の第8の実施形態に係る処理装置および耐食性部材が適用されるプラズマエッチング処理装置を示す断面図。 本発明の第9の実施形態に係る処理装置および耐食性部材が適用されるRTP装置を示す断面図。
符号の説明
11;チャンバー
12,12’,12’’,120,120’,130;ベルジャー
13;基材
14;周期律表第3a族元素化合物を含む膜
30,70,100,100’;シャワーヘッド
40;ガス供給機構
41;ClF供給源
42;TiCl供給源
43;第1のAr供給源
44;第2のAr供給源
45;NH供給源
71,72;ガス吐出部材
W;半導体ウエハ

Claims (4)

  1. 被処理基板を収容するチャンバーと、
    前記チャンバーの上方に前記チャンバーに連通するように設けられたベルジャーと、
    ベルジャー内に誘導電磁界を形成するためのアンテナ手段と、
    前記アンテナ手段に高周波電力を印加する高周波印加手段と、
    プラズマ生成ガスおよび処理ガスが供給され、これらガスを前記ベルジャーまたは前記チャンバーに導入するガス導入手段と
    を具備し、前記ベルジャー内に形成された誘導電磁界によりプラズマを形成してプラズマ処理を行うプラズマ処理装置であって、
    前記ガス導入手段は、前記ベルジャーの上部に設けられ、前記ベルジャー内にプラズマ生成ガスを吐出する複数の第1の吐出孔を有するシャワーヘッドと、前記シャワーヘッドから前記チャンバーの上部まで延びて配置され、前記被処理基板上に処理ガスを吐出する第2のガス吐出孔を有するガス吐出部材とを備えることを特徴とするプラズマ処理装置。
  2. 前記チャンバーは、その内壁が周期律表第3a族元素化合物を含む膜からなることを特徴とする請求項1に記載のプラズマ処理装置。
  3. 前記ガス吐出部材の下端部に、螺旋状をなし多数の前記第2のガス吐出孔が形成されたガス吐出部が設けられていることを特徴とする請求項1または請求項2に記載のプラズマ処理装置。
  4. 前記ガス吐出部材は、その下端部が複数のガス吐出部に分岐していることを特徴とする請求項1または請求項2に記載のプラズマ処理装置。
JP2006245777A 1999-12-10 2006-09-11 プラズマ処理装置 Expired - Fee Related JP4689563B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006245777A JP4689563B2 (ja) 1999-12-10 2006-09-11 プラズマ処理装置

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP35201899 1999-12-10
JP1999352018 1999-12-10
JP2006245777A JP4689563B2 (ja) 1999-12-10 2006-09-11 プラズマ処理装置

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2000373973A Division JP2001226773A (ja) 1999-12-10 2000-12-08 処理装置およびそれに用いられる耐食性部材

Publications (2)

Publication Number Publication Date
JP2006336114A JP2006336114A (ja) 2006-12-14
JP4689563B2 true JP4689563B2 (ja) 2011-05-25

Family

ID=18421226

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2000373973A Pending JP2001226773A (ja) 1999-12-10 2000-12-08 処理装置およびそれに用いられる耐食性部材
JP2006245777A Expired - Fee Related JP4689563B2 (ja) 1999-12-10 2006-09-11 プラズマ処理装置
JP2007133877A Expired - Fee Related JP5100202B2 (ja) 1999-12-10 2007-05-21 処理装置
JP2011158335A Pending JP2012018928A (ja) 1999-12-10 2011-07-19 処理装置、耐食性部材および耐食性部材の製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2000373973A Pending JP2001226773A (ja) 1999-12-10 2000-12-08 処理装置およびそれに用いられる耐食性部材

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2007133877A Expired - Fee Related JP5100202B2 (ja) 1999-12-10 2007-05-21 処理装置
JP2011158335A Pending JP2012018928A (ja) 1999-12-10 2011-07-19 処理装置、耐食性部材および耐食性部材の製造方法

Country Status (4)

Country Link
US (4) US20010003271A1 (ja)
JP (4) JP2001226773A (ja)
KR (15) KR20010062209A (ja)
TW (1) TW514996B (ja)

Families Citing this family (531)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6824825B2 (en) * 1999-09-13 2004-11-30 Tokyo Electron Limited Method for depositing metallic nitride series thin film
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
EP1361604B1 (en) * 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
US6568896B2 (en) * 2001-03-21 2003-05-27 Applied Materials, Inc. Transfer chamber with side wall port
JP2002356387A (ja) * 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
KR100433285B1 (ko) * 2001-07-18 2004-05-31 주성엔지니어링(주) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자제조장치
JP4277973B2 (ja) * 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
JP4663927B2 (ja) * 2001-08-29 2011-04-06 信越化学工業株式会社 希土類含有酸化物部材
JP4838464B2 (ja) * 2001-09-26 2011-12-14 東京エレクトロン株式会社 処理方法
TWI262905B (en) 2001-11-13 2006-10-01 Tosoh Corp Quartz glass parts, ceramic parts and process of producing those
JP3964198B2 (ja) * 2001-12-21 2007-08-22 東京エレクトロン株式会社 プラズマ処理装置及びプロセス処理システム
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6884514B2 (en) 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
JP2003224077A (ja) * 2002-01-30 2003-08-08 Tokyo Electron Ltd プラズマ処理装置、電極部材、バッフル板の製造方法、処理装置、および、表面処理方法
MXPA04007358A (es) * 2002-01-30 2005-06-08 Thyssen Elevator Capital Corp Cuerda de fibra sintetica para elevador.
JP4074461B2 (ja) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
KR100913116B1 (ko) 2002-04-04 2009-08-19 토소가부시키가이샤 석영유리 용사부품 및 그 제조방법
US7086347B2 (en) * 2002-05-06 2006-08-08 Lam Research Corporation Apparatus and methods for minimizing arcing in a plasma processing chamber
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US20040129674A1 (en) * 2002-08-27 2004-07-08 Tokyo Electron Limited Method and system to enhance the removal of high-k dielectric materials
JP4083512B2 (ja) * 2002-08-30 2008-04-30 東京エレクトロン株式会社 基板処理装置
JP4260450B2 (ja) * 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
KR100772740B1 (ko) * 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
JP4503270B2 (ja) * 2002-11-28 2010-07-14 東京エレクトロン株式会社 プラズマ処理容器内部材
JP3829935B2 (ja) 2002-12-27 2006-10-04 信越化学工業株式会社 高耐電圧性部材
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
WO2004095532A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
KR100739890B1 (ko) * 2003-05-02 2007-07-13 동경 엘렉트론 주식회사 처리가스도입기구 및 플라즈마 처리장치
US7297247B2 (en) * 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
JP3868925B2 (ja) * 2003-05-29 2007-01-17 株式会社日立製作所 プラズマ処理装置
EP1637624B1 (en) * 2003-06-02 2012-05-30 Shincron Co., Ltd. Thin film forming apparatus
KR101030433B1 (ko) * 2003-06-12 2011-04-20 주성엔지니어링(주) 챔버실드를 포함하는 화학기상증착 장치 및 챔버실드의 제조방법
KR100973666B1 (ko) * 2003-06-17 2010-08-03 주성엔지니어링(주) 원자층증착장치의 가스밸브 어셈블리
KR20050004995A (ko) * 2003-07-01 2005-01-13 삼성전자주식회사 플라즈마를 이용하는 기판 가공 장치
US7329467B2 (en) * 2003-08-22 2008-02-12 Saint-Gobain Ceramics & Plastics, Inc. Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same
JP2005072446A (ja) * 2003-08-27 2005-03-17 Chi Mei Electronics Corp プラズマ処理装置及び基板の表面処理装置
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
KR100568256B1 (ko) * 2003-12-11 2006-04-07 삼성전자주식회사 반도체 소자 제조 장비의 세정 방법
JP4532897B2 (ja) * 2003-12-26 2010-08-25 財団法人国際科学振興財団 プラズマ処理装置、プラズマ処理方法及び製品の製造方法
JP4606121B2 (ja) * 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
US20050227382A1 (en) * 2004-04-02 2005-10-13 Hui Angela T In-situ surface treatment for memory cell formation
JP4451221B2 (ja) * 2004-06-04 2010-04-14 東京エレクトロン株式会社 ガス処理装置および成膜装置
US7119032B2 (en) * 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
WO2006023894A2 (en) * 2004-08-24 2006-03-02 Saint-Gobain Ceramics & Plastics, Inc. Semiconductor processing components and semiconductor processing utilizing same
KR101069195B1 (ko) * 2004-09-23 2011-09-30 엘지디스플레이 주식회사 평판표시장치의 제조를 위한 대기개방형 박막처리장치 및이를 이용한 박막처리방법
KR101226120B1 (ko) * 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
JP2006144094A (ja) * 2004-11-22 2006-06-08 Fujimi Inc 溶射用粉末及びその製造方法
JP4560387B2 (ja) * 2004-11-30 2010-10-13 株式会社フジミインコーポレーテッド 溶射用粉末、溶射方法及び溶射皮膜
JP4912598B2 (ja) * 2005-02-15 2012-04-11 株式会社フジミインコーポレーテッド 溶射用粉末
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060213437A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
CN101010448B (zh) * 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
JP2007088411A (ja) * 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
KR100727672B1 (ko) * 2005-07-14 2007-06-13 신에쯔 세끼에이 가부시키가이샤 플라즈마 에칭 장치용 부재 및 그 제조 방법
JP5308664B2 (ja) * 2005-09-01 2013-10-09 パナソニック株式会社 プラズマ処理装置
JP5089874B2 (ja) * 2005-09-12 2012-12-05 トーカロ株式会社 プラズマ処理装置用部材およびその製造方法
JP4522984B2 (ja) * 2005-11-02 2010-08-11 パナソニック株式会社 プラズマ処理装置
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US20070202701A1 (en) * 2006-02-27 2007-08-30 Tokyo Electron Limited Plasma etching apparatus and method
US20070215278A1 (en) * 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
KR100939256B1 (ko) * 2006-06-21 2010-01-29 한국과학기술연구원 반도체 제조 장비용 열용사 코팅물질의 제조방법
WO2007148931A1 (en) * 2006-06-21 2007-12-27 Korea Institute Of Science And Technology Ceramic coating material for thermal spray on the parts of semiconductor processing devices and fabrication method and coating method thereof
WO2008010632A1 (en) * 2006-07-20 2008-01-24 University-Industry Collaboration Foundation Chungnam National University Electrostatic chuck with high-resistivity ceramic coating materials
KR100877381B1 (ko) * 2006-07-20 2009-01-09 충남대학교산학협력단 고저항 세라믹 열용사 코팅 소재 및 이를 포함하는정전척의 제조방법
JP5134223B2 (ja) * 2006-09-06 2013-01-30 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP2008103403A (ja) * 2006-10-17 2008-05-01 Tokyo Electron Ltd 基板載置台及びプラズマ処理装置
JP5159204B2 (ja) 2006-10-31 2013-03-06 株式会社フジミインコーポレーテッド 溶射用粉末、溶射皮膜の形成方法、耐プラズマ性部材、及びプラズマ処理チャンバー
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20080236491A1 (en) * 2007-03-30 2008-10-02 Tokyo Electron Limited Multiflow integrated icp source
US7718559B2 (en) * 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
TWI351057B (en) 2007-04-27 2011-10-21 Applied Materials Inc Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US7976674B2 (en) * 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
KR101408375B1 (ko) * 2007-08-29 2014-06-18 최대규 유도 결합 플라즈마 소스가 내장된 히터 및 이를 구비한플라즈마 처리 챔버
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
KR100887582B1 (ko) * 2007-09-27 2009-03-09 세메스 주식회사 웨이퍼 가공 장치 및 이를 이용한 웨이퍼 가공 방법
WO2009057838A1 (en) * 2007-11-01 2009-05-07 Eugene Technology Co., Ltd Apparatus for surface-treating wafer using high-frequency inductively-coupled plasma
US20090151870A1 (en) * 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
SG154371A1 (en) * 2008-01-14 2009-08-28 Komico Ltd Powder for a spray-coating process and method of forming the powder, spray-coating layer using the powder and method of forming the same
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
CN101971292B (zh) * 2008-04-08 2012-07-18 株式会社岛津制作所 等离子体cvd用阴电极和等离子体cvd装置
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
KR101004822B1 (ko) * 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR20100006009A (ko) * 2008-07-08 2010-01-18 주성엔지니어링(주) 반도체 제조 장치
JP5439771B2 (ja) * 2008-09-05 2014-03-12 東京エレクトロン株式会社 成膜装置
KR101497897B1 (ko) * 2008-11-27 2015-03-05 삼성전자 주식회사 반도체 제조장치
JP5479867B2 (ja) * 2009-01-14 2014-04-23 東京エレクトロン株式会社 誘導結合プラズマ処理装置
JP2010174325A (ja) * 2009-01-29 2010-08-12 Kyocera Corp 放電用電極体、放電用電極アセンブリおよび放電処理装置
JP5214513B2 (ja) * 2009-02-02 2013-06-19 東京エレクトロン株式会社 プラズマ処理装置及び温度測定方法並びに温度測定装置
KR101514098B1 (ko) 2009-02-02 2015-04-21 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치와 온도 측정 방법 및 장치
JP4955027B2 (ja) * 2009-04-02 2012-06-20 クリーン・テクノロジー株式会社 排ガス処理装置における磁場によるプラズマの制御方法
KR101065351B1 (ko) * 2009-04-10 2011-09-16 세메스 주식회사 기판 이송 장치
KR101035547B1 (ko) * 2009-05-21 2011-05-23 삼정보일러공업(주) 산업 폐기물을 연소할 때 발생하는 폐열을 이용한 열 교환 방법 및 그 장치
JP5323628B2 (ja) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5039120B2 (ja) * 2009-12-07 2012-10-03 東京エレクトロン株式会社 プラズマ処理装置用のアルミナ部材及びプラズマ処理装置用のアルミナ部材の製造方法
KR101074810B1 (ko) * 2009-12-23 2011-10-19 삼성모바일디스플레이주식회사 캐리어 가스 공급 구조가 개선된 증착 장치 및 그것을 이용한 유기 발광 디스플레이 장치 제조방법
WO2011078299A1 (ja) * 2009-12-24 2011-06-30 日産化学工業株式会社 異種元素結合形成法
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
JP5740203B2 (ja) * 2010-05-26 2015-06-24 東京エレクトロン株式会社 プラズマ処理装置及びその処理ガス供給構造
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120196139A1 (en) * 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) * 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9336996B2 (en) * 2011-02-24 2016-05-10 Lam Research Corporation Plasma processing systems including side coils and methods related to the plasma processing systems
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
JP6034156B2 (ja) * 2011-12-05 2016-11-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20140108307A (ko) 2011-12-28 2014-09-05 가부시키가이샤 후지미인코퍼레이티드 산화이트륨 피막
KR101371435B1 (ko) * 2012-01-04 2014-03-12 주식회사 유진테크 처리유닛을 포함하는 기판 처리 장치
TW201347035A (zh) * 2012-02-02 2013-11-16 Greene Tweed Of Delaware 用於具有延長生命週期的電漿反應器的氣體分散板
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
SG11201405405SA (en) * 2012-03-09 2014-11-27 Youtec Co Ltd Cvd apparatus
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9279185B2 (en) * 2012-06-14 2016-03-08 Asm Technology Singapore Pte Ltd Feed-through apparatus for a chemical vapour deposition device
KR101383291B1 (ko) * 2012-06-20 2014-04-10 주식회사 유진테크 기판 처리 장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP6257071B2 (ja) * 2012-09-12 2018-01-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014082354A (ja) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
JP6017936B2 (ja) * 2012-11-27 2016-11-02 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
WO2014103728A1 (ja) * 2012-12-27 2014-07-03 昭和電工株式会社 成膜装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
CN105164309B (zh) * 2013-05-01 2019-04-12 应用材料公司 用于控制外延沉积腔室流量的注入及排放设计
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
JP6170340B2 (ja) * 2013-05-21 2017-07-26 東京エレクトロン株式会社 ガス供給ヘッド、ガス供給機構及び基板処理装置
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
JP6199619B2 (ja) 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9711334B2 (en) * 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6317921B2 (ja) * 2013-12-20 2018-04-25 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6045485B2 (ja) * 2013-12-20 2016-12-14 東京エレクトロン株式会社 基板処理装置
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10196728B2 (en) * 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP5888458B2 (ja) 2014-06-26 2016-03-22 Toto株式会社 耐プラズマ性部材及びその製造方法
US9431221B2 (en) * 2014-07-08 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-processing apparatus with upper electrode plate and method for performing plasma treatment process
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
WO2016025573A1 (en) * 2014-08-15 2016-02-18 Applied Materials, Inc. Method and apparatus of processing wafers with compressive or tensile stress at elevated temperatures in a plasma enhanced chemical vapor deposition system
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
WO2016088671A1 (ja) 2014-12-02 2016-06-09 昭和電工株式会社 ウェハ支持台、化学気相成長装置、エピタキシャルウェハおよびその製造方法
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
JP6156850B2 (ja) * 2014-12-25 2017-07-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の部材の交換判断方法
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
CN109023303A (zh) 2015-02-13 2018-12-18 恩特格里斯公司 衬底部分上的复合原子层沉积ald涂层及在衬底部分上形成经图案化ald涂层的方法
JP5981013B1 (ja) * 2015-02-24 2016-08-31 株式会社リケン 内燃機関用ピストンリング
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160362813A1 (en) * 2015-06-12 2016-12-15 Applied Materials, Inc. Injector for semiconductor epitaxy growth
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN106337202B (zh) * 2015-07-17 2018-11-06 中国科学院苏州纳米技术与纳米仿生研究所 一种用于高温晶体生长的气体花洒装置
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10208380B2 (en) * 2015-12-04 2019-02-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
CN108431934A (zh) * 2015-12-22 2018-08-21 应用材料公司 半导体处理设备的耐腐蚀性涂层
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106935470B (zh) * 2015-12-31 2019-03-08 中微半导体设备(上海)有限公司 一种带有温度测量装置的等离子处理器
US10727089B2 (en) * 2016-02-12 2020-07-28 Lam Research Corporation Systems and methods for selectively etching film
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP2017157778A (ja) * 2016-03-04 2017-09-07 東京エレクトロン株式会社 基板処理装置
TWI689619B (zh) * 2016-04-01 2020-04-01 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10170313B2 (en) 2016-05-02 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for a tunable electromagnetic field apparatus to improve doping uniformity
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6700156B2 (ja) * 2016-11-16 2020-05-27 株式会社ニューフレアテクノロジー 成膜装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
JP6899217B2 (ja) * 2016-12-28 2021-07-07 株式会社Screenホールディングス 基板処理装置、基板処理方法および基板処理システム
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN106539491B (zh) * 2017-01-23 2018-09-07 珠海格力电器股份有限公司 锅盖组件及压力锅
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11469079B2 (en) * 2017-03-14 2022-10-11 Lam Research Corporation Ultrahigh selective nitride etch to form FinFET devices
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10563303B2 (en) 2017-05-10 2020-02-18 Applied Materials, Inc. Metal oxy-flouride films based on oxidation of metal flourides
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US20180358204A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Strip Tool With Multiple Gas Injection Zones
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
CN111066121B (zh) * 2017-09-11 2024-03-19 应用材料公司 使用反应性气体前驱物从处理腔室选择性原位清洁高介电常数膜
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
WO2019113478A1 (en) 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
JP2019116676A (ja) * 2017-12-27 2019-07-18 テクノクオーツ株式会社 成膜装置の構成部材の耐食構造およびその構成部材の製造方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11087961B2 (en) * 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR102253808B1 (ko) * 2019-01-18 2021-05-20 주식회사 유진테크 기판 처리 장치
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
CN113396240A (zh) * 2019-03-11 2021-09-14 应用材料公司 用于基板处理腔室的盖组件设备及方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP7331762B2 (ja) * 2019-04-12 2023-08-23 信越化学工業株式会社 溶射材料、その製造方法、及び溶射皮膜の形成方法
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7340170B2 (ja) * 2019-06-25 2023-09-07 東京エレクトロン株式会社 ガス導入構造、熱処理装置及びガス供給方法
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102227909B1 (ko) 2019-07-16 2021-03-16 주식회사 유진테크 배치식 기판처리장치 및 그 운용방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR102077974B1 (ko) * 2019-08-29 2020-02-14 주식회사 기가레인 플라즈마 처리 수직도가 향상된 포커스링을 포함하는 플라즈마 처리 장치
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
KR102077975B1 (ko) * 2019-10-15 2020-02-14 주식회사 기가레인 플라즈마 처리 수직도가 향상된 플라즈마 처리 장치
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
CN112713073B (zh) 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种耐腐蚀气体输送部件及其等离子体处理装置
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
KR102161704B1 (ko) * 2020-01-21 2020-10-06 한국과학기술연구원 부품 불화 장치 및 방법
CN115298796A (zh) * 2020-01-23 2022-11-04 朗姆研究公司 用于半导体反应室的保护涂层
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
CN115244209B (zh) * 2020-03-06 2024-05-24 东华隆株式会社 新型的钨系喷镀覆膜和用于获得其的喷镀用材料
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220208527A1 (en) * 2020-12-28 2022-06-30 Mattson Technology, Inc. Cooled Shield for ICP Source
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source
US11939664B2 (en) * 2021-08-30 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing semiconductor processes with coated bell jar
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023239542A1 (en) * 2022-06-07 2023-12-14 Lam Research Corporation Vacuum-insulated, heated reactor construction

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0445461A (ja) * 1990-06-13 1992-02-14 Seikosha Co Ltd 記録装置
JPH07263354A (ja) * 1994-03-25 1995-10-13 Kobe Steel Ltd プラズマcvd膜の形成方法
JPH08330294A (ja) * 1995-05-30 1996-12-13 Anelva Corp プラズマ処理装置
JPH10125663A (ja) * 1996-09-30 1998-05-15 Applied Materials Inc 共通のrf端子を有する対称並列多重コイルを備えた誘導結合型プラズマリアクタ
JPH10273777A (ja) * 1997-03-28 1998-10-13 Nikon Corp 誘導結合型プラズマcvd装置及びその装置を用いた均一成膜方法
JPH1167746A (ja) * 1997-07-02 1999-03-09 Applied Materials Inc Hdp−cvd装置内の粒子特性を改善するシーズニングプロセスにおける酸素対シランの比の制御

Family Cites Families (202)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
AU541132B2 (en) * 1980-03-13 1984-12-20 T.I. Accles & Pollock Ltd Golf club shaft
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPS5887273A (ja) * 1981-11-18 1983-05-25 Hitachi Ltd セラミツク被覆層を有する部品とその製造方法
JPH065155B2 (ja) 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
NO163412B (no) 1988-01-25 1990-02-12 Elkem Technology Plasmalanse.
EP0407945B1 (en) 1989-07-11 1995-01-04 Sony Corporation Method of heat-treating an oxide optical crystal and a heat treatment apparatus for carrying out the same
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
JPH03115535A (ja) * 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
GB2242443B (en) 1990-03-28 1994-04-06 Nisshin Flour Milling Co Coated particles of inorganic or metallic materials and processes of producing the same
US5180467A (en) 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JP3000179B2 (ja) * 1991-08-26 2000-01-17 東京エレクトロン株式会社 搬送駆動装置
DE4103994A1 (de) 1991-02-11 1992-08-13 Inst Elektroswarki Patona Schutzueberzug vom typ metall-keramik fuer einzelteile aus hitzebestaendigen legierungen
DE69213802T2 (de) * 1991-04-09 1997-02-27 Ngk Insulators Ltd Verwendung einer Oxidschicht zur Verbesserung der Oxydation- und Korrosionswiderstand einer Gasturbinenschaufeln aus Siliziumnitrid
JP3175189B2 (ja) 1991-05-13 2001-06-11 ソニー株式会社 減圧cvd装置
JPH05238855A (ja) * 1992-02-28 1993-09-17 Tokyo Electric Power Co Inc:The セラミックコーティング部材の製造方法
WO1993024275A1 (en) 1992-06-01 1993-12-09 Ice Blast International Ltd. Particle blasting utilizing crystalline ice
EP0573057A1 (en) 1992-06-05 1993-12-08 Applied Materials, Inc. Integrated circuit structure processing apparatus with chemically corrosion-resistant Al2O3 protective coating on surface of quartz window exposed to corrosive chemicals
US5423936A (en) * 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
US5302465A (en) 1992-10-26 1994-04-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Plasma sprayed ceramic thermal barrier coating for NiAl-based intermetallic alloys
DE69330719T2 (de) 1992-12-28 2002-07-04 Nippon Zeon Co Gegenstand mit harter beschichtung und verfahren zu seiner herstellung
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JP3228644B2 (ja) * 1993-11-05 2001-11-12 東京エレクトロン株式会社 真空処理装置用素材及びその製造方法
US5484752A (en) 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
EP0760526A4 (en) 1994-05-17 2001-01-10 Hitachi Ltd PLASMA TREATMENT DEVICE AND METHOD
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens AG, 80333 München Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
JP3699142B2 (ja) 1994-09-30 2005-09-28 アネルバ株式会社 薄膜形成装置
US5898586A (en) * 1994-11-04 1999-04-27 Eli Lilly And Company Method for administering clinical trail material
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5902763A (en) * 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US5759360A (en) 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3595608B2 (ja) 1995-05-30 2004-12-02 アネルバ株式会社 真空処理装置、真空処理装置における真空容器内面堆積膜除去方法及び真空処理装置における真空容器内面膜堆積均一化方法
TW323387B (ja) 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JPH08339895A (ja) * 1995-06-12 1996-12-24 Tokyo Electron Ltd プラズマ処理装置
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
JP3378126B2 (ja) * 1995-09-01 2003-02-17 三菱電機株式会社 真空処理装置および半導体装置の製造方法
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
IT1277078B1 (it) * 1995-12-14 1997-11-04 Geld & Kapitalanlagen Ag Macchina per la formazione di pastiglie di prodotto cosmetico
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5968377A (en) 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5892278A (en) 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
JP3050124B2 (ja) 1996-05-27 2000-06-12 住友金属工業株式会社 プラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JPH104083A (ja) * 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材
JP3241270B2 (ja) 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5885402A (en) 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
JP3619330B2 (ja) * 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
DE19654147A1 (de) * 1996-12-23 1998-06-25 Basf Ag Verwendung von Aminoisothiazolen als Mikrobizide
US6301004B1 (en) 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
JP3798491B2 (ja) * 1997-01-08 2006-07-19 東京エレクトロン株式会社 ドライエッチング方法
US5925228A (en) 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
JP2981184B2 (ja) 1997-02-21 1999-11-22 トーカロ株式会社 ボイラ伝熱管および管内面デポジット付着抑制効果に優れるボイラ伝熱管の製造方法
JP3488373B2 (ja) * 1997-11-28 2004-01-19 京セラ株式会社 耐食性部材
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
JP2953424B2 (ja) * 1997-03-31 1999-09-27 日本電気株式会社 フェイスダウンボンディング用リードフレーム
US5900064A (en) 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
KR19990008937U (ko) 1997-08-13 1999-03-05 이문세 방음벽 조립체
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6180262B1 (en) * 1997-12-19 2001-01-30 United Technologies Corporation Thermal coating composition
KR100258984B1 (ko) 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JPH11219937A (ja) * 1998-01-30 1999-08-10 Toshiba Corp プロセス装置
JP3350433B2 (ja) 1998-02-16 2002-11-25 シャープ株式会社 プラズマ処理装置
JP4217299B2 (ja) 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (ko) 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP4037956B2 (ja) 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
JP2000103689A (ja) * 1998-09-28 2000-04-11 Kyocera Corp アルミナ質焼結体およびその製造方法、並びに耐プラズマ部材
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP3030287B1 (ja) 1998-10-09 2000-04-10 株式会社協同インターナショナル 成膜装置のクリーニング方法、スパッタリングターゲットのクリーニング方法及びこれらに使用するクリーニング装置
JP2000124197A (ja) 1998-10-16 2000-04-28 Hitachi Ltd プラズマ処理装置
JP4073584B2 (ja) * 1998-11-04 2008-04-09 株式会社ミクニ 弁駆動装置
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
JP4283925B2 (ja) * 1999-01-27 2009-06-24 太平洋セメント株式会社 耐蝕性部材
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
TW465017B (en) 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3911902B2 (ja) 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2001023959A (ja) 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP2001031484A (ja) 1999-07-22 2001-02-06 Nihon Ceratec Co Ltd 耐食性複合部材
US6387817B1 (en) 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6651504B1 (en) * 1999-09-16 2003-11-25 Ut-Battelle, Llc Acoustic sensors using microstructures tunable with energy other than acoustic energy
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP2001152307A (ja) 1999-11-29 2001-06-05 Nippon Steel Hardfacing Co Ltd 耐食性を有し、長期間使用に耐える複合皮膜の形成方法およびその複合皮膜を有する部材
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
JP3567855B2 (ja) 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
DE10112889A1 (de) 2000-03-15 2001-10-18 Preising Paul Eric Reinigungsverfahren und -vorrichtung für hochspannungsführende Anlagenteile
US6364948B1 (en) * 2000-03-21 2002-04-02 Mars, Inc. Coating and drying apparatus
US6396161B1 (en) * 2000-04-17 2002-05-28 Delco Remy America, Inc. Integrated starter alternator troller
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
TWI290589B (en) 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002134481A (ja) 2000-10-25 2002-05-10 Taiheiyo Cement Corp 真空処理装置用部材
JP2002151473A (ja) 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20020090464A1 (en) 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
CN101250680B (zh) 2000-12-12 2013-06-26 东京毅力科创株式会社 等离子体处理容器内部件以及等离子体处理装置
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
CN1220989C (zh) 2001-02-07 2005-09-28 株式会社新王磁材 制造铁基稀土磁体用合金材料的方法
US6830622B2 (en) 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
TW541586B (en) 2001-05-25 2003-07-11 Tokyo Electron Ltd Substrate table, production method therefor and plasma treating device
US6811651B2 (en) 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
EP1296384B1 (en) 2001-09-21 2008-09-03 FUJIFILM Corporation Organic light-emitting device
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
GB2383833A (en) 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6852433B2 (en) 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US20040060779A1 (en) 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US6896106B2 (en) * 2002-10-02 2005-05-24 Arvinmeritor Technology, Llc Method and assembly for automatic slack adjustment of an electric brake actuator
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
US6894769B2 (en) 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
JP2004241203A (ja) 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
CN100495413C (zh) 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
US6838862B2 (en) * 2003-04-04 2005-01-04 Harris Corporation Pulse width modulator having reduced signal distortion at low duty cycles
EP1780298A4 (en) 2005-07-29 2009-01-07 Tocalo Co Ltd Part Coated with Y203 Thermally Sprayed Film and Method of Making the Same
JP4238882B2 (ja) 2006-06-09 2009-03-18 トヨタ自動車株式会社 車両用エゼクタシステム

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0445461A (ja) * 1990-06-13 1992-02-14 Seikosha Co Ltd 記録装置
JPH07263354A (ja) * 1994-03-25 1995-10-13 Kobe Steel Ltd プラズマcvd膜の形成方法
JPH08330294A (ja) * 1995-05-30 1996-12-13 Anelva Corp プラズマ処理装置
JPH10125663A (ja) * 1996-09-30 1998-05-15 Applied Materials Inc 共通のrf端子を有する対称並列多重コイルを備えた誘導結合型プラズマリアクタ
JPH10273777A (ja) * 1997-03-28 1998-10-13 Nikon Corp 誘導結合型プラズマcvd装置及びその装置を用いた均一成膜方法
JPH1167746A (ja) * 1997-07-02 1999-03-09 Applied Materials Inc Hdp−cvd装置内の粒子特性を改善するシーズニングプロセスにおける酸素対シランの比の制御

Also Published As

Publication number Publication date
KR20070089773A (ko) 2007-09-03
KR20010062209A (ko) 2001-07-07
KR100884164B1 (ko) 2009-02-17
KR100944573B1 (ko) 2010-02-25
US20080070032A1 (en) 2008-03-20
KR20070020110A (ko) 2007-02-16
KR100994592B1 (ko) 2010-11-15
KR20080072806A (ko) 2008-08-07
KR20070090863A (ko) 2007-09-06
KR20090098780A (ko) 2009-09-17
KR20070020109A (ko) 2007-02-16
KR20080071963A (ko) 2008-08-05
US7846291B2 (en) 2010-12-07
KR20080082588A (ko) 2008-09-11
KR100972878B1 (ko) 2010-07-28
US20010003271A1 (en) 2001-06-14
KR100922902B1 (ko) 2009-10-22
JP2012018928A (ja) 2012-01-26
JP2001226773A (ja) 2001-08-21
JP5100202B2 (ja) 2012-12-19
KR100944571B1 (ko) 2010-02-25
KR100934508B1 (ko) 2009-12-29
KR20070089772A (ko) 2007-09-03
US20030200929A1 (en) 2003-10-30
US20080069966A1 (en) 2008-03-20
KR100884165B1 (ko) 2009-02-17
KR100934048B1 (ko) 2009-12-24
KR20080082587A (ko) 2008-09-11
KR100944576B1 (ko) 2010-02-25
KR20090098952A (ko) 2009-09-18
KR20080075071A (ko) 2008-08-14
JP2006336114A (ja) 2006-12-14
KR101015667B1 (ko) 2011-02-22
JP2007291528A (ja) 2007-11-08
KR100885597B1 (ko) 2009-02-24
KR100944570B1 (ko) 2010-02-25
TW514996B (en) 2002-12-21
US7879179B2 (en) 2011-02-01
KR20080071538A (ko) 2008-08-04
KR100944572B1 (ko) 2010-02-25
KR20100055370A (ko) 2010-05-26

Similar Documents

Publication Publication Date Title
JP4689563B2 (ja) プラズマ処理装置
US8293335B2 (en) Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP6935667B2 (ja) 成膜方法
US11591689B2 (en) Method for fabricating chamber parts

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060911

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091201

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100201

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100706

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100902

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110215

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110216

R150 Certificate of patent or registration of utility model

Ref document number: 4689563

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140225

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees