JPH1167746A - Hdp−cvd装置内の粒子特性を改善するシーズニングプロセスにおける酸素対シランの比の制御 - Google Patents

Hdp−cvd装置内の粒子特性を改善するシーズニングプロセスにおける酸素対シランの比の制御

Info

Publication number
JPH1167746A
JPH1167746A JP10180770A JP18077098A JPH1167746A JP H1167746 A JPH1167746 A JP H1167746A JP 10180770 A JP10180770 A JP 10180770A JP 18077098 A JP18077098 A JP 18077098A JP H1167746 A JPH1167746 A JP H1167746A
Authority
JP
Japan
Prior art keywords
chamber
gas
substrate
seasoning
oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10180770A
Other languages
English (en)
Inventor
Jianmin Qiao
チャオ ジャンミン
Chiu Chan
チャン チュー
Diana Chan
チャン ダイアナ
Cissy S Leung
エス. ルーン シスィー
Sahin Turgut
サヒン ターグト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH1167746A publication Critical patent/JPH1167746A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Abstract

(57)【要約】 【課題】 基板処理チャンバ内で堆積された膜に吸収さ
れる汚染物質(例えばフッ素)のレベルを低下させる改
善された方法を提供する。 【解決手段】 シーズニング層が基板処理チャンバ内に
堆積され、チャンバ内面の内壁又は絶縁部分に吸収され
得る汚染物質を覆う。堆積されたシーズニング層は先行
技術のシーズニング層より基板処理チャンバの内部のセ
ラミックの部分により良好に付着するので、チャンバ内
に配置された基板に後で膜を堆積する際に、欠落又は剥
離することが少ない。シーズニング層は、SiH4を1
としたときのO2の流量比が1.4〜2.4である、O2
とSiH4を含むガスからプラズマを形成することによ
って形成される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は集積回路の製造に関
する。より詳細には、本発明は基板処理チャンバ内の粒
子を減らし、汚染制御を改善することによって、チャン
バ内で堆積された膜の品質を改善する方法と装置を含む
技術を提供する。
【0002】
【従来の技術】基板表面への酸化ケイ素層や他層の化学
気相堆積(CVD)中に、処理チャンバ内に放出された
堆積ガスは、処理チャンバ内壁等の領域に望ましくない
堆積を発生することがある。除去しない場合、この望ま
しくない堆積は後続の処理ステップに干渉しウェハの歩
留まりに悪影響を与える粒子の発生源になる。
【0003】この問題を避けるために、チャンバの内面
は定期的にクリーニングされ、望ましくない堆積物質が
チャンバ内壁と処理チャンバの同様の領域から除去され
る。この手順は標準チャンバドライクリーニング操作と
して行われ、三フッ化窒素(NF3)等のエッチャント
ガスが使用されて、チャンバ壁等の領域から堆積した材
料が除去(エッチング)される。ドライクリーニング操
作中、チャンバ内部はエッチャントガスからのプラズマ
にさらされて、エッチャントガスが堆積された材料と反
応して、チャンバ内壁から堆積材料を除去する。こうし
たクリーニング手順は通常各ウェハ毎か、又は各n個の
ウェハ毎に堆積ステップの間に行われる。
【0004】しかし、このクリーニングステップ自体が
粒子蓄積の原因となることがある。クリーニングプラズ
マからのフッ素が、チャンバ内壁及び、セラミックライ
ニング等の絶縁材料を含むチャンバの他の領域に吸収及
び/又は捕捉される。捕捉されたフッ素は、(例えば高
密度プラズマCVD(HDP−CVD)ステップでプラ
ズマの成分と反応することによって)後続処理ステップ
中に放出されて、その後で堆積される酸化ケイ素層や他
層に吸収される。
【0005】このフッ素の吸収を防止し、チャンバ内壁
の内部の他の汚染物質(例えば金属フッ化物の拡散)に
対する保護を提供するために、CVDチャンバはドライ
クリーニング操作の後「シーズニング」されることが多
い。このシーズニングには、基板が処理のためチャンバ
に導入される前にチャンバ壁全体に薄い酸化ケイ素層を
堆積するステップが含まれる。堆積された酸化ケイ素層
はチャンバ壁を覆って、汚染物質が後続の処理ステップ
に干渉する可能性を低減する。シーズニング層の堆積が
完了した後、チャンバは、上述したような次のクリーニ
ング作業によってクリーニングされ、再びシーズニング
されるまで1〜n個の基板堆積ステップで使用される。
【0006】アプライド マテリアルズによって製造さ
れ、1994年4月26日出願のNowak、Fairbairn及びR
edekerによる「誘導結合及び容量結合を用いた高密度プ
ラズマCVDリアクタ」という名称の米国特許出願第08
/234,746号明細書に説明されているHDP−CVDチャ
ンバ等の、主としてアルミニウムから製造された所定の
堆積チャンバをシーズニングするために使用される詳細
な方法の1つは、シラン(SiH4)、酸素(O2)及び
アルゴン(Ar)のプロセスガスからプラズマを形成す
ることを含む。この方法で使用するO2とSiH4との好
適な流量比は1.375:1であった(O2は110s
ccmで導入され、SiH4は80sccmで導入さ
れ、Arは20sccmで導入された)。上記で言及さ
れたHDP−CVDチャンバ及び他のチャンバでも後続
処理ステップを汚染物質から十分に保護するためにこの
シーズニングプロセスが使用されてきた。
【0007】半導体デバイスの幾何学的形状の寸法は、
この種のデバイスが数十年前に初めて導入されて以来、
劇的に縮小してきた。それ以来、集積回路は一般に、1
つのチップの上に組み込まれるデバイスの数が2年毎に
2倍になるという意味の2年/2分の1サイズの規則
(「ムーアの法則」と呼ばれることが多い)に従ってき
た。現在のウェハ製造プラントは日常的に0.5ミクロ
ンからときには0.35ミクロンの寸法の特徴部を有す
る集積回路を製造しており、将来のプラントは更に小さ
い幾何学的形状を有するデバイスを製造するだろう。
【0008】
【発明が解決しようとする課題】デバイスの寸法が小さ
くなり集積密度が増大するにつれて、以前には産業界で
あまり重要と考えられていなかった論点が関心事となっ
ている。また、堆積層が厳密な製造仕様に合致すること
を保証するために、粒子発生及び汚染制御等の基準への
改善された制御が必要である。こうした小さな縮尺の幾
何学的形状のデバイスによって発生する処理上の要求に
合致するために、基板処理装置の新技術が絶えず開発さ
れている。この新技術の中には、堆積チャンバの内面の
大部分がセラミック材料で作られ或いはセラミック材料
で被覆されているものがある。例えば、アプライド マ
テリアルズ インコーポレイテッドで製造されている最
新のUltima HDP−CVDチャンバでは、チャ
ンバ内面の約50%がアルミニウム或いは他の導電性材
料ではなくてセラミックである。
【0009】場合によっては、先行基板処理装置と共に
使用される手順や技術が、セラミックチャンバ等の新し
いリアクタ技術において最適な結果を提供しないことが
ある。従って、こうした新技術と共に動作しそれを制御
する新しい技術が絶えず求められている。
【0010】
【課題を解決しようとする手段】本発明は、基板処理チ
ャンバ内における膜堆積中に発生する粒子等の汚染物質
のレベルを低減する方法を提供する。本発明は詳細に
は、少なくとも部分的にセラミック又は同様の材料でラ
イニングされた内面を含む基板処理装置内の粒子数と汚
染レベルを低減する際有益である。
【0011】本発明によれば、チャンバに導入されるO
2及びSiH4のガス流からプラズマを形成することによ
ってチャンバ内面の一部分にシーズニング層が堆積され
る。SiH4を1としたときのO2の流量比が1.4〜
2.4になるように注意深く制御される。以下より詳細
に説明されるように、この比率では堆積されたシーズニ
ング層はきわめて安定でシリコンリッチ又は酸素リッチ
になることはない。好適な実施形態では、アルゴン(A
r)がO2及びSiH4と共にチャンバに導入され、Si
4を1としたときのO2の流量比は約1.6〜2.2で
ある。
【0012】本発明の目的と利点は、添付の図面と関連
して行われる以下の詳細な説明を参照することによって
更に理解される。
【0013】
【発明の実施の形態】
I.序説 本発明は、CVDチャンバ等の基板処理チャンバの内面
に安定なシーズニング層の堆積を提供する。堆積された
シーズニング層は、幾つかの基板処理チャンバにおいて
慣行されているセラミック等の材料に良好に付着する改
善された付着特性を有する。本発明のシーズニング層の
改善された付着特性によって、改善された品質の酸化ケ
イ素、フッ化ケイ酸ガラス及び他の膜を基板処理チャン
バ内で堆積することが可能になる。本発明は、他の基板
処理チャンバで使用される慣用の設計及び処理ステップ
のCVDチャンバで堆積される絶縁層や他層中の汚染物
質を低減するために使用できる。
【0014】II.代表的基板処理装置 図1は、本発明による誘電層を堆積する高密度プラズマ
化学気相堆積(HDP−CVD)装置10の一実施形態
を示す。装置10には、チャンバ13、真空装置70、
ソースプラズマ装置80A、バイアスプラズマ装置80
B、ガス送出装置33及び遠隔プラズマクリーニング装
置50が含まれる。
【0015】チャンバ13の上部には、アルミナ又は窒
化アルミニウム等の誘電材料製のドーム14が含まれ
る。ドーム14は、プラズマ処理領域16の上部の境界
を画成している。プラズマ処理領域16はその下部を基
板17及び基板支持部材18の上面によって境界付けら
れている。
【0016】加熱板23と冷却板24がドーム14上に
配置されて、熱的にドーム14と結合されている。加熱
板23と冷却板24はドームの温度を約100℃〜20
0℃の約±10℃以内に制御することを可能にする。こ
れによってさまざまな処理に対するドーム温度の最適化
が可能になる。例えば、クリーニング又はエッチングプ
ロセスの場合、堆積プロセスの場合よりもドーム温度を
高く維持することが望ましいであろう。また、ドーム温
度の正確な制御によってチャンバ内の薄片又は粒子の数
を低減し、堆積層と基板の付着性を改善することができ
る。
【0017】チャンバ13の下部にはチャンバを真空装
置に接続している本体部材22が含まれている。基板支
持部材18の基礎部分21は、本体部材22の上に設置
されて本体部材と内面を形成している。基板はロボット
ブレード(図示せず)によって、チャンバ13の側面の
挿入口/取出口(図示せず)を通じてチャンバ13の内
外に移送される。リフトピンがモータ(やはり図示せ
ず)の制御下で上昇した後下降し、基板を上部ローディ
ング位置57のロボットブレードから下部処理位置56
に移動し、そこで基板は基板支持部材18の基板受容部
19に配置される。基板受容部19には、基板処理中に
基板を基板支持部材18に固定する静電チャック20が
含まれる。
【0018】真空装置70には、二枚ブレードスロット
ルバルブ26を収容し、ゲートバルブ27とターボ分子
ポンプ28に取り付けられたスロットル本体25が含ま
れている。本明細書に援用され、1995年12月12
日に出願され、通常に譲受された同時係属米国特許出願
第08/574,839号明細書中に説明されているよ
うに、スロットル本体はガス流に対する障害を最小に
し、対称的なガスの移送を可能としていることに注意す
べきである。ゲートバルブ27はスロットル本体25か
らポンプ28を隔離することができ、またスロットルバ
ルブ26が全開のときに流出容量を制限することによっ
てチャンバの圧力を制御することもできる。スロットル
バルブ、ゲートバルブ及びターボ分子ポンプの配置によ
ってチャンバの圧力を約1ミリトール〜2トールの間で
正確かつ安定に制御することが可能である。
【0019】ソースプラズマ装置80Aには、ドーム1
4に設置された上部コイル29と側面コイル30が含ま
れる。対称的な接地シールド(図示せず)によってコイ
ル間の電気的結合が低減される。上部コイル29が上部
ソースRF(SRF)発生器31Aによって電力を供給
される一方、側面コイル30は側面SRF発生器31B
によって電力を供給されるので、各コイルについて独立
した電力レベルと周波数の動作が可能である。この二重
コイル装置によってチャンバ13内の放射イオン密度の
制御が可能になり、プラズマの均一性が改善される。側
面コイル30と上部コイル29は通常誘導的に駆動され
るので、相補形電極は必要ない。ある特定の実施形態で
は、上部ソースRF発生器31Aが定格2MHzで2,
500ワットまでのRF電力を提供し、側面RF発生器
31Bが定格2MHzで5,000ワットまでのRF電
力を提供する。上部及び側面のRF発生器の動作周波数
は定格動作周波数から(例えば各々1.7〜1.9MH
z及び1.9〜2.1MHzに)オフセットされること
ができ、プラズマ発生効率が改善される。
【0020】バイアスプラズマ装置80Bにはバイアス
RF(BRF)発生器31Cとバイアス整合ネットワー
ク32Cが含まれる。バイアスプラズマ装置80Bは容
量的に基板部分17を本体部材22に結合して、相補形
電極として機能している。バイアスプラズマ装置80B
は、ソースプラズマ装置80Aによって発生したプラズ
マ種(すなわちイオン)の基板表面への移送を向上する
働きをする。特定の実施形態では、バイアスRF発生器
は13.56MHzで5,000ワットまでのRF電力
を提供する。
【0021】RF発生器31A及び31Bにはデジタル
制御シンセサイザが含まれており、約1.8〜約2.1
MHzを越える領域の周波数で動作する。各発生器に
は、当業者には理解されるように、チャンバ及びコイル
から発生器に戻る反射電力を測定し、最小反射電力を得
るように動作周波数を調節するRF制御回路(図示せ
ず)が含まれる。RF発生器は通常特性インピーダンス
50オームの負荷に対して動作するよう設計されてい
る。RF電力は発生器と異なった特性インピーダンスを
有する負荷から反射されることがある。これは負荷に伝
達される電力を減少することができる。更に、負荷から
発生器に反射された電力は発生器に過負荷を加え、発生
器を損傷することがある。プラズマのインピーダンスは
他の要因の中でもとりわけプラズマイオン密度に依存
し、5オーム未満から900オーム以上であり、反射電
力は周波数の関数であるので、反射電力によって発生器
の周波数を調整することでRF発生器からプラズマに移
送される電力が増大し、発生器が保護される。反射電力
を低減し効率を改善するもう1つの方法は整合ネットワ
ークによるものである。
【0022】整合ネットワーク32A及び32Bは発生
器31A及び31Bの出力インピーダンスをそれらの対
応するコイル29及び30と整合する。RF制御回路は
整合ネットワーク内のコンデンサの数値を変化させるこ
とによって2つの整合ネットワークを調整し、負荷が変
化する際に発生器を負荷に整合することができる。RF
制御回路は、負荷から発生器に反射される電力がある限
度を越えると整合ネットワークを調整する。一定の整合
を提供し、RF制御回路による整合ネットワークの調整
を効果的に無効にする1つの方法は、反射電力の限度を
反射電力の予想される数値より高く設定することであ
る。これは、整合ネットワークを最新の条件で一定に維
持することによってある条件の下でプラズマを安定させ
る助けになる。
【0023】プラズマの安定化を助ける他の手段もあ
る。例えば、RF制御回路が負荷(プラズマ)に供給さ
れる電力を判定するために使用され、層の堆積中に発生
器出力電力を増減して供給電力を実質上一定に維持す
る。
【0024】ガス送出装置33は、基板を処理するため
に、ガス送出ライン38(その一部分のみが図示され
る)を介してガスを多数の供給源からチャンバに提供す
る。ガスはガスリング37と上部ノズル45を通じてチ
ャンバ13に導入される。図2は、ガスリング37を更
に詳細に示した、チャンバ13の簡略部分断面図であ
る。
【0025】1つの実施形態では、第1ガス供給源34
A及び第2ガス供給源34B並びに第1ガス流コントロ
ーラ35A'及び第2ガス流コントローラ35B'は、ガ
スをガス送出ライン38(その一部分のみが図示)を介
してガスリング37のリングプレナム37に提供する。
ガスリング37は、基板全体に均一なガスの流れを提供
する複数のソースガスノズル39(その1つのみを図
示)を有する。ノズルの長さとノズルの角度を変化させ
て、個々のチャンバ内の特定の処理について均一性とガ
スの利用を調整することが可能である。好適な実施形態
では、ガスリング37は12のソースガスノズルを有す
る。
【0026】ガスリング37は、複数の酸化剤ガスノズ
ル40(その1つのみを図示)も有するが、好適な実施
形態ではソースガスノズル39と同一平面上にあってそ
れより短く、一実施形態ではガスを本体プレナム41か
ら受け取る。実施形態によってはガスをチャンバ13に
噴射する前にソースガスと酸化剤ガスを混合しないこと
が望ましい場合がある。他の実施形態では、本体プレナ
ム41とガスリングプレナム36の間にアパーチャ(図
示せず)を提供することによって、ガスをチャンバ13
に噴射する前に酸化剤ガスとソースガスが混合される。
一実施形態では、第三ガス供給源34C及び第四ガス供
給源34D並びに第三ガス流コントローラ35C及び第
四ガス流コントローラ35D及び35D'がガス送出ラ
イン38を介してガスが本体プレナムに供給される。バ
ルブ43B(他のバルブは図示せず)等の補助バルブ
が、ガス流コントローラからチャンバに流れるガスを遮
断することもできる。
【0027】実施形態によっては、シラン又は四フッ化
ケイ素(SiH4)等の引火性、有毒又は腐食性のガス
が使用されるであろう。こうした場合、堆積の後にガス
送出ラインに残ったガスを除去することが望ましいであ
ろう。これは、送出ライン38Aからチャンバ13を隔
離し、送出ライン38Aを例えば真空ホアライン44に
排気するための、バルブ43B等の三方向バルブを使用
することによって達成される。図1に示すように、43
A及び43C等の他の同様のバルブが、35A及び35
C等の他のガス送出ラインに組み込まれているであろ
う。こうした三方向バルブは実際にはチャンバ13ので
きるだけ近くに配置されており、(三方向バルブとチャ
ンバとの間の)排気されないガス送出ラインの容積を最
小にしている。更に、二方向(開閉)バルブ(図示せ
ず)をMFCとチャンバとの間又はガス供給源とMFC
との間に配置することもできる。
【0028】再び図1を参照すると、チャンバ13は上
部ノズル45と上部通気孔46も有する。上部ノズル4
5と上部通気孔46は上部及び側面のガス流の独立した
制御を可能にし、膜の均一性を改善すると共に膜の堆積
及びドーピングのパラメータの精密な調整を可能にす
る。上部通気孔46は上部ノズル45周囲の環状開口部
である。1つの実施形態では、第1ガス供給源34A
は、ソースガスノズル39と上部ノズル45にガスを供
給するシラン供給源である。ソースノズル質量流量コン
トローラ(MFC)35A'はソースガスノズル39に
送出されるシランの量を制御し、上部ノズルMFC35
Aは上部ガスノズル45に送出されるシランの量を制御
する。同様に、2つのMFC35B及び35B'は供給
源34B等の1つの酸素供給源から上部通気孔46及び
酸化剤ガスノズル40の両方に供給される酸素の流れを
制御するために使用される。上部ノズル45と上部通気
孔46に供給されるガスはガスがチャンバ13に流入す
るまで分離されているか、又はチャンバ13に流入する
前に上部プレナム48で混合される。チャンバのさまざ
まな部分にガスを供給するために、同じガスの別個の複
数の供給源が使用されることがある。
【0029】遠隔マイクロ波発生プラズマクリーニング
装置50が提供され、定期的にチャンバ構成部材から堆
積残余物が清掃される。クリーニング装置には、分子フ
ッ素、三フッ化窒素等の過フッ化炭化水素又は同等物等
のクリーニングガスソース34Eから、リアクタキャビ
ティ53内にプラズマを発生する遠隔マイクロ波発生器
51が含まれる。プラズマから生じた反応性種は、クリ
ーニングガス供給ポート54を通じてアプリケータ管5
5を介してチャンバ13に運ばれる。クリーニングプラ
ズマを収容するために使用される材料(例えばキャビテ
ィ53及びアプリケータ管55)は、プラズマの作用に
対して耐性を有さなければならない。所望のプラズマ種
の濃度はリアクタキャビティ53からの距離と共に低下
するため、リアクタキャビティ53と供給ポート54の
距離はできる限り短くなければならない。遠隔キャビテ
ィの中でクリーニングプラズマを発生することは、有効
なマイクロ波発生器の使用を可能にし、チャンバの構成
部材をインサイチュプラズマが存在する温度、放射又は
グロー放電の衝撃にさらすことがない。その結果、イン
サイチュプラズマクリーニングプロセスで必要になるよ
うに、静電チャック20等の比較的敏感な部品をダミー
ウェハで覆ったり、他の方法で保護する必要はない。
【0030】システムコントローラ60は装置10の動
作を制御する。好適な実施形態では、コントローラ60
にはハードディスクドライブ、フロッピーディスクドラ
イブ(図示せず)及びカードラック(図示せず)等のメ
モリ62が含まれる。カードラックにはシングルボード
コンピュータ(SBC)(図示せず)、アナログ及びデ
ジタル入出力ボード(図示せず)、インタフェースボー
ド(図示せず)及びステッピングモータ制御ボード(図
示せず)が収容されている。システムコントローラは、
ボード、カードケージ及びコネクタの寸法と種類を規定
するVersa Modular European(VME)規格に準拠して
いる。VME規格はまた、16ビットデータバスと24
ビットアドレスバスを有するバス構造を規定する。シス
テムコントローラ31はハードディスクドライブに保存
されたコンピュータプログラム又は、フロッピーディス
クに保存されたプログラム等の他のコンピュータプログ
ラムの制御の下で動作する。コンピュータプログラム
は、例えばタイミング、ガスの混合比、RF電力レベル
等のある特定のプロセスのパラメータを指示する。ユー
ザとシステムコントローラのインタフェースは、図3に
示すように、陰極線管(CRT)等のモニタ65とライ
トペン66を介して行われる。
【0031】図3は、図1の代表的CVDチャンバに関
連して使用される代表的システムユーザインタフェース
の一部分の説明図である。システムコントローラ60に
はメモリ62に接続されたプロセッサ61が含まれてい
る。好適には、メモリ62はハードディスクドライブで
あるが、もちろんメモリ62はROM、PROM等の他
の種類のメモリであってもよい。
【0032】システムコントローラ60はコンピュータ
プログラムの制御の下で動作する。コンピュータプログ
ラムはある特定のプロセスのタイミング、温度、ガス
流、RF電力レベル等のパラメータを指示する。ユーザ
とシステムコントローラのインタフェースは、図3に示
すように、CRTモニタ65とライトペン66を介して
行われる。好適な実施形態では、2つのモニタ65及び
65Aが使用され、1つは作業員のためにクリーンルー
ムの内壁(65)に設置され、もう1つは整備技術者の
ために壁(65A)の後ろに設置されている。2つのモ
ニタは同時に同じ情報を表示するがライトペン(例えば
66)は1つだけが有効である。特定の画面又は機能を
選択するために、作業員はディスプレイ画面のある領域
に触れてからペンのボタン(図示せず)を押す。触れら
れた領域が例えば色を変化させたり新しいメニューを表
示したりすることによって、ライトペンによって選択さ
れたことが確認される。
【0033】コンピュータプログラムコードは、680
00アセンブリ言語、C、C++又はパスカル等の何ら
かの従来のコンピュータ読取可能プログラミング言語で
書かれることができる。適当なプログラムコードが慣用
テキストエディタを使用して1以上のファイルに入力さ
れ、コンピュータのメモリ装置等のコンピュータで使用
可能な媒体で保存又は具体化される。入力されたコード
のテキストが高水準言語である場合、コードはコンパイ
ルされ、その結果生じたコンパイラコードがコンパイル
済みのウィンドウズライブラリルーチンのオブジェクト
コードとリンクされる。リンクされたコンパイル済みオ
ブジェクトコードを実行するために、システムユーザが
オブジェクトコードを呼び出し、コンピュータシステム
にメモリ中のコードをロードさせると、CPUはそこか
らプログラム中に識別されたタスクを行うコードを読み
出して実行する。
【0034】図4は、コンピュータプログラム300の
階層的制御構造を説明したブロック図である。ユーザ
は、ライトペンインタフェースを使用してCRTモニタ
に表示されたメニュー又は画面に反応してプロセスセッ
ト番号とプロセスチャンバ番号をプロセス選択サブルー
チン310に入力する。プロセスセットは特定のプロセ
スを実行するために必要なプロセスパラメータの所定の
組み合わせであり、所定のセット番号によって識別され
る。プロセス選択サブルーチン310は(i)マルチチ
ャンバ装置における所望のチャンバ及び(ii)所望の
プロセスを行うようチャンバを操作するために必要な所
望の組み合わせのプロセスパラメータを識別する。ある
特定のプロセスを行うためにプロセスパラメータは、例
えば、プロセスガスの組成と流量、温度、圧力等の処理
条件、RF電力レベル等のプラズマ条件及びチャンバド
ーム温度に関連し、レシピの形でユーザに提供される。
レシピによって指定されたパラメータはライトペン/C
RTモニタインタフェースを利用して入力される。
【0035】プロセスを監視するための信号がシステム
コントローラのアナログ入力及びデジタル入力ボードに
よって提供され、プロセスを制御する信号がシステムコ
ントローラ60のアナログ出力及びデジタル出力ボード
に出力される。
【0036】プロセスシーケンササブルーチン320は
プロセス選択サブルーチン310から識別されたチャン
バとプロセスパラメータの組み合わせを受け入れ、さま
ざまなチャンバの操作を制御するためのプログラムコー
ドを備えている。複数のユーザがプロセスセット番号と
プロセスチャンバ番号を入力でき、又は一人のユーザが
複数のプロセスセット番号とプロセスチャンバ番号を入
力できるので、シーケンササブルーチン320は選択さ
れたプロセスを所望の順序にスケジュールする。好適に
は、シーケンササブルーチン320には(i)チャンバ
が使用されているかどうかを判断するためにプロセスチ
ャンバの操作を監視するステップと、(ii)使用され
ているチャンバでどのプロセスが実行されているかを判
断するステップと、(iii)チャンバの可用性と実行
すべきプロセスの種類に基づいて所望のプロセスを実行
するステップとを行うプログラムコードが含まれる。ポ
ーリング等の、チャンバを監視する慣用の方法を使用す
ることができる。どのプロセスを実行すべきかをスケジ
ュールする際、シーケンササブルーチン320は、選択
されたプロセスの所望のプロセス条件と比較して使用さ
れる、又は要求を入力した各特定ユーザの「年代(ag
e)」、又はスケジューリングの優先順位を決定するた
めに装置プログラマが含めることを希望する他の関連す
る該当要素を考慮に入れて設計される。
【0037】シーケンササブルーチン320が次に実行
するチャンバとプロセスセットの組み合わせを決定する
と、シーケンササブルーチン320は、シーケンササブ
ルーチン320によって決定されたプロセスセットに従
ってチャンバ13とおそらくは他のチャンバ(図示せ
ず)における複数の処理タスクを制御するチャンバ管理
サブルーチン330A〜Cに特定のプロセスセットパラ
メータを伝えることによってプロセスセットを実行す
る。
【0038】チャンバ構成部材サブルーチンの例は、基
板位置決めサブルーチン340、プロセスガス制御サブ
ルーチン350、圧力制御サブルーチン360及びプラ
ズマ制御サブルーチン370である。当業者がチャンバ
13でどんなプロセスを行うことを希望するかによって
他のチャンバ制御サブルーチンが含まれ得ることを認識
するだろう。動作の際、チャンバ管理サブルーチン33
0Aは、実行される特定のプロセスセットに従って選択
的にプロセス構成部材サブルーチンをスケジュールする
か又は呼び出す。チャンバ管理サブルーチン330Aに
よるスケジューリングは、実行すべきプロセスチャンバ
とプロセスセットをスケジュールする際にシーケンササ
ブルーチン320によって使用されるものと同様の方法
で行われる。通常、チャンバ管理サブルーチン330A
には、さまざまなチャンバ構成部材を監視するステップ
と、実行すべきプロセスセットに関するプロセスパラメ
ータに基づいてどの構成部材を作動させる必要があるか
を決定するステップと、監視及び決定ステップに反応し
てチャンバ構成部材サブルーチンを実行するステップと
が含まれる。
【0039】特定のチャンバ構成部材サブルーチンの動
作を図4を参照して説明する。基板位置決めサブルーチ
ン340は基板を基板支持部材18にローディングする
ために使用されるチャンバの構成部材を制御するプログ
ラムコードを備えている。基板位置決めサブルーチン3
40は、また、他のプロセスが終了した後の、マルチチ
ャンバ装置におけるPECVDリアクタ又は他のリアク
タからチャンバ13への基板の移送を制御する。
【0040】プロセスガス制御サブルーチン350は、
プロセスガスの組成と流量を制御するプログラムコード
を有している。サブルーチン350は安全閉止バルブの
開/閉位置を制御し、かつ質量流量コントローラを調整
して所望のガス流量を得る。プロセスガス制御サブルー
チン350を含むすべてのチャンバ構成部材サブルーチ
ンは、チャンバ管理サブルーチン330Aによって呼び
出される。サブルーチン350は所望のガス流量に関し
てチャンバ管理サブルーチン330Aからプロセスパラ
メータを受け取る。
【0041】通常、プロセスガス制御サブルーチン35
0は、ガス供給ラインを開き、繰り返して(i)必要な
質量流量コントローラを読み出すこと、(ii)読み出
した値をチャンバ管理サブルーチン330Aから受け取
った所望の流量と比較すること、(iii)必要に応じ
てガス供給ラインの流量を調整すること、を行って動作
する。更に、プロセスガス制御サブルーチン350には
危険な流量についてガス流量を監視し、危険な状態が検
出されると安全閉止バルブを作動させるステップが含ま
れている。
【0042】プロセスによっては、アルゴン等の不活性
ガスをチャンバ13に流入し、反応性プロセスガスがチ
ャンバに流入される前にチャンバの圧力を安定させるも
のもある。こうしたプロセスに対しては、プロセスガス
制御サブルーチン350は、チャンバ内の圧力を安定さ
せるために必要な時間不活性ガスをチャンバに導入する
ステップを含むようプログラムされる。その後上述した
ステップが実行される。
【0043】更に、プロセスガスを例えばテトラエチル
オルソシラン(TEOS)等の液体前駆物質から気化す
べき場合、プロセスガス制御サブルーチン350には、
バブラ組立体の中で液体前駆物質を通じてヘリウム等の
送出ガスを泡立たせるか、又はヘリウムを液体噴射バル
ブに導入するステップが含まれる。この種類の処理で
は、プロセスガス制御サブルーチン350は送出ガスの
流量、バブラ圧力及びバブラ温度を調節し、所望のプロ
セスガス流量を得る。上記で論じたように、所望のプロ
セスガス流量はプロセスパラメータとしてプロセスガス
制御サブルーチン350に転送される。
【0044】更に、プロセスガス制御サブルーチン35
0には、所定のプロセスガス流量に関する必要な数値を
含む記憶された表にアクセスすることによって、所望プ
ロセスガス流量について必要な送出ガス流量、バブラ圧
力及びバブラ温度を得るステップが含まれている。必要
な数値が得られると、送出ガス流量、バブラ圧力及びバ
ブラ温度が監視され、必要な数値と比較されて、必要に
応じて調整される。
【0045】プロセスガス制御サブルーチン350はま
た、独立ヘリウム制御(IHC)サブルーチン(図示せ
ず)によって、ウェハチャックの内外の通路を通じてヘ
リウム(He)等の熱伝達ガスの流量を制御する。ガス
流は熱的に基板をチャックに結合する。通常のプロセス
では、ウェハは層を形成する化学反応とプラズマとによ
って加熱され、Heがチャックを通じて基板を冷却す
る。尚、チャックは水冷式であろう。これによって基板
は基板上の既存の特徴部を損傷する温度以下に保たれ
る。
【0046】圧力制御サブルーチン360には、チャン
バの排気部分のスロットルバルブの開口部の大きさを調
節することによって、チャンバ13の圧力を制御するプ
ログラムコードが含まれる。スロットルバルブによって
チャンバを制御するには少なくとも2つの基本的な方法
がある。第1の方法は、とりわけ全プロセスガス流、チ
ャンバの大きさ及び排気能力に関連するのでチャンバ圧
力の特性に依存している。第1の方法ではスロットルバ
ルブ26を固定位置にセットする。スロットルバルブ2
6を固定位置にセットすることは最終的には定常圧力に
帰結する。
【0047】また、制御の位置がガス流と排気能力によ
って設定される境界内にあると仮定すると、チャンバの
圧力は例えば圧力計で測定されて、スロットルバルブ2
6の位置は圧力制御サブルーチン360に従って調整さ
れるであろう。前者の方法は、後者の方法に関連する測
定、比較及び計算が行われないために、急速にチャンバ
圧力を変化させる。前者の方法は、チャンバ圧力の正確
な制御が必要ない場合に望ましく、後者の方法は、層の
堆積期間等の、正確で再現可能かつ安定した圧力が望ま
れる場合に望ましい。
【0048】圧力制御サブルーチン360が呼び出され
ると、所望の又は目標の圧力レベルがチャンバ管理サブ
ルーチン330Aからパラメータとして受け取られる。
圧力制御サブルーチン360は、チャンバに接続された
1つかそれ以上の慣用圧力計を読むことによってチャン
バ13の圧力を測定し、測定された数値を目標圧力と比
較し、保存された圧力テーブルから目標圧力に対応する
比例、積分及び微分(PID)数値を獲得し、圧力テー
ブルから得られたPID数値によってスロットルバルブ
26を調整するよう作動する。また、圧力制御サブルー
チン360はスロットルバルブ26を特定の開口部の大
きさに開閉し、チャンバ13の圧力を所望の圧力又は圧
力の領域に調節することもできる。
【0049】プラズマ制御サブルーチン370はRF発
生器31A及び31Bの周波数と出力電力の設定を制御
し、整合ネットワーク32A及び32Bを調整するプロ
グラムコードを備えている。プラズマ制御サブルーチン
370は、これまで説明したチャンバ構成部材サブルー
チンと同様に、チャンバ管理サブルーチン330Aによ
って呼び出される。
【0050】上述したルーチンの一部分又はすべてを組
み込むことのできる装置の一例としては、アプライド
マテリアルズによって製造された、本発明を実行するよ
うに構成されたUltima Systemがあるであ
ろう。この装置の詳細は、本明細書に援用されている、
Fred C.Redeker,Farhad Moghadam,Hirogi Hanawa,Te
tsuya Ishikawa,Dan Maydan,Shijian Li,Brian Lu
e,Robert Steger,Yaxin Wang,Manus Wong及びAshok
Shinhaを共同発明者とした「対称同調可能誘導結合式H
DP−CVDリアクタ」と題された、1996年7月1
5日出願の米国特許出願第08/679,927号明細書に開示さ
れている。
【0051】III.安定なシーズニング膜の堆積 本発明に先だって、上述した代表的チャンバ(チャンバ
13)において、上記の「課題を解決しようとする手
段」で述べた比率(すなわち、O2対のSiH4流量比が
1.375:1)の、O2、SiH4及びArを含むプロ
セスガスからプラズマを形成して、シーズニング層が用
いられた。チャンバ13がこのシーズニング層によって
シーズニングされた後でも、フッ化ケイ酸ガラス、二酸
化ケイ素、燐酸ケイ素ガラス及び窒化ケイ素等の膜がチ
ャンバ内に配置された基板に堆積された後、0.5μm
又はそれ以上の直径を有する比較的高い数の粒子がチャ
ンバ内に存在することが、テストによって判明した。
【0052】これらの膜を堆積するために使用されるプ
ロセスのさまざまなステップとパラメータを改善する多
くの努力がなされたが、粒子数が大きく減少することは
なかった。その後本出願の発明者は、粒子の一次発生源
がチャンバ内に配置されたウェハに膜を堆積するために
使用されるCVD堆積プロセスではなかったことを偶然
発見した。代わりに、粒子の発生源が酸化ケイ素シーズ
ニング膜自体であることを発見した。発明者は、先行シ
ーズニングプロセスの結果、正しくチャンバ内壁に付着
していないシーズニング膜の堆積が生じていることを発
見した。そのためにシーズニング膜は、チャンバ内の基
板に膜を堆積するために用いられる後続のウェハ処理ス
テップ中に欠落又は剥離していた。先行シーズニング処
理の付着性の問題は主としてチャンバのセラミックの部
分に制限されていると考えられる。すなわち、粒子の問
題はチャンバ13の中で使用されるセラミックの割合が
大きいことの直接の結果である(前に記載したように、
このシーズニングプロセスでこれまで利用されたチャン
バの内面は主として石英又はケイ素であった)と考えら
れる。
【0053】この発見に対して、発明者は、アルミニウ
ム等の材料に良好に付着すると共にセラミック等の材料
にも良好に付着するシーズニング膜を開発した。すなわ
ち、本発明は、比較的大きな割合の内壁や隔離部分がセ
ラミック又は同様の材料で製造されている場合でも、説
明した代表的チャンバ等の基板処理チャンバの内面の壁
や隔離部分に起因する、基板処理中に存在する粒子及び
他の汚染物質の量を低減するために採用することができ
る。これまでのシーズニング層と比較して、本発明によ
って形成されたシーズニング層は基板処理チャンバのセ
ラミック部分への付着性が改善されているので、後続の
基板処理中欠落又は剥離することが少ない。
【0054】図5は、図1に示した装置について言及し
た参照符号を有する本発明の好適なプロセスを示したも
のである。本プロセスは、CVD装置10のメモリ62
に保存されたコンピュータプログラムを使用して実現及
び制御される。本好適な実施形態では、NF3等のエッ
チャントガスがチャンバに導入され、先行処理ステップ
(例えばステップ220のCVD堆積操作)によりチャ
ンバ内壁に堆積した材料を除去する標準チャンバクリー
ニング操作(ステップ200)が完了した後でシーズニ
ング層が堆積される。このクリーニングステップはチャ
ンバ内に若干の残余物(例えばチャンバ内壁に吸収され
たフッ素)を残すが、これは後続堆積ステップ(例え
ば、堆積ステップ220)中に残余物が放出されないよ
うにシーズニング膜によって覆われる。シーズニング膜
が堆積された後、1個〜n個の間の基板がチャンバ13
の中で処理され、その後全シーケンスが繰り返される
(ステップ230)。
【0055】シーズニング層は、SiH4、O2及びアル
ゴンを含むガスからプラズマを形成することによって堆
積される(ステップ210)。プラズマは、SRF発生
器32A及び32BからRFエネルギーを適用すること
によって形成される。好適には、プラズマは基板の方向
に向かってバイアスされず、従ってBRF発生器32C
は作動されない。
【0056】堆積された膜がチャンバ13の内面に良好
に付着することを保証するために、温度、圧力、RF電
力レベル、アルゴンとO2の比及びO2とSiH4の比を
含む多数の堆積パラメータを制御することが重要であ
る。発明者は、これらのパラメータの中で最も重要なも
のの1つがO2とSiH4との比であることを発見した。
プロセスガスに導入するSiH4が多すぎたりO2が少な
すぎたりすると、多くのSi−H結合を含む酸化ケイ素
膜が生じる。こうした膜はシリコンリッチ膜と呼ばれ
る。一方、導入するO2が多すぎたりが少なすぎたりす
ると、多くのSi−OH結合を含む酸化ケイ素膜を生じ
る。こうした膜は酸素リッチと呼ばれる。
【0057】以下より詳細に説明するように、発明者
は、SiH4を1としたときのO2の流量比が1.4〜
2.4である場合に、結果として生じるシーズニング膜
はシリコンリッチでも酸素リッチでもなく、セラミック
等の付着の困難な表面に対する改善された付着性を示す
ことを発見した。発明者は、より好適には、SiH4
1としたときのO2の流量比が1.6〜2.2であるべ
きであることを発見した。
【0058】チャンバに流入されるアルゴンの量も堆積
シーズニング層の付着特性に影響する。一般的に言え
ば、アルゴンの流量を増加させるとプラズマの密度が増
大し、より有効なシーズニング膜を提供する。しかし、
チャンバに流入されるアルゴンが多すぎると、チャンバ
内の圧力が増加し、プラズマ密度を増大せずに低下させ
る。SiH4の流量にほぼ等しいアルゴンの流量が好適
である。
【0059】1つの好適な実施形態では、O2は側面ノ
ズルから流量115sccmで、また上部通気孔46か
ら流量25sccmでチャンバに導入される。SiH4
は側面ノズルから流量70sccmで、また上部ノズル
から15sccmで導入される。アルゴンは側面から流
量78sccmで、また上部ノズルから15sccmで
導入される。この実施形態では全体的なO2対SiH4
比は1.87:1である。更に、チャンバ内の圧力は6
ミリトールに設定され、側面RFソースには2500W
の電力が供給され、上部RFソースには1000Wの電
力が供給される。
【0060】図6は、後続基板処理の際発生する粒子
(直径0.5μmを越える粒子)の数と、ステップ21
0で堆積されたシーズニング膜のO2対SiH4の流量比
のグラフを示す。グラフから明らかなように、SiH4
を1としたときのO2の流量比がO2が1.4未満、或い
はO2が2.4以上の流量比では粒子数が増大する。こ
れらの流量比では堆積シーズニング層がチャンバ内壁の
セラミック部分に十分付着していないために多くの数の
粒子が発生すると考えられる。すなわち、シーズニング
層が後続の基板処理中に小さな部分となって欠落又は剥
離しやすいのである。付着性の不良は、酸化ケイ素シー
ズニング膜に混入する比較的多数のSi−H結合(1.
4:1未満の流量比)又は多数のSi−OH結合(2.
4:1以上の流量比)によるものと考えられている。
【0061】対照的に、SiH4を1としたときのO2
流量比が1.4〜2.4にある場合、酸化ケイ素シーズ
ニング層は、内壁のセラミック部分を含むチャンバ内壁
に良好に付着する。付着性が良好なため、CVD堆積ス
テップ220等の、チャンバ13の中で用いられる後続
基板処理ステップ中に、粒子が欠落又は剥離することは
少ない。減少された粒子数を図6に領域310として示
す。
【0062】IV.実験結果 本発明の作用を示しその有効性を証明するために、約
1.3:1のO2対SiH4の比を有する先行技術プロセ
スによって堆積されたシーズニング膜の特性と、より高
いO2対SiH4の比を有する膜の特性を比較する実験を
行った。各実験では、当業者には周知のフーリエ変換赤
外線分光学(FTIR)分析を行い、各シーズニング層
中のSi−OH及びSi−H結合の存在を検出した。約
1.3:1のO2対SiH4の比を有する先行技術の膜に
対するFTIR分析の結果を図7に示す。図7で明らか
なように、膜がシリコンリッチであることを示すSi−
H結合の存在が検出された。約2.6:1のO2対Si
4の比を有するもう1つのシーズニング膜のFTIR
分析を図8に示す。図8で明らかなように、このシーズ
ニング膜にはSi−OH結合の存在が検出され、この膜
が酸素リッチであることが示された。図9は、本発明の
方法により、O2対SiH4の比が約1.8:1であるプ
ロセスガスから堆積された酸素リッチでもシリコンリッ
チでもないシーズニング膜のFTIR分析を示してい
る。
【0063】図9に示すように、堆積膜中に存在するS
i−H及びSi−OH結合の数は、図7及び図8で分析
された膜中のこれらの結合の数と比較してかなり減少し
ている。
【0064】上述したガス導入量と実験は、8インチウ
ェハ用に装備された、アプライドマテリアルズによって
製造されたUltima HDP−CVDチャンバにお
ける本発明のシーズニング層の形成に基づいている。当
業者が理解するように、他の実施形態でガスが導入され
る実際の流量は、異なった設計及び/又は容量の他のチ
ャンバが利用される場合変化する。また、上記のプロセ
スで列挙されたパラメータはここで説明されるように請
求項を制限するものではない。当業者はここで説明され
たもの以外のチャンバのパラメータと条件を使用するこ
とができる。すなわち、上記の説明は例示的であって制
限的ではない。単に例示方法としてのみ、本発明は、堆
積条件の安定化やより安定なシーズニング層の堆積を助
長する不活性ガスとしてアルゴンを使用したシーズニン
グ膜プロセスを特に参照して示した。ヘリウム等の、他
の不活性ガスを使用して、同様の結果を達成することが
可能である。更に、現在は好適ではないが、他の実施形
態では酸化ケイ素シーズニング層中にホウ素、燐等のド
ープ剤を含有することも可能である。従って、本発明の
範囲は、上記の説明を参照して決定されるべきものでは
なく、同等物の全範囲を有する添付請求項を参照して決
定されるべきでものある。
【図面の簡単な説明】
【図1】本発明による高密度化学気相堆積装置の一実施
形態の簡易図である。
【図2】図1の代表的CVD処理チャンバに関連して使
用されるガスリングの簡易断面図である。
【図3】図1の代表的CVD処理チャンバに関連して使
用されるモニタとライトペンの簡略図である。
【図4】図1の代表的CVD処理チャンバを制御するた
めに使用される代表的プロセス制御コンピュータプログ
ラムのフローチャートである。
【図5】本発明の方法の一実施形態によりシーズニング
膜を形成するために使用されるプロセスを示したフロー
チャートである。
【図6】基板処理中に発生する粒子の数に対するO2
SiH4流量比の影響を示したグラフである。
【図7】本発明の方法による利益を享有したものとしな
いものとの堆積シーズニング膜のFTIR分析を示した
グラフである。
【図8】本発明の方法による利益を享有したものとしな
いものとの堆積シーズニング膜のFTIR分析を示した
グラフである。
【図9】本発明の方法による利益を享有したものとしな
いものとの堆積シーズニング膜のFTIR分析を示した
グラフである。
【符号の説明】
10…HDP−CVD装置、13…チャンバ、14…ド
ーム、18…基板支持部材、19…基板受容部、33…
ガス送出装置、34A…第1ガス供給源、34B…第2
ガス供給源、34C…第3ガス供給源、34D…第4ガ
ス供給源、34E…クリーニングガス供給源、35A…
第1ガス流コントローラ、35A…第1ガス流コントロ
ーラ、35A’…第1ガス流コントローラ、35B…第
2ガス流コントローラ、35B’…第2ガス流コントロ
ーラ、35C…第3ガス流コントローラ、35D…第4
ガス流コントローラ、35D’…第4ガス流コントロー
ラ、37…ガスリング、38…ガス送出ライン、39…
ソースガスノズル、50…遠隔プラズマクリーニング装
置、70…真空装置、80A…ソースプラズマ装置、8
0B…バイアスプラズマ装置。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 チュー チャン アメリカ合衆国, カリフォルニア州, フォースター シティー, チェサピーク アヴェニュー 418 (72)発明者 ダイアナ チャン アメリカ合衆国, カリフォルニア州, サン ノゼ, チャームズ コート 3264 (72)発明者 シスィー エス. ルーン アメリカ合衆国, カリフォルニア州, フリーモント, パゴサ ウェイ 348 (72)発明者 ターグト サヒン アメリカ合衆国, カリフォルニア州, クパティノ, チャドウィック プレイス 11110

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 基板処理操作の前に、前記チャンバの内
    面の少なくとも一部分に酸化ケイ素膜を堆積するため
    に、シランと酸素とを含むシーズニングガスを、シラン
    1に対する酸素の流量比を約1.4以上で、前記チャン
    バに導入するステップを有する基板処理チャンバを操作
    する方法。
  2. 【請求項2】 前記酸化ケイ素膜が、前記シーズニング
    ガスにエネルギーを加えて、前記プロセスガスからプラ
    ズマを形成することによって堆積される請求項1に記載
    の方法。
  3. 【請求項3】 前記内面の少なくとも一部分がセラミッ
    ク材料を含む請求項2に記載の方法。
  4. 【請求項4】 前記シラン1に対する酸素の流量比が、
    約1.6〜2.2である請求項1に記載の方法。
  5. 【請求項5】 (a)内面を有する基板処理チャンバを
    提供するステップと、 (b)エッチャントガスを前記チャンバに導入すること
    によって前記チャンバの少なくとも1つの内面をクリー
    ニングするステップと、 (c)その後、酸素(O2)とシラン(SiH4)とを含
    むシーズニングガスを、シラン1に対する酸素の流量比
    を1.4〜2.4で前記チャンバに導入するステップ
    と、 (d)前記チャンバの前記少なくとも1つの内面の少な
    くとも一部分に、酸化ケイ素膜を堆積するために、前記
    シーズニングガスからプラズマを点火するステップと、 (e)その後、1以上の集積回路が形成された基板を前
    記チャンバに移送するステップと、 (f)その後、前記基板に層を堆積するために堆積ガス
    を前記チャンバに導入するステップと、 を含む1以上の集積回路を製造する方法。
  6. 【請求項6】 内面の前記少なくとも一部分がセラミッ
    ク材料を含む請求項5に記載の方法。
  7. 【請求項7】 前記シラン1に対する酸素の前記流量比
    が約1.6〜2.2である請求項6に記載の方法。
  8. 【請求項8】 請求項5に記載のプロセスによって形成
    される集積回路。
  9. 【請求項9】 内面を有する真空チャンバを形成してい
    るハウジングと、 基板を保持するための、前記ハウジング内に配置された
    基板保持器と、 シーズニングガスを前記真空チャンバに導入するための
    ガス送出装置と、 前記ガス送出装置を制御するためのコントローラと、 前記化学気相堆積リアクタ装置の操作を指示する、内部
    で具体化されたコンピュータ読取可能プログラムを有す
    るコンピュータ読取可能媒体を含む、前記コントローラ
    に接続されたメモリと、を備え、 前記コンピュータ読取可能プログラムが、 基板処理操作の前に、前記真空チャンバの前記内面の少
    なくとも一部分に酸化ケイ素膜を堆積するために、酸素
    とシランとを含むシーズニングガスを、シラン1に対す
    る酸素の流量比を1.4以上で前記真空チャンバに導入
    するための、前記ガス送出装置を制御する第1組の命令
    を備える基板処理装置。
  10. 【請求項10】 内面を有する真空チャンバを形成して
    いるハウジングと、 基板処理中に基板を保持するための、前記ハウジング内
    に配置された基板保持器と、 基板を前記真空チャンバの中に移送し、前記基板を前記
    基板保持器に配置するように構成された基板移送装置
    と、 プロセスガスを前記真空チャンバに導入するガス送出装
    置と、 前記真空チャンバに導入されたガスからプラズマを形成
    するように構成されたプラズマ発生装置と、 前記基板移送装置、前記ガス送出装置及び前記プラズマ
    発生装置を制御するコントローラと、 前記化学気相堆積リアクタ装置の操作を指示するため
    に、内部で具体化されたコンピュータ読取可能プログラ
    ムを有するコンピュータ読取可能媒体を含む、前記コン
    トローラに接続されたメモリと、を備え、 前記コンピュータ読取可能プログラムが、 前記真空チャンバの前記内面をクリーニングするよう
    に、エッチャントガスを前記真空チャンバに導入する前
    記ガス送出装置を制御するための第1組の命令と、 酸素とシランとを含むシーズニングガスを、シラン1に
    対する酸素の流量比を約1.4〜2.4で前記真空チャ
    ンバに導入する前記ガス送出装置を制御するための、前
    記第1組の命令の後で実行される第2組の命令と、 前記真空チャンバの前記内面の少なくとも一部分に酸化
    ケイ素膜を堆積するように、前記シーズニングガスから
    プラズマを形成する前記プラズマ発生装置を制御するた
    めの第3組の命令と、 1以上の集積回路が形成される基板を前記真空チャンバ
    に移送し、前記基板を前記基板保持器に配置する前記基
    板移送装置を制御するための、前記第2組及び第3組の
    命令の後で実行される第4組の命令と、 前記基板に層を堆積するように、堆積ガスを前記真空チ
    ャンバに導入する前記ガス送出装置を制御するための第
    5組の命令と、 を備える基板処理装置。
JP10180770A 1997-07-02 1998-06-26 Hdp−cvd装置内の粒子特性を改善するシーズニングプロセスにおける酸素対シランの比の制御 Pending JPH1167746A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US88723997A 1997-07-02 1997-07-02
US08/887239 1997-07-02

Publications (1)

Publication Number Publication Date
JPH1167746A true JPH1167746A (ja) 1999-03-09

Family

ID=25390739

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10180770A Pending JPH1167746A (ja) 1997-07-02 1998-06-26 Hdp−cvd装置内の粒子特性を改善するシーズニングプロセスにおける酸素対シランの比の制御

Country Status (5)

Country Link
EP (1) EP0892083B1 (ja)
JP (1) JPH1167746A (ja)
KR (1) KR100611610B1 (ja)
DE (1) DE69812239T2 (ja)
TW (1) TW416100B (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001291709A (ja) * 1999-11-24 2001-10-19 Applied Materials Inc Cvdプロセスチャンバ用ガス分配システム
JP2001313289A (ja) * 2000-01-28 2001-11-09 Applied Materials Inc 半導体ウエーハ処理システムの洗浄方法及び装置
JP2002203796A (ja) * 2000-07-07 2002-07-19 Applied Materials Inc 低温での高密度プラズマhdp−cvdによるアモルファスシリコン膜の堆積
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
JP2005507159A (ja) * 2001-10-15 2005-03-10 ラム リサーチ コーポレーション 調整可能なマルチゾーンガス噴射システム
JP2006294816A (ja) * 2005-04-08 2006-10-26 Tokyo Electron Ltd 成膜方法、成膜装置及びコンピュータプログラム
JP2006336114A (ja) * 1999-12-10 2006-12-14 Tokyo Electron Ltd 処理装置
CN100350569C (zh) * 2003-05-02 2007-11-21 东京毅力科创株式会社 处理气体导入机构和等离子体处理装置
JP2010510669A (ja) * 2006-11-17 2010-04-02 ラム リサーチ コーポレーション 高速ガス切り替えプラズマ処理装置
WO2010123707A3 (en) * 2009-04-20 2011-01-13 Applied Materials, Inc. Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls
JP2011517060A (ja) * 2008-02-25 2011-05-26 アプライド マテリアルズ インコーポレイテッド チャンバシーズニングによるプラズマ浸漬イオン注入プロセスおよびウェーハをデチャックするためのシーズニング層のプラズマ放電
JP2012506620A (ja) * 2008-10-21 2012-03-15 アプライド マテリアルズ インコーポレイテッド チャンバをクリーニングするプラズマ発生源とプロセス
JP2020514979A (ja) * 2017-01-05 2020-05-21 ジュスン エンジニアリング カンパニー リミテッド 透湿防止膜とその製造方法
JP2021527962A (ja) * 2018-06-22 2021-10-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体ウェハ処理におけるウェハ裏面損傷を最小化する方法

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3159187B2 (ja) * 1998-11-04 2001-04-23 日本電気株式会社 薄膜成膜方法
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP2002043224A (ja) * 2000-07-18 2002-02-08 Applied Materials Inc アダプター、チャンバ及びプラズマ処理装置
US6706336B2 (en) 2001-02-02 2004-03-16 Canon Kabushiki Kaisha Silicon-based film, formation method therefor and photovoltaic element
KR100825130B1 (ko) 2001-07-06 2008-04-24 어플라이드 머티어리얼스, 인코포레이티드 금속 에칭 공정 동안 플라즈마 에칭 챔버내에서 파티클을감소시키는 방법
KR100415441B1 (ko) * 2002-04-24 2004-01-24 주식회사 하이닉스반도체 반도체 소자의 절연막 증착 방법
TWI327761B (en) 2005-10-07 2010-07-21 Rohm & Haas Elect Mat Method for making semiconductor wafer and wafer holding article
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
KR100881493B1 (ko) * 2007-06-26 2009-02-05 주식회사 동부하이텍 반도체 소자의 층간 절연막 형성 방법
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
WO2011084752A2 (en) 2010-01-07 2011-07-14 Applied Materials, Inc. In-situ ozone cure for radical-component cvd
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
KR101325611B1 (ko) * 2013-04-10 2013-11-06 대광기업 주식회사 와이어 로프의 합성수지 성형장치 및 그 성형방법
CN104099582B (zh) * 2013-04-15 2016-10-19 中芯国际集成电路制造(上海)有限公司 一种减少炉管中颗粒的方法
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US20210340668A1 (en) * 2018-09-21 2021-11-04 Lam Research Corporation Method for conditioning a plasma processing chamber
CN110942974B (zh) * 2018-09-25 2023-06-09 长鑫存储技术有限公司 半导体结构的形成方法及在晶圆上形成氧化硅膜的方法
KR101975170B1 (ko) 2018-12-22 2019-08-23 이강일 철판 절곡 장치
KR101964465B1 (ko) 2018-12-23 2019-07-31 주식회사 경동엔지니어링 프레스 장치
KR20220092575A (ko) * 2019-11-01 2022-07-01 어플라이드 머티어리얼스, 인코포레이티드 감소된 결함의 증착 프로세스들
CN113889403A (zh) * 2021-12-08 2022-01-04 陕西亚成微电子股份有限公司 一种栅氧化层生长方法
KR102518514B1 (ko) 2022-06-20 2023-04-04 신승배 마그네틱 가이드 지그

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2708533B2 (ja) * 1989-03-14 1998-02-04 富士通株式会社 Cvd装置の残留ガス除去方法
US5221414A (en) * 1991-07-16 1993-06-22 Micron Technology, Inc. Process and system for stabilizing layer deposition and etch rates while simultaneously maintaining cleanliness in a water processing reaction chamber
JPH0533138A (ja) * 1991-07-30 1993-02-09 Fuji Electric Co Ltd 酸化膜の製造方法
JPH06280028A (ja) * 1993-03-29 1994-10-04 Anelva Corp プラズマ処理方法及び装置
US5424095A (en) * 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
US5736423A (en) * 1995-11-16 1998-04-07 Advanced Micro Devices, Inc. Method for depositing very thin PECVD SiO2 in 0.5 micron and 0.35 micron technologies
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
TW343356B (en) * 1996-05-13 1998-10-21 Applied Materials Inc Deposition chamber and method for depositing low dielectric films

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001291709A (ja) * 1999-11-24 2001-10-19 Applied Materials Inc Cvdプロセスチャンバ用ガス分配システム
JP2006336114A (ja) * 1999-12-10 2006-12-14 Tokyo Electron Ltd 処理装置
JP4689563B2 (ja) * 1999-12-10 2011-05-25 東京エレクトロン株式会社 プラズマ処理装置
JP2001313289A (ja) * 2000-01-28 2001-11-09 Applied Materials Inc 半導体ウエーハ処理システムの洗浄方法及び装置
JP2002203796A (ja) * 2000-07-07 2002-07-19 Applied Materials Inc 低温での高密度プラズマhdp−cvdによるアモルファスシリコン膜の堆積
US6846742B2 (en) 2001-02-08 2005-01-25 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
JP2005507159A (ja) * 2001-10-15 2005-03-10 ラム リサーチ コーポレーション 調整可能なマルチゾーンガス噴射システム
US10403475B2 (en) 2001-10-15 2019-09-03 Lam Research Corporation Tunable multi-zone gas injection system
CN100350569C (zh) * 2003-05-02 2007-11-21 东京毅力科创株式会社 处理气体导入机构和等离子体处理装置
JP2006294816A (ja) * 2005-04-08 2006-10-26 Tokyo Electron Ltd 成膜方法、成膜装置及びコンピュータプログラム
US7897205B2 (en) 2005-04-08 2011-03-01 Tokyo Electron Limited Film forming method and film forming apparatus
JP4720266B2 (ja) * 2005-04-08 2011-07-13 東京エレクトロン株式会社 成膜方法、成膜装置及びコンピュータプログラム
JP2010510669A (ja) * 2006-11-17 2010-04-02 ラム リサーチ コーポレーション 高速ガス切り替えプラズマ処理装置
KR101432850B1 (ko) * 2006-11-17 2014-09-23 램 리써치 코포레이션 고속 가스 스위칭 플라즈마 프로세싱 장치
JP2011517060A (ja) * 2008-02-25 2011-05-26 アプライド マテリアルズ インコーポレイテッド チャンバシーズニングによるプラズマ浸漬イオン注入プロセスおよびウェーハをデチャックするためのシーズニング層のプラズマ放電
JP2012506620A (ja) * 2008-10-21 2012-03-15 アプライド マテリアルズ インコーポレイテッド チャンバをクリーニングするプラズマ発生源とプロセス
CN102405511A (zh) * 2009-04-20 2012-04-04 应用材料公司 使用处理腔室壁上的硅涂层增强清除残余的氟自由基的方法
WO2010123707A3 (en) * 2009-04-20 2011-01-13 Applied Materials, Inc. Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls
JP2020514979A (ja) * 2017-01-05 2020-05-21 ジュスン エンジニアリング カンパニー リミテッド 透湿防止膜とその製造方法
JP2021527962A (ja) * 2018-06-22 2021-10-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体ウェハ処理におけるウェハ裏面損傷を最小化する方法

Also Published As

Publication number Publication date
KR19990013438A (ko) 1999-02-25
EP0892083A1 (en) 1999-01-20
EP0892083B1 (en) 2003-03-19
DE69812239T2 (de) 2003-12-04
KR100611610B1 (ko) 2006-10-24
TW416100B (en) 2000-12-21
DE69812239D1 (de) 2003-04-24

Similar Documents

Publication Publication Date Title
JPH1167746A (ja) Hdp−cvd装置内の粒子特性を改善するシーズニングプロセスにおける酸素対シランの比の制御
US7109114B2 (en) HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US6704913B2 (en) In situ wafer heat for reduced backside contamination
US7455893B2 (en) Staggered in-situ deposition and etching of a dielectric layer for HDP-CVD
US6846742B2 (en) Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
JP4237845B2 (ja) 最適なkのhdp−cvd処理のためのレシピステップのシーケンス化
US6121161A (en) Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US7036453B2 (en) Apparatus for reducing plasma charge damage for plasma processes
US6294466B1 (en) HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
JP2002141349A (ja) Hdp−cvdを用いて高いアスペクト比のギャップ充填を達成するためのガス化学サイクリング
JP2002198367A (ja) Hdp−cvdを使用してシリコンリッチ酸化物を堆積する制御された方法
JP2002057157A (ja) 銅ダマシン集積回路用hdp−fsg処理

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050527

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080828

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080902

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090224