JP2002141349A - Hdp−cvdを用いて高いアスペクト比のギャップ充填を達成するためのガス化学サイクリング - Google Patents

Hdp−cvdを用いて高いアスペクト比のギャップ充填を達成するためのガス化学サイクリング

Info

Publication number
JP2002141349A
JP2002141349A JP2001255150A JP2001255150A JP2002141349A JP 2002141349 A JP2002141349 A JP 2002141349A JP 2001255150 A JP2001255150 A JP 2001255150A JP 2001255150 A JP2001255150 A JP 2001255150A JP 2002141349 A JP2002141349 A JP 2002141349A
Authority
JP
Japan
Prior art keywords
gas
deposition
substrate
ratio
process chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001255150A
Other languages
English (en)
Other versions
JP4790170B2 (ja
Inventor
Michael Chiu Kwan
チュウ ワン マイケル
Eric Liu
リュー エリック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002141349A publication Critical patent/JP2002141349A/ja
Application granted granted Critical
Publication of JP4790170B2 publication Critical patent/JP4790170B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3327Coating high aspect ratio workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3347Problems associated with etching bottom of holes or trenches
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/911Differential oxidation and etching

Abstract

(57)【要約】 【課題】 少なくとも6:1のアスペクト比を有するギ
ャップの中に誘電膜を堆積するための方法及び装置を提
供する。 【解決手段】 堆積とエッチング条件の間に高密度プラ
ズマ化学気相堆積システムのガス化学をサイクリングす
ることによって、ギャップを実質的に100%充填し、
このような充填は、堆積段階中に堆積対スパッタリング
の比がある所定の限定以内にあるように前駆体ガスの流
速を調節することによって、達成される。

Description

【発明の詳細な説明】
(発明の背景)本発明は、基板上における集積回路の製
造に関し、特に、高密度プラズマ化学気相堆積技術のギ
ャップ充填能力を向上させるための方法及び装置に関す
る。
【0001】現代半導体デバイスの製造における主要な
ステップの1つは、ガスの化学反応によって、半導体基
板上に薄膜を形成することである。そのような堆積プロ
セスは、化学気相堆積("CVD")と呼ばれる。従来の熱C
VDプロセスでは、反応ガスが基板表面に提供され、そこ
で、熱誘導化学反応が発生して、所望の膜を生成する。
一方、プラズマ強化CVD("PECVD")技術では、基板
表面の近くの反応ゾーンに高周波("RF")エネルギーを
印可することによって、反応ガスの励起及び/或いは解
離を促進し、それによって、プラズマを生成する。プラ
ズマにおける核種の高反応性は、化学反応が発生するた
めに必要なエネルギーを減少し、これによって、従来の
熱CVDプロセスと比較して、そのようなCVDプロセス
のために必要な温度を下げる。これらの利点は、高密度
プラズマ(HDP)CVD技術によって、さらに開拓され
る。この技術では、プラズマ核種が一層より反応的にな
るように、密度の高いラズマが低真空圧で形成される。
【0002】これらのCVD技術のいずれも、集積回路の
製造中に、導電性或いは絶縁性膜を堆積するために、用
いられうる。集積回路におけるプリメタル或いはインタ
メタル誘電体層としての絶縁膜の堆積のような応用、或
いは浅いトレンチアイソレーションのために、CVD膜
の1つの重要な物性は、ギャップにボイドを残さず、隣
接する構造の間のギャップを完全に充填する能力であ
る。この特性は、膜のギャップ充填能力と称される。充
填を必要とする可能性があるギャップは、トランジスタ
ーゲートのような隣接する隆起構造或いはラインとエッ
チングされたトレンチ等との間のスペースを含む。
【0003】半導体デバイスの形状寸法は長年にわたっ
てサイズが減小していたので、ギャップの高さ対幅の比
率(所謂「アスペクト比」)は劇的に増大した。高アス
ペクト比と小さい幅の組み合せを持つギャップは、半導
体製造業者に、完全充填という挑戦を提示する。要する
に、この挑戦は、通常、ギャップが充填される前に、ギ
ャップを閉鎖するような、堆積膜の成長を防止するため
のものである。ギャップの完全充填の失敗は、結果とし
て、堆積膜におけるボイドの形成をもたらし、例えば、
望ましくない不純物を閉じ込めることによって、デバイ
ス操作に不利な影響を及ぼす可能性がある。
【0004】半導体産業は、このように、ギャップ充填
問題のような挑戦に取り組むために、新しい技術と新し
い膜堆積化学を開発しようと連続的に努力している。例
えば、数年前、幾つかの製造業者は、インタメタル誘電
性酸化シリコン層の堆積用シラン系化学からTEOS系(テ
トラエトキシシラン)化学に切り替えた。この切替え
は、少なくとも部分的に、TEOS系酸化物層の改善された
ギャップ充填能力によるものである。TEOS系化学は確か
に改善されたギャップ充填能力を有するが、十分に高い
アスペクト比及び小さいな幅のギャップを完全に充填す
ることが要求されるとき、それも限界にぶつかる。
【0005】TEOS系酸化シリコン堆積化学を含む様々な
異なる堆積プロセスのギャップ充填能力を向上させるた
めに、半導体業界が開発した1つのプロセスは、多段階
式堆積及びエッチングプロセスの使用である。そのよう
なプロセスは、多くの場合、堆積/エッチング/堆積プ
ロセスと称され、或いは「dep/etch/dep」と略称され
る。そのような堆積/エッチング/堆積プロセスは、ギ
ャップ充填層の堆積を2つ以上のステップに分けられ、
それらのステップはプラズマエッチングステップによっ
て分離される。プラズマエッチングステップは、第1の
堆積膜の上部コーナを、ギャップの側壁及び下部に堆積
された膜部分よりも、多くエッチングする。これによっ
て、早まってギャップを封鎖することが無く、次の堆積
ステップで、ギャップを充填することが可能になる。典
型的に、堆積/エッチング/堆積プロセスは、物理的ス
パッタリングと化学的エッチングとが組み合わせられた
「スパッタリング・エッチング」プロセスを用いる。そ
のような堆積/エッチング/堆積プロセスは、インサイ
チュ(in situ)プロセスにおける単一チャンバ或いは
(堆積又はエッチングのために単独で専用される別々の
チャンバである)マルチチャンバのいずれかを用いて、
行われることができる。一般に、任意の所定の堆積化学
について、標準的堆積ステップよりも、堆積/エッチン
グ/堆積プロセスの方が、より高いアスペクト比と小さ
い幅のギャップを充填するために用いられることができ
る。
【0006】HDP-CVDプロセスは、他のCVDプロセス
と同様なギャップ充填問題を共有することが予想されな
かった。これは、アルゴン或いは他のスパッタリング剤
が一般に、HDP-CVD堆積プロセス中にガス混合物に導入
されるからであり、さらに、RFバイアスの印加は、方向
性イオンを駆動する電位を提供するからでもある。堆積
ガスとスパッタリング剤との組み合せは、基板上の膜堆
積と成長する膜のスパッタリングを同時に行うプロセス
を生じる。そのため、HDP-CVD技術は、時々、同時堆積
/エッチングプロセスと呼ばれる。しかし、HDP-CVDプ
ロセスが、一般に、類似の非HDP-CVDプロセスに比べ
て、より良いギャップ充填能力を有するが、あるギャッ
プ幅について、充填できるギャップのアスペクト比に、
依然として限界が残されていることは、実践中でわかり
ました。例えば、0.15μmの幅及び4.5を越えるアスペク
ト比を有する直壁(straight-wall)ギャップの場合、HDP
-CVDによる誘電体ギャップ充填は成功しなかった。テー
パ状の壁を有する幾つかのギャップについて、ギャップ
充填限界は比較的高いアスペクト比でありうるが、それ
にもかかわらず上限が常に存在する。これは部分的に、
HDP-CVDプロセスのスパッタリング成分が等方性に行う
事実によるもので、堆積中に生成さらた成長膜の特徴的
ブレッドローフィング(breadloafing)形状のため、過剰
材料の異方性エッチング除去の方がより有利であろう。
【0007】先行技術HDP-CVDギャップ充填堆積技術に
関する上記問題を考慮して、HDP-CVDプロセスを用いて
ギャップを充填する新しい且つ改善された方法が望まれ
る。 (発明の概要)先行技術の不利点は、HDP-CVD環境内の
ガス化学サイクリングを実行するギャップ充填方法によ
って、克服される。ガス化学サイクリングは、基板上に
多数の段付表面の間に形成された一連のギャップを充填
する本発明の1つ特定の実施例を考えることによって、
理解されうる。この実施例では、一連のガスサイクリン
グステップを通して、プロセスチャンバの中で、誘電膜
を基板の上に堆積する。先ず、堆積ガスと不活性ガスソ
ースとを含むガス混合物がプロセスチャンバに提供され
る。高密度プラズマがこのガス混合物から生成されて、
基板上に若干の材料を堆積し、ボイドが形成される前
に、ギャップを部分的に充填する。その後、ガス化学が
サイクリングされ、それによって、基板を冷却する後
に、エッチングガスがプロセスチャンバ内に流入され、
ギャップの底部に既に存在する材料に影響を与えずに、
ギャップのトップでの材料を選択的に除去する。これ
は、ギャップを再開することによって、より多くの材料
が堆積されることができるため、ガス化学が再度サイク
リングされて、堆積ガスと不活性ガスとを含むガス混合
物が提供される。高密度プラズマは、このガス混合物か
ら生成されて、ギャップ内に追加材料を堆積する。ギャ
ップの特性によって、ギャップを十分に充填することが
可能であり、幾つかのギャップの場合、付加のエッチン
グ及び堆積サイクルを有するガス化学の連続したサイク
リングは、これらのギャップを完全に充填するために用
いられてもよい。
【0008】HDPサイクルの混合された堆積とスパッタ
リングの特性は、堆積対スパッタリングの比(正味堆積
速度とブランケットスパッタリングとの合計対ブランケ
ットスパッタリング速度の比である有用なパラメータ)
によって特徴づけられてもよい。発明の1つの実施例に
おいて、第1のHDPサイクルは、5から20、好ましく、9
から15の範囲にある堆積/スパッタリング比で実行され
る。各次のHDP堆積サイクル用堆積/スパッタリング比
も、好ましく、5〜20の範囲内にある。1つの実施例に
おいて、第2の堆積/スパッタリング比は、第1の堆積
ステップの比より小さい。幾つかの実施例において、エ
ッチングガスが遠隔に解離されたエッチング原子として
提供されてもよいが、他の実施例では、エッチングガス
がインサイチュ(in situ)で提供され、それによって、
単一のチャンバによる連続プロセスを可能にする。解離
されたエッチング原子は、好ましく、フッ素原子であ
り、1つの実施例では、NF3によって提供される。
【0009】本発明の方法は、基板処理システムの操作
を指示するためのコンピュータ読取り可能なプログラム
が含まれているコンピュータ読取り可能な記憶媒体に包
含されてもよい。そのようなシステムは、プロセスチャ
ンバと、プラズマ生成システムと、基板ホルダと、ガス
配送システムとシステムコントローラとを含んでもよ
い。コンピュータ読取り可能なプログラムは、上記実施
例のように、プロセスチャンバ内に配置された基板の上
に薄膜を形成するために、基板処理システムを操作する
ための指示を含む。
【0010】本発明の性質及び利点に関する更なる理解
は、明細書の残りの部分及び図面の参照よって、実現さ
れるだろう。 (実施例) I.紹介 本発明の実施例は、基板上に誘電膜を堆積するための方
法及び装置に向けられる。一定の幅を有するギャップに
ついて、HDP-CVD環境内のガス化学サイクリングを用い
るこれらの実施例は、増加されたアスペクト比でも、実
質的に100%のギャップ充填で、誘電膜を堆積させること
を可能にする。例えば、0.15μmのギャップの場合、
6:1のような大きいアスペクト比で、実質的に100%の
ギャップ充填が実現された。ガス化学サイクリングのエ
ッチング段階は、インサイチュで容易に行われることが
でき、よって、全体堆積が連続プロセスによって続行さ
れることが可能にする。 II.例示的な基板処理システム 図1Aは、高密度プラズマ化学気相堆積法(HDP-CVD)シ
ステム10の1つの実施例を図示し、ここで、本発明によ
る誘電層が堆積されうる。システム10は、チャンバ13
と、真空システム70と、ソースプラズマシステム80A
と、バイアスプラズマシステム80Bと、ガス配送システ
ム33と、遠隔プラズマクリーニングシステム50とを含
む。
【0011】チャンバ13の上部は、酸化アルミニウム或
は窒化アルミニウムのようなセラミック誘電材料からか
るドーム14を含む。ドーム14は、プラズマ処理領域16の
上境界を画成する。プラズマ処理領域16は底部で基板17
の上表面及び基板支持部材18によって制限される。
【0012】ヒータプレート23及びコールドプレート24
が、ドーム14の上に置かれ、且つドーム14に熱的に接続
されている。ヒータプレート23とコールドプレート24
は、約100℃から200℃の範囲にわたって、ドーム温度を
約±10℃以内に制御することを可能にする。これは、様
々なプロセスのためにドーム温度を最適化することを可
能にする。例えば、清浄或はエッチングプロセスの場合
のドーム温度を、堆積プロセスの場合よりも高い温度に
維持するのは望ましいであろう。ドーム温度の正確な制
御は、また、チャンバにおけるフレークまたは粒子カウ
ントを減少し、堆積層と基板との間の粘着力を向上させ
る。
【0013】チャンバ13の下部は、チャンバを真空シス
テムに連結させる主体部材22を含む。基板支持部材18の
基部21は、主体部材22に取付けられて、主体部材22とと
もに連続内部表面を形成する。基板は、チャンバ13の側
部にある挿入/除去口(図示せず)を通して、ロボット
ブレード(図示せず)によって、チャンバ13内に又はチ
ャンバ13から搬送される。リフトピン(図示せず)は、
モータ(図示せず)の制御下に、上昇され且つその後降
下されて、基板を上部のローディング位置57でのロボッ
トブレートから下部の処理位置56に移動させて、ここ
で、基板が基板支持部材18の基板受け部19上に置かれ
る。基板受け部19は、基板処理中に基板を基板支持部材
18に固定させる静電気チャック20を含む。好ましい実施
例において、基板支持部材18は、酸化アルミニウムまた
はアルミニウムセラミック材料からなる。
【0014】真空システム70は、スロットル主体25を含
み、スロットル主体25は、ツインブレードスロットルバ
ルブ26を収容し、ゲートバルブ27及びターボ分子ポンプ
28に取付けられる。スロットル主体25はガス流に極小な
阻害を与え、対称ポンピングを許す点に留意されたい。
ゲートバルブ27はポンプ28をスロットル主体25から隔離
することができ、また、スロットルバルブ26が完全に開
いているとき、排気流容量を制限することによって、チ
ャンバ圧力を制御することができる。スロットルバル
ブ、ゲートバルブ、及びターボ分子ポンプの配置は、約
1ミリトールから約2トールの間のチャンバ圧力の正確
且つ安定な定制御を可能にする。
【0015】ソースプラズマシステムは80Aは、ドーム1
4上に取付けられたトップコイル29とサイドコイル30と
を含む。対称グラウンドシールド(図示せず)は、コイ
ル間の電気的結合を減らす。トップコイル29は、トップ
ソースRF(SRF)発生器31Aによってパワーが供給される
が、サイドコイル31は、サイドSRF発生器31Bによってパ
ワーが供給されるので、各コイルに独立なパワーレベル
および操作周波数を可能にする。このデュアルコイルシ
ステムは、チャンバ13におけるラジアルイオン密度の制
御を可能し、それによって、プラズマ均一性を改善す
る。サイドコイル30とトップコイル29は、典型的に、コ
ンプリメンタリ電極を必要せずに、誘導的に駆動され
る。特定の実施例において、トップソースRF発生器31A
は、公称2MHzで2,500ワットまでを提供し、サイドソー
スRF発生器31Bは、通常2MHzで5,000ワットまでを提供す
る。プラズマ生成効率を向上するために、トップ及びサ
イドRF発生器の操作周波数は、公称操作周波数(例え
ば、それぞれ1.7-1.9MHzと1.9-2.1MHz)からオフセット
されてもよい。
【0016】バイアスプラズマシステム80Bは、バイア
スRF("BRF")発生器31Cとバイアスマッチングネットワーク
32Cとを含む。バイアスプラズマシステム80Bは、コンプ
リメンタリ電極として動作する本体部材22に基板部17を
容量的に結合する。バイアスプラズマシステム80Bは、
ソースプラズマシステム80Aによってつくられたプラズ
マ核(例えばイオン)の基板表面への搬送を強化するた
めに働く。特定の実施例において、バイアスRF発生器
は、13.56MHzで5,000ワットまでのRFパワーを提供す
る。
【0017】RF発生器31A及び31Bは、デジタルで制御さ
れたシンセサイザを含み、約1.8から約2.1MHzの間の周
波数範囲にわたって動作する。当業者に理解されるよう
に、各発生器は、RF制御回路(図示せず)を含み、チャ
ンバとコイルから反射されて発生器へ戻るパワーを測定
し、操作の周波数を調整して、最低の反射パワーを得
る。RF発生器は、典型的に、50オームの特性インピーダ
ンスを有するロードに操作するように、設計されてい
る。RFパワーは、発生器と異なる特性インピーダンスを
有するロードから反射される可能性がある。これは、ロ
ードに移送されるパワーを減らすことができる。さら
に、ロードから反射され発生器に戻るパワーは、オーバ
ーロードであって、発生器に損害を与える可能性があ
る。プラズマのインピーダンスは、ほかの要素におい
て、プラズマイオン密度によって、5オーム未満から900
オーム超えるまでの範囲に変動する可能性があり、さら
に、反射されたパワーは周波数の関数でありうるので、
反射されたパワーに従って発生器周波数を調節すること
は、RF発生器からプラズマに搬送されるパワーを増加
し、発生器を保護する。反射パワーを減少し効率を向上
するもう1つの方法は、マッチングネットワークを用い
ることである。
【0018】マッチングネットワーク32Aと32Bは、発生
器31A及び31Bの出力インピーダンスを、それぞれのコイ
ル29及び30と整合させる。RF制御回路は、マッチングネ
ットワーク内のコンデンサの値を変化させることによっ
て、両方のマッチングネットワークを調整して、ロード
が変化する際に、発生器をロードに整合させてもよい。
ロードから反射されて発生器に戻るパワーはある限界を
超えるとき、RF制御回路はマッチングネットワークを調
整してもよい。一定の整合を提供し、効果的にRF制御回
路がマッチングネットワークを調整するのをできないよ
うにするための1つの方法は、反射パワー限界を反射パ
ワーのあらゆる予想される値以上に設定することであ
る。これは、マッチングネットワークをその最も最近の
条件で維持することによって、幾つかの条件下で、プラ
ズマを安定させるのを手伝うことが可能である。
【0019】他の手段も、プラズマを安定させるのを助
ける可能性がある。例えば、RF制御回路は、ロード(プ
ラズマ)に配送られるパワーを決定するために用いられ
ることができ、発生器出力パワーを増大或いは減小し
て、層の堆積中に、配送されるパワーを実質的に一定に
維持することが可能である。
【0020】ガス配送システム33は、幾つかのソース34
A-34Fから、(1つだけ図示されている)ガス配送ライ
ン38を介して、基板処理用チャンバにガスを提供する。
当業者に理解されるように、ソース34A-34Fのために用
いられる実際のソースと、チャンバ13への配送ライン38
の実際の接続は、チャンバ13内に実行される堆積及び清
浄プロセスに従いて、変化する。ガスは、ガスリング37
及び/或はトップノズル45を通してチャンバ13内に導入
される。図1Bは、ガスリング37の付加詳細を示するチャ
ンバ13の概略部分断面図である。
【0021】1つの実施例において、第1及び第2のガ
スソース34A及び34Bと、第1及び第2のガス流コントロ
ーラ35A'及び35B'とは、(幾つかのみ図示されている)
ガス配送ライン38を介して、ガスリング37の中のリング
プリナム36にガスを提供する。基板にわたって均一なガ
ス流を提供するために、ガスリング37は複数のソースガ
スノズル39を含み、(その内1つだけが、説明のために
図示されている)。個々のチャンバにおける特別なプロ
セス用均一性プロフィル及びガス利用効率の調整を可能
にするために、ノズル長さ及びノズル角が変化されても
よい。好ましい実施例では、ガスリング37が、酸化アル
ミニウムセラミックからなる12個のソースガスノズルを
有する。
【0022】ガスリング37は、また、(1つだけ図示さ
れている)複数の酸化剤ガスノズル40を有し、好ましい
実施例では、これらのノズルは同一平面上にあり、ソー
スガスノズル39より短く、1つの実施例では、本体プリ
ナム41からガスを受け取る。幾つかの実施例において、
ガスをチャンバ13内に噴射する前に、ソースガスと酸化
剤ガスとを混ぜないことは望ましい。他の実施例におい
て、本体プリナム41とガスリングプリナム36の間にアパ
ーチャ(図示せず)を提供することによって、ガスをチ
ャンバ13内に噴射する前に、酸化剤ガスとソースガスと
が混合されてもよい。1つの実施例において、第3及び第
4のガスソース34C及び34Dと、第3及び第4のガス流コン
トローラ35C'及び35D'とは、ガス配送ライン38を通し
て、本体プリナムにガスを提供する。43Bのような付加
バルブは(他のバルブが図示されていない)、ガス流コ
ントローラからチャンバへのガスを遮断してもよい。
【0023】可燃の、有毒或は腐食性のガスが用いられ
る実施例において、堆積後、ガス配送ラインの中に残っ
ているガスを除去することは、望ましいであろう。これ
は、例えば、バルブ43Bのようなスリーウェイ(3-way)バ
ルブを用いて、配送ライン38Aからチャンバ13を隔離
し、配送ライン38Aを真空フォアライン44にガス抜きす
る(vent)ことによって、達成されうる。図1Aに示される
ように、他の類似したバルブ(例えば43Aと43C)は、他
のガス配送ライン上に取り入れられてもよい。(スリー
ウェイバルブとチャンバとの間で)ガス抜きされていな
いガス配送ラインの容積を最小にするために、このよう
なスリーウェイバルブは、実務的可能の限りチャンバ13
の近くに位置してもよい。さらに、ツーウェイ(2-way)
(オン/オフ)バルブ(図示せず)は、マスフローコン
トローラ("MFC")とチャンバの間、或はガスソースとM
FCの間に設置されてもよい。
【0024】再び図1Aを参照すると、チャンバ13は、ま
た、トップノズル45とトップベント46をもつ。トップノ
ズル45とトップベント46は、ガスのトップ及びサイド流
れの独立した制御を可能にし、これは、膜均一性を改善
し、膜堆積及びドーピングパラメーターの細密調整を可
能にする。トップベント46は、トップノズル45のまわり
の環状開口である。1つの実施例において、第1のガス
ソース34Aは、ソースガスノズル39とトップノズル45を
供給する。ソースノズルMFC 35A'は、ソースガスノズル
39に配送されるガスの量を制御し、トップノズルMFC35A
は、トップガスノズル45に配送されるガスの量を制御す
る。同様に、2つのMFC 35Bと35B'は、ソース34Bのよう
な単一の酸素ソースからトップベント46及び酸化剤ガス
ノズル40の両方への酸素流を制御するために用いられて
もよい。トップノズル45とトップベント46に供給される
ガスは、チャンバ13に流入する前に別々に維持されても
よく、或は、チャンバ13に流入する前にトッププリナム
48において混合されてもよい。同じガスの別々のソース
を用いて、チャンバの異なる部分に供給してよい。
【0025】遠隔マイクロ波生成プラズマクリーニング
システム50は、チャンバ構成部品から堆積残留物を定期
的に清浄するために、提供される。クリーニングシステ
ムは、リアクタキャビティ53においてクリーニングガス
ソース34E(例えば、分子フッ素、三フッ化窒素、他の
フルオロカーボン或はそれらに相当するもの)からプラ
ズマを生成する遠隔マイクロ波発生器51を含む。このプ
ラズマから生じる反応種は、アプリケータチューブ55を
介しガスフィードポート54を通過して、チャンバ13に搬
送される。クリーニングプラズマを包容するために用い
られる材料(例えば、キャビティ53及びアプリケータチ
ューブ55)は、プラズマによる攻撃に対して、抵抗性が
なければならない。望ましいプラズマ種の濃度は、リア
クタキャビティ53からの距離によって低下する可能性が
あるので、リアクタキャビティ53とフィードポート54の
間の距離は、実際上可能の限り、なるべく短くすべきで
ある。遠隔キャビティの中でクリーニングプラズマを生
成することは、効率的なマイクロ波発生器の使用を可能
にし、チャンバ構成部品を、インサイチュで形成される
プラズマに存在しうるグロー放電の衝撃、温度或は放射
にさらさない。従って、静電チャック20のような比較的
敏感な構成部品は、ダミーウェーハでカーバされる或は
他の手段によって保護される必要がなく、これらの保護
はインサイチュのプラズマクリーニングプロセスで要求
される可能性がある。1つの実施例において、このクリ
ーニングシステムが用いられて、遠隔的にエッチングガ
スの原子を解離した後に、プロセスチャンバ13に供給す
る。もう1つの実施例において、エッチングガスは、プ
ロセスチャンバ13に直接提供される。さらにもう1つの
実施例において、マルチプルプロセスチャンバが用いら
れて、堆積及びエッチングステップは別々のチャンバの
中で行われる。
【0026】システムコントローラ60は、システム10の
操作を制御する。好ましい実施例では、コントローラ60
は、ハードディスクドライブのようなメモリ62、フロッ
ピーディスクドライブ(図示せず)、及びプロセッサ61
に接続されたカードラック(図示せず)を含む。カード
ラックは、シングルボードコンピュータ(SBC)(図示
せず)、アナログデジタル入出力ボード(図示せず)、
インターフェースボード(図示せず)、及びステッパモ
ーターコントローラボード(図示せず)を含んでもよ
い。システムコントローラは、ボード、カードケージ及
びコネクタ寸法とタイプを定義するVersa Modular Euro
pean(「VME」)標準に従う。VME標準は、16ビットデ
ータバスと24ビットアドレスバスを有することとしてバ
ス構造も定義する。システムコントローラ31は、ハード
ディスクドライブに保存されるコンピュータプログラム
の制御下、またはリムーバブルディスクに保存されてい
るプログラムのような他のコンピュータプログラムを介
して、動作する。コンピュータプログラムは、例えば、
特定のプロセスのタイミング、ガスの混合物、RFパワー
レベル及び他のパラメータを、命令する。ユーザーとシ
ステムコントローラとの間のインタフェースは、図1C
に示される陰極線管(「CRT」)65のようなモニタ、及
びライトペン66を介する。
【0027】図1Cは、図1Aの例示的なCVDプロセスチャ
ンバとともに用いられる例示的なシステムユーザインタ
フェースの一部を示す。システムコントローラ60は、コ
ンピュータ読取り可能なメモリ62に接続されているプロ
セッサ61を含む。メモリ62は、好ましく、ハードディス
クドライブであってもよいが、メモリ62はROM、PROM等
のような他の種類のメモリであってもよい。
【0028】システムコントローラ60は、メモリ62内の
コンピュータ読取り可能なフォーマットに保存されてい
るコンピュータプログラム63の制御下に動作する。コン
ピュータプログラムは、特定のプロセスのタイミング、
温度、ガス流、RFパワーレベル及び他のパラメータを命
令する。ユーザーとシステムコントローラとの間のイン
タフェースは、図1Cに示されるようなCRTモニタ65及び
ライトペン66を介する。好ましい実施例では、2つのモ
ニタ65と65A、及び2本のライトペン66と66Aが使用され
て、1つ(65)はオペレータ用にクリーンルームの壁に設
けられ、もう1つ(65A)はサービス技術者用に壁の後に
設けられる。両方のモニタは同時に同じ情報を表示する
が、1本のライトペン(例えば66)だけを使用すること
も可能である。特定の画面或は機能を選ぶために、オペ
レータは表示画面のエリアをタッチして、ペン上のボタ
ン(図示せず)を押す。タッチされたエリアは、例え
ば、その色を変えて或は新しいメニューを表示すること
で、ライトペンによって選ばれることを確認する。
【0029】コンピュータプログラムコードは、68000
アセンブリ言語、C、C++またはPascaのような任意の従
来のコンピュータ読取り可能なプログラミング言語で書
かれることができる。適当なプログラムコードは、従来
のテキストエディターを用いて、単一ファイルまたは複
数ファイルに入力され、そして、コンピュータのメモリ
システムのようなコンピュータ使用可能な媒体に保存或
は包含される。入力されたコードテキストは高級言語で
ある場合、コードはコンパイルされて、その後、結果の
コンパイラーコードはプリーコンバイルされた(precomp
iled)ウィンドウズライブラリールーチンのオブジェク
トコードにリンクされる。リンクされたコンバイルオブ
ジェクトコードを実行するために、システムユーザー
は、コンピュータシステムがコードをメモリにロードす
るように、オブジェクトコードを起動する。CPUは、メ
モリからのコードを読取り、コードを実行して、プログ
ラムで識別されるタスクを実行する。
【0030】図1Dは、コンピュータプログラム100の階
層的なコントロール構造の説明図である。ユーザーは、
CRTモニタ上に表示されているメニュー或は画面に反応
して、ライトペンインタフェースを用いて、プロセスセ
ットナンバー及びチャンバナンバーをプロセスセレクタ
サブルーチン110に入力する。プロセスセットは、特定
のプロセスを実行するために必要なプロセスパラメータ
の所定セットであって、あらかじめ規定されたセットナ
ンバーによって識別される。プロセスセレクタサブルー
チン110は、(i)マルチチャンバシステムの中の所望のプ
ロセスチャンバ、及び(ii)所望のプロセスを行うために
プロセスチャンバを操作するのに必要なプロセスパラメ
ータの所望のセットを識別する。特定のプロセスを実行
するためのプロセスパラメータは、プロセスガスの組成
と流速、温度、圧力、RFパワーレベルのようなプラズマ
条件、及びチャンバドーム温度のような条件に関連し、
レシピの形でユーザーに提供される。レシピによって指
定されるパラメータは、ライトペン/CRTモニタインタ
ーフェースを利用して入力される。
【0031】プロセスをモニターするためのシグナル
は、システムコントローラ60のアナログとデジタル入力
ボードによって提供されて、プロセスを制御するための
シグナルは、システムコントローラ60のアナログとデジ
タル出力ボードに出力される。
【0032】プロセスシーケンササブルーチン120は、
プロセスセレクタサブルーチン110から識別されたプロ
セスチャンバ及びプロセスパラメータのセットを受け入
れ、種々のプロセスチャンバの操作を制御するためのプ
ログラムコードを備える。複数のユーザが、プロセスセ
ットナンバー及びプロセスチャンバナンバーを入力する
ことができ、或は、ひとりのユーザーが、複数のプロセ
スセットナンバー及びプロセスチャンバナンバーを入力
することもできる。シーケンサーサブルーチン120は、
望まれるシーケンスの中に選ばれたプロセスをスケジュ
ーリングする。シーケンサーサブルーチン120は、好ま
しく、(i)プロセスチャンバの操作をモニターして、チ
ャンバが使用中であるか否かを決定するステップと、(i
i)使用されているチャンバにおいてどんなプロセスが行
われているのかを決定するステップと、(iii)プロセス
チャンバの利用可能性と行われるべきプロセスのタイプ
に基づいて、所望のプロセスを実行するステップとを実
行するためのプログラムコードを含む。ポーリングのよ
うな、プロセスチャンバをモニターする従来の方法を用
いることができる。どのプロセスが実行されるべきかを
スケジューリングするとき、シーケンササブルーチン12
0は、各特定のユーザ入力リクエストの「エイジ(ag
e)」、或は選択されたプロセスのための所望のプロセス
条件と比較する使用中のプロセスチャンバの現在条件、
或はスケジューリング優先順位を決定するために、シス
テムプログラマが含むことを望んでいる他の関連要素
を、考慮して設計されることができる。
【0033】シーケンササブルーチン120が、次にどの
プロセスチャンバとプロセスセットとの組み合せを実行
すべきのかを決定した後、シーケンササブルーチン120
は、特定のプロセスセットパラメータをチャンバマネー
ジャサブルーチン130A-Cにパス(pass)することによっ
て、プロセスセットの実行を開始し、チャンバマネジャ
サブルーチン130A-Cは、シーケンササブルーチン120に
よって送られたプロセスセットに従って、チャンバ13及
び(場合によって)他のチャンバ(図示せず)における複
数の処理タスクを制御する。
【0034】チャンバコンポーネントサブルーチンの例
は、基板位置決定サブルーチン140、プロセスガス制御
サブルーチン150、圧力制御サブルーチン160、及びプラ
ズマ制御サブルーチン170である。チャンバ13の中で実
行すべきプロセスとして選ばれたプロセスによって、他
のチャンバ制御サブルーチンを含むこともできること
が、当業者に認められる。操作中に、チャンバマネージ
ャサブルーチン130Aは、実行されている特定のプロセス
セットに従って、プロセスコンポーネントサブルーチン
を選択的にスケジューリングする或は呼び出す。シーケ
ンササブルーチン120がプロセスチャンバとプロセスセ
ットを実行するようにスケジューリングすると同じ方法
で、チャンバマネージャサブルーチン130Aは、プロセス
コンポーネントサブルーチンをスケジューリングする。
典型的に、チャンバマネージャサブルーチン130Aは、種
々のチャンバコンポーネントをモニターするステップ
と、実行されるべきプロセスセット用プロセスパラメー
タに基づいて、どのコンポーネントが操作される必要が
あるかについて決定するステップと、上記モニタと決定
ステップに対応して、チャンバコンポーネントサブルー
チンの実行を引き起すステップとを含む。
【0035】以下、特定のチャンバコンポーネントサブ
ルーチンの操作が、図1Aと1Dを参照して記述される。
基板位置決定サブルーチン140は、基板支持部材18の上
に基板を載せるために用いられるチャンバコンポーネン
トを制御するためのプログラムコードを含む。基板位置
決定サブルーチン140は、また、他の処理が完成した後
に、マルチチャンバシステムにおけるプラズマ強化CV
D("PECVD")リアクタ或は他のリアクタからチャンバ1
3内に基板を搬送するのを制御してもよい。
【0036】プロセスガス制御サブルーチン150は、プ
ロセスガス組成と流速を制御するためのプログラムコー
ドを有する。サブルーチン150は、安全シャットオフバ
ルブの開閉位置を制御し、また、マスフロコントローラ
を上げ(ramps up)/下げて(ramps down)所望のガス流速
を得る。プロセスガス制御サブルーチン150を含む全て
のチャンバコンポーネントサブルーチンは、チャンバマ
ネージャーサブルーチン13Aによって起動される。サブ
ルーチン150は、チャンバマネージャーサブルーチン13A
から、所望のガス流速と関連するプロセスパラメータを
受け取る。
【0037】典型的に、プロセスガス制御サブルーチン
150は、ガス供給ラインを開けて、繰り返して(i)必要
なマスフロコントローラを読取り、(ii)その読取りをチ
ャンバマネージャーサブルーチン13Aから受取った所望
の流速と比較し、(iii)必要に応じて、ガス供給ライン
の流速を調節する。さらに、プロセスガス制御サブルー
チン150は、不安全な流速についてガス流速をモニター
するステップと、不安全な条件が検出されたとき、安全
シャットオフバルブを起動させるステップとを含めても
よい。
【0038】幾つかの処理において、反応性プロセスガ
スが導入される前に、チャンバ内の圧力を安定させるた
めに、アルゴンのような不活性ガスがチャンバ13内に流
入される。これらのプロセスのために、プロセスガス制
御サブルーチン150は、チャンバ内の圧力を安定させる
ために必要な時間量で、不活性ガスをチャンバ13内に流
入されるステップを含むように、プログラムされる。上
記のステップはその後に実行されてもよい。
【0039】さらに、プロセスガスが液体前駆体(例え
ばテトラエチロルオルトシラン(TEOS))から気化され
るべき場合、プロセスガス制御サブルーチン150は、バ
ブラアセンブリの中で液体前駆体を通してヘリウムのよ
うな配送ガスをバブリングする、或は液体噴射バルブに
ヘリウムを導入するステップを含んでもよい。このタイ
プのプロセスについて、プロセスガス制御サブルーチン
150は、所望のプロセスガス流速を得るために、配送ガ
スの流れ、バブラ内の圧力及びバブラ温度を調節する。
上述のように、所望のプロセスガス流速は、プロセスパ
ラメータとして、プロセスガス制御サブルーチン150に
伝送される。
【0040】さらに、プロセスガス制御サブルーチン15
0は、一定のプロセスガス流速のために必要な値を含ん
で保存されたテーブルにアクセスすることによって、所
望のプロセスガス流速に必要な配送ガス流速、バブラ圧
力及びバブラ温度を得るためのステップを含む。一旦必
要な値が得られると、配送ガス流速、バブラ圧力及びバ
ブラ温度がモニターされて、必要な値と比較され、それ
に応じて調節される。
【0041】プロセスガス制御サブルーチン150は、ま
た、独立のヘリウム制御(IHC)サブルーチン(図示せ
ず)によって、ウェーハチャックの内側及び外側通路を
通して、ヘリウムのような熱伝送ガスの流れを制御して
もよい。ガス流は、基板をチャックに熱的に連結させ
る。典型的なプロセスにおいて、ウェーハは層を形成す
る化学反応とプラズマによって加熱され、Heは、水冷却
されてもよいチャックを通して基板を冷却する。これ
は、基板を、基板上にすでに存在するフィーチャに損害
を与える可能性がある温度以下に、維持する。
【0042】圧力制御サブルーチン160は、チャンバの
排気部におけるスロットルバルブ26の開度サイズを調整
することによって、チャンバ13内の圧力を制御するため
のプログラムコードを含む。スロットルバルブを用いて
チャンバを制御するには、少なくとも2つの基本方法が
ある。第1の方法は、他のものの中に、全プロセスガス
流、プロセスチャンバのサイズ及びポンピング容量に関
連するものとして、チャンバ圧力を特徴づけることに依
存する。第1の方法は、スロットルバルブ26を固定位置
にセットする。スロットルバルブ26を固定位置にセット
することは、最終的に、定常状態圧力を生じる。
【0043】代わりに、チャンバ圧力は、例えば、マノ
メータによって測定されてもよく、また、制御ポイント
が、ガス流と排気容量とによってセットされた境界内に
あると仮定して、スロットルバルブ26の位置は、圧力制
御サブルーチン160に従って調節されてもよい。前者の
方法では、後者の方法と関連する測定、比較および計算
が実行されないので、より速いチャンバ圧力変化を生じ
る。チャンバ圧力の正確な制御が必要でない場合、前者
の方法が望ましいであろうが、層の堆積中のように、正
確な、繰り返すことができ、且つ安定した圧力が望まれ
る場合、後者の方法が望ましいであろう。
【0044】圧力制御サブルーチン160が起動されると
き、所望の或はターゲット圧力レベルが、チャンバマネ
ージャーサブルーチン13Aからのパラメータとして受取
られる。圧力制御サブルーチン160は、チャンバに接続
している1つ以上の従来の圧力マノメータを読むことに
よって、チャンバ13内の圧力を測定し、測定された値を
ターゲット圧力と比較し、ターゲット圧力に対応する保
存された圧力テーブルから比例、積分及び微分(PID)
値を得て、圧力テーブルから得られたPID値に従って、
スロットルバルブ26を調節する。代わりに、圧力制御サ
ブルーチン160は、スロットルバルブ26を特定の開度サ
イズに開閉して、チャンバ13内の圧力を所望の圧力或は
圧力範囲に調整してもよい。
【0045】プラズマ制御サブルーチン170は、RF発生
器31Aと31Bの周波数及びパワー出力設定を制御し、マッ
チングネットワーク32Aと32Bをチューニングするための
プログラムコードを備える。前記のチャンバコンポーネ
ントサブルーチンと同様に、プラズマ制御サブルーチン
170は、チャンバマネージャーサブルーチン130Aによっ
て起動される。
【0046】上記の幾つかの或は全てのサブシステム及
びルーチンが取り込まれてもよいシステムの1つの例
は、本発明を行うために、カリフォルニアのサンタクラ
ラにあるアプライド・マテリアルズ・インコーポレイテ
ッドによって製造されたULTIMA(tm)システムである。そ
のようなシステムの更なる詳細は、1996年7月15日に出
願されて、同様にアプライド・マテリアルズ・インコー
ポレイテッドに譲渡された、現在係属中の米国特許出願
第08/679927号(発明の名称:「対称的、調整可能な誘
導結合HDP-CVDリアクタ(Symmetric Tunable Inductivel
y-Coupled HDP-CVD Reactor)」、共同発明者:Fred C.
Redeker, Farhad Moghadam, Hirogi Hanawa, Tetsuya I
shikawa, Dan Maydan, Shijian Li, Brian Lue, Robert
Steger, Yaxin Wang, Manus Wong及びAshok Sinha」に
記載されている。その記載は、ここに参照として取り込
まれる。記載されたシステムは、例示的な目的だけのた
めである。当業者にとって、適切な従来の基板処理シス
テムとコンピュータ制御システムを選択して本発明を実
行するのは、ルーチン的な技能事項である。 III.本発明によるガス化学サイクリング 本発明は、ガス化学サイクリング手順をHDP-CVDプロセ
スに組み込む。このようなガス化学サイクリングを有し
ない場合、HDP-CVDプロセスのギャップ充填能力は、プ
ロセスの同時堆積とスパッタリング特性との緊密なバラ
ンスに依存する:プロセスが堆積によって支配される場
合、堆積される材料がカスプを形成する(cusp)傾向があ
って、結果として、不良なギャップ充填及びボイドの形
成を生じる。逆に、プロセスがスパッタリングによって
支配される場合、下にある(underlying)構造のコーナー
はカットされて、漏電及び装置故障の形成に導く可能性
がある。
【0047】従って、増大される堆積によって増大し、
増大されるスパッタリングによって減小する「堆積/ス
パッタリング(D/S)」比のような比率によって、HDP-CVD
プロセスを特徴づけるのは有用である:(D/S)≡[(正
味堆積速度)+(フ゛ランケットスハ゜ッタリンク゛速度)]/(フ゛ランケットスハ゜ッタ
リンク゛速度)D/Sの定義に用いられるように、「正味堆積速
度」は、堆積とスパッタリングが同時に発生するときに
測定される堆積速度をさす。しかし、「ブランケットス
パッタリング速度」は、プロセスレシピが堆積ガスを有
せずに稼動され、サーボ圧力は堆積圧力に調整され、ス
パッタリング速度がブランケット熱酸化物上に測定され
る場合に測定されたスパッタリング速度をさす。
【0048】ここで記載される実施例はD/S比を用い
て、HDPプロセスの堆積及びスパッタリングの貢献を特
徴をつけるが、代わりの比を用いて、この特徴をつけて
もよい。一般の代わりの比は、「エッチング/堆積(E/
D)」比であって: (E/D) ≡[(ソースのみ堆積速度)-(正味堆積速度)]/(ソースの
み堆積速度) 増大されるパッタリングによって増大し、増大される堆
積によって減小する。E/Dの定義に用いられるように、
「正味堆積速度」は、同様に、堆積とスパッタリングが
同時に発生するときに測定される堆積速度をさす。しか
し、「ソースのみ堆積速度」は、プロセスレシピがRFバ
イアスを有せずに(即ちスパッタリングなしで)稼動さ
れる場合に測定される堆積速度をさす。D/SとE/Dは精密
な逆数でなく、逆関係していることは明白である。この
ような特性比の間の変換は、当業者によって理解される
だろう。
【0049】HDP-CVDプロセスが同時堆積とスパッタリ
ングを含む事実にもかかわらず、プロセスレシビによっ
て達成されるD/Sの値に関係なく、充填されることがで
きるギャップのアスペクト比に限界があるとわかった。
D/S値にある予測されない制約が押し付けられるとき、
このアスペクト比限界は、ガス化学サイクリングを取り
入れることによって、増大される可能性があることが、
本発明者らによって発見された。D/S値の意味は、図2
(a)〜2(c)を参照してより充分によく理解されうる。HDP
-CVDの実行におけるD/Sの特定の値の使用は、特徴的な
堆積プロファイルを生じる。その中の3つは、特定のパ
ラメータについて、図2(a)〜2(c)に示される。図2(a)に
おいて、特徴的な堆積プロファイルは、最適化されたRF
パワーでの高D/S比( 9)について示し、図2(b)は、同様に、低D/S比(〜4)
の特徴的な堆積プロファイルを示し、図2(c)は、最適化
されていないRFパワーでの高D/S比( 9)の特徴的な堆積プロファイルを示す。
【0050】これらの堆積プロフィルの識別において注
意する価値がある幾つかの特徴があり、本発明がどのよ
うに、少なくとも6:1ほど大きいアスペクト比を有する
ギャップにほぼ100%のギャップ充填を実現するのかを理
解するのに、これらの特徴は役に立つ。例えば、図2(a)
において、HDP-CVDを用いて、D/S値 9で、ギャップ220の中及びライン226の上に材料を堆積
する際に、高いハット222がライン226の上に形成され、
小さいピーク224が、ギャップ220中に堆積された材料に
形成される。この質的な形状はD/S値の結果であって、
従って、同様なD/S比を有するHDP-CVDが用いられてギャ
ップ260の中及びライン266の上に材料を堆積する場合を
示す図2(c)にも見られる。同様に高いハット26が、ライ
ン266の上に形成されて、小さいピーク264が、ギャップ
260中に堆積された材料に形成される。異なる特性プロ
ファイルは図2(b)に見られ、比較的低いD/S比でHDP-CVD
を用いてギャップ240の中及びライン246の上に材料を堆
積するときに、生じる。ハット242がラインの上に形成
されるが、強化スパッタリングは、ギャップ240中に堆
積された材料におけるピークの形成を不可能にする。
【0051】各例で特別に注意することは、ギャップ内
に堆積された材料の深さに対するラインの上に形成され
たピークの相対高さである。これは、それぞれ、図2
(a)、2(b)と2(c)において、a/b、a/b′及びa"/b"比によ
って定義される。相対ピーク高さは、高D/S比の場合、
かなりより大きくなる:a/b a"/b"≫ a'/b'。第2の顕著な特徴は、周期的な構造を
分離する最小幅である。図2(b)に示されるように、高ア
スペクト比構造が、比較的低D/S比( 5)で充填される場合、最小幅w′は、少なくとも最初の
堆積中に、ラインのトップ下で発生する。しかし、図2
(a)に示されるように、D/S比が十分に高い( 9)場合、最小幅wはラインのトップ上に発生する。類似
した行為は、図2(c)における最小幅w"にも見られる。最
小幅ができるだけ大きい場合、改善されたギャップ充填
が結果として生じることも認められる。図2(a)〜2(c)に
示されるように、D/S比が大きく、RFパワー(ソース及
びバイアス)が最適化された場合に、最小幅は最も大き
いである:w' w"≪w 。
【0052】本発明の1つの実施例において、ガス化学
サイクリングの第1段階のためのD/S比は、5から20の範
囲内にあり、好ましくは、この範囲の中間付近、即ち9
から15の間にある。D/S比が5未満である場合、過度のス
パッタリングが下にある(underlying)構造を腐食する危
険がある。D/S比例が20より大きい場合、過剰堆積材料
は、高いハット222または262が過度に突き出てボイドを
閉じることを引き起こす。ガス化学がエッチング段階に
サイクリングされるとき、堆積材料のサイドを分離する
大きな最小幅と結びつけられるライン上の大きなハット
の堆積プロフィル特徴は、このように、両者とも有用で
ある。第1段階の結論で、最適化されたRFパワーで大き
いD/S比が用いられる実施例において、ギャップは、図2
(a)に示されるようなプロフィルを有する堆積材料によ
って部分的に充填される。アスペクト比が十分に高く、
プロセスが続くことになった場合、ギャップが締めつけ
られて、ボイドを堆積された材料の中に残す。これは、
堆積を停止して、チャンバ内にエッチングガスを流すこ
とによって、回避される。1つの実施例において、エッ
チングガスの原子が、当業者に知られる方法で(例え
ば、マイクロ波エネルギーによって)、遠隔に解離され
るが、もう1つの実施例では、インサイチュ(insitu)で
提供される。さらに、1つの実施例において、解離され
たエッチング原子は、好ましくNF3によって提供される
フッ素原子を含む。
【0053】次のエッチング段階中に、解離されたエッ
チング原子は、ギャップの底部よりも、ギャップのトッ
プのほうからより多くの材料を異方性に除去するために
作用する。堆積材料間の比較的大きい幅、及びラインの
表面上のその位置は、エッチング段階が、ギャップの本
来に近い形状を復元しながら、より短時間で行うことを
可能にする。その上、エッチング剤がラインに損害を与
えることを防ぐように、ハットの高さはライン上に充分
な保護材料を提供する。このように、第1段階中に生産
された堆積プロフィルは、構造のラインの怠慢な望まし
くないエッチングになる危険を中和するために作用す
る。
【0054】これらの2つの段階後に、ギャップは減小
されたアスペクト比を有し、従って、ギャップに追加の
材料を堆積する第3段階に押し付ける必要がある制約は
より少ない。最初のアスペクト比があまり大きくない幾
つかの実施例において、部分的に充填されたギャップの
充填を完了させるための任意な先行技術方法を使用して
もよい。最初のアスペクト比がより大きいである他の実
施例では、第3の段階においても、同様に好ましくは5
から20の範囲内にある高いD/S比を用いるのが有利であ
る。第1段階について論議された同じ理由のために、こ
の範囲内にあるD/S比は、ボイドが締め付けられるとの
危険がある前に、下にある(underlying)構造を腐食せず
に、できるだけ多くの誘電材料をギャップ内に押し込
む。
【0055】図3は、6:1のような大きいアスペクト比を
有するギャップを形成する段付き表面を有する基板上に
膜を堆積するための1つの実施例に用いられる特定の例
示的なプラズマプロセスのフローチャートを示す。基板
は、ステップ310で、真空ロックドアを通して、チャン
バ13の中へ、基板支持部材18の上にロードされる。一旦
基板が正しく位置されると、ステップ320で、ガス流が
確定されて、圧力を安定させる。真空ポンプ28が一定の
容量でポンピングしながら、ステッパモーターでスロッ
トルバルブ26を操作することによって、圧力が膜の第1
の堆積を通して維持される。圧力は、好ましく、50mtor
r未満の値に維持される。ステップ320でガス流を確立す
るとき、公称ソースバイアス(例えば10W)が印加され
てもよい。
【0056】一旦ガス流と圧力が確立されると、バイア
スRFはステップ330でプリセットされて、比較的低いパ
ワー(例えば300W)は適切な操作条件を確立するために
用いられる。その後、ステップ340で、チャンバ内にRF
ソースパワー(例えば、トップ及びサイドコイルの両方
とも1400W)が印加される。比較的低いバイアスRFパワ
ーによって、プラズマは、1999年12月23日に出願され、
出願番号は09/470,819であり、同様にアプライド・マテ
リアルズ・インコーポレイテッドに譲渡され、現在係属
中のKasra Khazeniらの出願「HDP CVDチャンバにおける
低圧力ストライク(LOW PRESSURE STRIKE IN HDP CVD C
HAMBER)に記載される低圧力ストライク技術を用いて、
衝撃されてもよい。上記出願は、あらゆる目的に参照と
してここに取り込まれる。プラズマが衝撃されたあと、
ソースバイアス、RFバイアス及びガス流は、ステップ35
0で、適切なD/S値による所望の堆積条件に調整される。
堆積中に、RFエネルギーは、SRF発生器31Aと31Bによっ
て、トップコイル29とサイドコイル30に印加されて、誘
導プラズマを形成する。SRF発生器31Aと31Bは、プロセ
スを通して、RFエネルギーをトップ及びサイドコイルに
印加し続ける。SRF発生器31Aと31Bは、約0-7000Wで、好
ましく6600Wで、約2MHzの公称周波数で駆動される。こ
のパワーは、(例えば、約1.8MHzで駆動されてもよい)
トップコイル29と(例えば、約2.1MHzで駆動されてもよ
い)サイドコイル30の間にスピリットされる。トップコ
イル29は、約4800Wを受け、サイドコイル30は約1800Wを
受けてもよい。さらに、BRF発生器31Cは、ステップ350
中に、約13.6MHzの周波数と約2000Wのパワーで駆動され
る。BRF発生器31Cからのパワーは基板受け部19に配送さ
れて、チャンバ本体22は容量結合のために相補電極を供
給している。堆積ステップ中の温度は一般に400℃を超
える。
【0057】第1の堆積が完了された後に、ガス化学
が、堆積された層をエッチングするために、サイクリン
グされる。ステップ360において、(例えば、チャンバ
内に不活性ガスを流入することによって)堆積ガスをプ
ロセスチャンバ13からパージし、ステップ370で、遠隔
に解離されたエッチングガスが、クリーニングシステム
50からプロセスチャンバに提供される。エッチングガス
を解離するために用いられるマイクロ波パワーは約3000
Wである。もう1つの実施例では、プロセスチャンバ13
にエッチングガスを直接供給することによってエッチン
グガスがインサイチュ(in situ)で提供される。1つの実
施例において、エッチングステップのために、ウェーハ
が、堆積ステップ中の温度より実質的に低い温度までに
冷却される。エッチング速度は、ほぼ指数的に温度に依
存するため、より低い温度は一般により制御されたエッ
チングを可能にする。エッチング温度は、好ましく250
℃未満、より好ましく150℃未満である。1つの実施例
では、ウェーハは、例えば、冷却ステーションで外部的
に冷却されて、もう1つの実施例では、ウェーハは、例
えば、ウェーハに背面ヘリウムを流すことによって、イ
ンサイチュ (in situ)で冷却される。
【0058】膜がエッチングされたあと、ガス化学はま
た堆積段階にサイクリングされる。ステップ380で、エ
ッチングガスはプロセスチャンバ13からパージされて、
ステップ390で、堆積プロセスは繰り返される。このス
テップは、適切な堆積条件を生成するための上記の個々
のステップを含む。ガス流速が代わりに調節されてより
低いD/S比を提供してもよいが、このような堆積条件は
ほぼ同じものであってもよい。第2の堆積が完了された
あと、プロセスチャンバ13が再びパージされて、堆積さ
れた基板が除去される。
【0059】表1は、本発明に従ってUSG膜を堆積する
ために1つのレシピを示し、プロセスの主なステップに
用いられるパラメータを示す。表において、2つの数字
がアルゴン流とシラン流について提供され、第1の数字
はサイド流速を示し、第2の数字はトップ流速を示して
いる。
【表1】 表に示されたパラメータと上記の記載に引用されたパラ
メータの両者とも公称5 Lチャンバにおける200mmウェー
ハに対して適切であるが、本発明の方法は、前記特定の
パラメータによって限定されるつもりがない。ガス流速
のような処理パラメータが異なるプロセスチャンバ及び
異なるプロセス条件のために変化することができ、本発
明の精神より脱逸せずに(FSGのような異なる組成物の
膜を形成するための幾つかの場合に)異なる先駆体を用
いうることは、当業者が認識するだろう。他の変形は当
業者にとって明白である。これらの同等または代替案
は、本発明の範囲内に含まれるつもりである。したがっ
て、本発明の範囲は、記載された実施例に限定された
く、特許請求の範囲によって制限される。
【図面の簡単な説明】
【図1A】 本発明による高密度プラズマ化学気相堆積
システムの1つの実施例の概略図である。
【図1B】 図1Aの例示的なCVDプロセスチャンバ
と関連して用いられてもよいガスリングの概略断面図で
ある。
【図1C】 図1Aの例示的なCVDプロセスチャンバと関
連して用いられてもよいモニタ及びライトペンの簡略図
である。
【図1D】 図1Aの例示的なCVDプロセスチャンバを制
御するために用いられる例示的なプロセスコントロルコ
ンピュータプログラムプロダクトのフローチャートであ
る。
【図2】 (a)は高い堆積/スパッタ比と最適化されたRF
パワーによるHDP-CVDギャップ充填プロセスの特性堆積
プロフィルを図示し、(b)は、低い堆積/スパッタ比によ
るHDP-CVD ギャップ充填プロセスの特性堆積プロフィル
を図示し、(c)は、高い堆積/スパッタ比及び非最適化の
RFパワーによるHDP-CVDギャップ充填プロセスの特性堆
積プロフィルを図示する。
【図3】 本発明の1つの実施例のステップを示するフ
ローチャートである。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 マイケル チュウ ワン アメリカ合衆国, カリフォルニア州, レッドウッド シティ, タッドレイ コ ート 307 (72)発明者 エリック リュー アメリカ合衆国, カリフォルニア州, メンロー パーク, ローブル アヴェニ ュー 665 アパートメントビー Fターム(参考) 4K030 BA44 CA04 FA01 KA41 LA15 5F032 AA34 AA43 AA44 DA04 5F058 BC02 BC04 BF07 BF12 BF23 BF29 BG01 BG02 BG10 BH10 BJ06 5F083 AD15 NA01 PR21

Claims (22)

    【特許請求の範囲】
  1. 【請求項1】 プロセスチャンバにおける基板の上に誘
    電膜を堆積する方法であって、 (a) 第1の堆積ガスと第1の不活性ガスソースとを含む
    第1のガス混合物をプロセスチャンバに提供するステッ
    プと、 (b) 第1のガス混合物から第1の高密度プラズマを生成
    して、第1のブランケットスパッタリング速度に対して
    第1の正味(net)堆積速度と第1のブランケットスパッ
    タリング速度との合計の比として定義された第1の堆積
    /スパッタリング比が5から20の範囲内で、上記基板
    上の膜の第1部分を堆積するステップと、 (c) その後、上記基板を冷却するステップと、 (d) その後、エッチングガスをプロセスチャンバ内に流
    入させるステップと、 (e) その後、第2の堆積ガスと第2の不活性ガスソース
    とを含む第2のガス混合物をプロセスチャンバに提供す
    るステップと、 (f) 第2のガス混合物から第2の高密度プラズマを生成
    して、上記基板上の膜の第2部分を堆積するステップ
    と、 を備え、上記の方法。
  2. 【請求項2】 上記第2のガス混合物は上記第1のガス
    混合物と実質的に同じである、請求項1に記載の方法。
  3. 【請求項3】 上記堆積/スパッタリング比は9から1
    5の範囲にある、請求項1に記載の方法。
  4. 【請求項4】 上記第2の高密度プラズマを生成するス
    テップは、5から20の範囲内にある第2の堆積/スパ
    ッタリング比で行われて、上記第2の堆積/スパッタリ
    ング比は、第2のブランケットスパッタリング速度に対
    して、第2の正味堆積速度と第2のブランケットスパッ
    タリング速度との合計の比として定義される、請求項1
    に記載の方法。
  5. 【請求項5】 上記第2の堆積/スパッタリング比は、
    上記第1の堆積/スパッタリング比より低い、請求項4
    に記載の方法。
  6. 【請求項6】 上記エッチングガスは、遠隔に解離され
    たエッチング原子を含む、請求項1に記載の方法。
  7. 【請求項7】 上記遠隔に解離されたエッチング原子
    は、フッ素原子を含む、請求項6に記載の方法。
  8. 【請求項8】 上記フッ素原子は、NF3により提供され
    る、請求項7に記載の方法。
  9. 【請求項9】 さらに、プロセスチャンバの中で、エッ
    チングガスをエッチング原子に解離するステップを含
    む、請求項1に記載の方法。
  10. 【請求項10】 上記エッチングガスは、フッ素含有ガ
    スである、請求項9に記載の方法。
  11. 【請求項11】 上記エッチングガスは、NF3である、
    請求項9に記載の方法。
  12. 【請求項12】 上記誘電膜は酸化ケイ素膜である、請
    求項1に記載の方法。
  13. 【請求項13】 上記誘電膜は、フッ素化された酸化ケ
    イ素膜である、請求項1に記載の方法。
  14. 【請求項14】 上記誘電膜は、隣接する段付(steppe
    d)表面の間に形成されるギャップを有する基板上の複数
    の段付表面の上に堆積されて、上記膜の第1部分 は、
    部分的に、上記ギャップを充填する、請求項1に記載の
    方法。
  15. 【請求項15】 上記膜の第2部分は、ギャップの充填
    を完成する、請求項14に記載の方法。
  16. 【請求項16】 上記基板を冷却するステップは、プロ
    セスチャンバの外部で行われる、請求項1に記載される
    の方法。
  17. 【請求項17】 プロセスチャンバと、プラズマ生成シ
    ステムと、基板ホルダと、ガスをプロセスチャンバ内に
    導入するように構成されたガス配送ステムとを含む基板
    処理システムの操作を指示するためのコンピュータ読取
    り可能なプログラムが包含されているコンピュータ読取
    り可能な記憶媒体であって、上記コンピュータ読取り可
    能なプログラムは、 (a) 第1の堆積ガスと第1の不活性ガスソースとを含む
    第1のガス混合物をプロセスチャンバに提供するステッ
    プと、 (b) 第1のガス混合物から第1の高密度プラズマを生成
    して、第1のブランケットスパッタリング速度に対して
    第1の正味堆積速度と第1のブランケットスパッタリン
    グ速度との合計の比として定義された第1の堆積/スパ
    ッタリング比が5から12の範囲内で、基板上に膜の第
    1部分を堆積するステップと、 (c) その後、上記基板を冷却するステップと、 (d) その後、エッチングガスをプロセスチャンバ内に流
    入させるステップと、 (e) その後、第2の堆積ガスと第2の不活性ガスソース
    とを含む第2のガス混合物をプロセスチャンバに提供す
    るステップと、 (f) 第2のガス混合物から第2の高密度プラズマを生成
    して、基板上に膜の第2部分を堆積するステップと、 を従って、プロセスチャンバ内に配置された基板の上に
    誘電膜を堆積するように基板処理システムを操作するた
    めの指示を含む、上記のコンピュータ読取り可能な記憶
    媒体。
  18. 【請求項18】 上記第2の高密度プラズマは、5から
    20の範囲内にある第2の堆積/スパッタリング比で膜
    の第2部分を堆積するために、生成されて、上記第2の
    堆積/スパッタリング比は、第2のブランケットスパッ
    タリング速度に対して、第2の正味堆積速度と第2のブ
    ランケットスパッタリング速度との合計の比として定義
    される、請求項17に記載のコンピュータ読取り可能な
    記憶媒体。
  19. 【請求項19】 上記誘電膜は、隣接する段付表面の間
    に形成されるギャップを有する基板上の複数の段付表面
    の上に堆積されて、上記膜の第1部分は、部分的に、上
    記ギャップを充填する、請求項17に記載のコンピュー
    タ読取り可能な記憶媒体。
  20. 【請求項20】 (a) プロセスチャンバを画成するハウ
    ジングと、 (b) プロセスチャンバに操作的に(operatively)接続さ
    れている高密度プラズマ生成システムと、 (c) 基板処理中に、基板を保持するために構成された基
    板ホルダと、 (d) ガスをプロセスチャンバ内に導入するために構成さ
    れたガス配送システムと、 (e) プロセスチャンバ内の選択された圧力を維持するた
    めの圧力制御システムと、 (f) 高密度プラズマ生成システム、ガス配送システム及
    び圧力制御システムを制御するためのコントローラと、 (g) 基板処理システムの操作を指示するためのコンピュ
    ータ読取り可能なプログラムが包含されているコンピュ
    ータ読取り可能な記憶媒体を備え、コントローラに接続
    されているメモリと、を備え、上記コンピュータ読取り
    可能なプログラムは、 (i) 第1の堆積ガスと第1の不活性ガスソースとを含
    む第1のガス混合物をプロセスチャンバに提供するため
    に、上記ガス配送システムを制御する指示と、 (ii) 第1のガス混合物から第1の高密度プラズマを生
    成して、第1のブランケットスパッタリング速度に対し
    て第1の正味堆積速度と第1のブランケットスパッタリ
    ング速度との合計の比として定義された第1の堆積/ス
    パッタリング比が5から20の範囲内で、上記基板上に
    膜の第1部分を堆積するために、高密度プラズマ生成シ
    ステムを制御するた指示と、 (iii) その後、熱伝達ガスを流して上記基板を冷却する
    ために、ガス配送システムを制御する指示と、 (iv) その後、エッチングガスをプロセスチャンバ内に
    流入させるために、ガス配送システムを制御する指示
    と、 (v) その後、第2の堆積ガスと第2の不活性ガスソース
    とを含む第2のガス混合物をプロセスチャンバに提供す
    るために、ガス配送システムを制御する指示と (vi) 第2のガス混合物から第2の高密度のプラズマを
    生成して、上記基板上に膜の第2部分を堆積するため
    に、上記高密度プラズマ生成システムを制御する指示と
    を含む、上記の基板処理システム。
  21. 【請求項21】上記第2の高密度プラズマを生成するた
    めの指示は、第2のブランケットスパッタリング速度に
    対して第2の正味堆積速度と第2のブランケットスパッ
    タリング速度との合計の比として定義された第2の堆積
    /スパッタリング比が5から20の範囲内で、上記膜の
    第2部分を堆積するための指示を含む、請求項20に記
    載の基板処理システム。
  22. 【請求項22】 上記誘電膜は、隣接する段付表面の間
    に形成されるギャップを有する基板上に形成される複数
    の段付表面の上に堆積されて、上記膜の第1部分は、部
    分的に、上記ギャップを充填する、請求項20に記載の
    基板処理システム。
JP2001255150A 2000-08-24 2001-08-24 Hdp−cvdを用いて高いアスペクト比のギャップ充填を達成するためのガス化学サイクリング Expired - Fee Related JP4790170B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/648,395 US6335288B1 (en) 2000-08-24 2000-08-24 Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US09/648395 2000-08-24

Publications (2)

Publication Number Publication Date
JP2002141349A true JP2002141349A (ja) 2002-05-17
JP4790170B2 JP4790170B2 (ja) 2011-10-12

Family

ID=24600611

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001255150A Expired - Fee Related JP4790170B2 (ja) 2000-08-24 2001-08-24 Hdp−cvdを用いて高いアスペクト比のギャップ充填を達成するためのガス化学サイクリング

Country Status (6)

Country Link
US (2) US6335288B1 (ja)
EP (1) EP1182273B1 (ja)
JP (1) JP4790170B2 (ja)
KR (1) KR100817356B1 (ja)
DE (1) DE60136891D1 (ja)
TW (1) TWI243214B (ja)

Cited By (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001267315A (ja) * 1999-12-23 2001-09-28 Applied Materials Inc 高密度プラズマリアクタにおける窒化ケイ素のインサイチュ(insitu)堆積及び集積化
WO2004114366A2 (en) * 2003-06-04 2004-12-29 Applied Materials, Inc. Hdp-cvd multistep gapfill process
JP2007305981A (ja) * 2006-04-17 2007-11-22 Applied Materials Inc 総合プロセスモジュレーション(ipm)hdp−cvdによるギャップ充填のための新規な解決法
JP2008182199A (ja) * 2006-12-07 2008-08-07 Applied Materials Inc 誘電ギャップ充填用のマルチステップ堆積・エッチング・堆積(dep−etch−dep)高密度プラズマ化学気相堆積プロセス
JP2008235857A (ja) * 2006-12-07 2008-10-02 Applied Materials Inc 薄膜プロセスの方法
JP2009111351A (ja) * 2007-09-07 2009-05-21 Applied Materials Inc Hdp−cvd堆積/エッチング/堆積プロセスの不純物コントロール
JP4881873B2 (ja) * 2004-11-29 2012-02-22 アプライド マテリアルズ インコーポレイテッド 改良された過渡的気相堆積のためのガス分配システム
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations

Families Citing this family (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6592596B1 (en) * 2000-05-10 2003-07-15 Scimed Life Systems, Inc. Devices and related methods for securing a tissue fold
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
KR100814980B1 (ko) * 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
US6803222B2 (en) * 2000-11-22 2004-10-12 Kao Corporation Alkaline proteases
US6764940B1 (en) * 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
JP2003031650A (ja) * 2001-07-13 2003-01-31 Toshiba Corp 半導体装置の製造方法
US6531377B2 (en) * 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US7816188B2 (en) * 2001-07-30 2010-10-19 Sandisk 3D Llc Process for fabricating a dielectric film using plasma oxidation
US6709928B1 (en) * 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US7144822B1 (en) * 2002-02-06 2006-12-05 Novellus Systems, Inc. High density plasma process for optimum film quality and electrical results
US6719885B2 (en) * 2002-03-01 2004-04-13 Taiwan Semiconductor Manufacturing Co. Ltd. Method of reducing stress induced defects in an HDP-CVD process
AU2003228402A1 (en) 2002-03-28 2003-10-13 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US6777308B2 (en) 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
US20030224619A1 (en) * 2002-06-04 2003-12-04 Yoshi Ono Method for low temperature oxidation of silicon
US7223701B2 (en) * 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6768828B2 (en) * 2002-11-04 2004-07-27 Little Optics Inc. Integrated optical circuit with dense planarized cladding layer
GB2395492A (en) * 2002-11-25 2004-05-26 Thermo Electron Corp Improvements in deposition methods for the production of semiconductors
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
KR100945497B1 (ko) * 2003-03-17 2010-03-09 주식회사 하이닉스반도체 고밀도플라즈마 장비를 이용한 배선간의 절연막 매립방법
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7045849B2 (en) 2003-05-21 2006-05-16 Sandisk Corporation Use of voids between elements in semiconductor structures for isolation
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6982207B2 (en) * 2003-07-11 2006-01-03 Micron Technology, Inc. Methods for filling high aspect ratio trenches in semiconductor layers
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
CN1320158C (zh) * 2003-09-18 2007-06-06 中芯国际集成电路制造(上海)有限公司 多沉积步骤的高浓度等离子化学气相沉积方法
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
KR100589046B1 (ko) * 2004-09-23 2006-06-12 삼성전자주식회사 박막 형성 방법
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
TWI349042B (en) * 2006-02-09 2011-09-21 Sputtering system providing large area sputtering and plasma-assisted reactive gas dissociation
US20080032064A1 (en) * 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
ITMI20062271A1 (it) * 2006-11-27 2008-05-28 Stmicroeletronics S R L Processo di deposizione al plasma con parametri di processo variabili
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US9449831B2 (en) 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8283261B2 (en) * 2007-05-25 2012-10-09 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US8940645B2 (en) 2007-05-25 2015-01-27 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US20090179253A1 (en) 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8643124B2 (en) 2007-05-25 2014-02-04 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8633537B2 (en) 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US7898852B1 (en) 2007-12-27 2011-03-01 Cypress Semiconductor Corporation Trapped-charge non-volatile memory with uniform multilevel programming
US8088683B2 (en) * 2008-03-31 2012-01-03 Cypress Semiconductor Corporation Sequential deposition and anneal of a dielectic layer in a charge trapping memory device
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7972968B2 (en) * 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
CN101737399B (zh) * 2008-11-12 2012-08-22 鸿富锦精密工业(深圳)有限公司 螺柱
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20120276743A1 (en) * 2011-04-26 2012-11-01 Jai-Hyung Won Methods of forming a carbon type hard mask layer using induced coupled plasma and methods of forming patterns using the same
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8685813B2 (en) 2012-02-15 2014-04-01 Cypress Semiconductor Corporation Method of integrating a charge-trapping gate stack into a CMOS flow
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9087542B1 (en) 2012-12-10 2015-07-21 Western Digital (Fremont), Llc Method for providing a structure having reduced voids in a magnetic recording transducer
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9337000B2 (en) 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140273451A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
JP6045485B2 (ja) * 2013-12-20 2016-12-14 東京エレクトロン株式会社 基板処理装置
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10096464B2 (en) 2014-10-04 2018-10-09 Applied Materials, Inc. Atomic layer deposition of high density silicon dioxide
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
CN108054078A (zh) * 2017-11-23 2018-05-18 上海华力微电子有限公司 Hdp工艺成膜方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10727046B2 (en) * 2018-07-06 2020-07-28 Lam Research Corporation Surface modified depth controlled deposition for plasma based deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10340900A (ja) * 1997-06-03 1998-12-22 Applied Materials Inc 低誘電率膜用高堆積率レシピ
WO1999054521A2 (en) * 1998-04-21 1999-10-28 Applied Materials, Inc. Method and apparatus for modifying the profile of high-aspect-ratio gaps using differential plasma power
JP2000077404A (ja) * 1998-07-31 2000-03-14 Samsung Electronics Co Ltd 絶縁膜形成方法

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
JPH0648158B2 (ja) 1985-03-04 1994-06-22 防衛庁技術研究本部長 操舵軸系における3軸の拘束および拘束解除装置
JPS61276977A (ja) 1985-05-30 1986-12-06 Canon Inc 堆積膜形成法
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
EP0283311B1 (en) 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
JPH0258836A (ja) 1988-08-24 1990-02-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
JP2960466B2 (ja) * 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
EP0584252B1 (en) 1991-05-17 1998-03-04 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5284804A (en) 1991-12-31 1994-02-08 Texas Instruments Incorporated Global planarization process
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5316278A (en) * 1992-09-18 1994-05-31 Applied Materials, Inc. Clamping ring apparatus for processing semiconductor wafers
US5624582A (en) * 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
JPH07161703A (ja) 1993-12-03 1995-06-23 Ricoh Co Ltd 半導体装置の製造方法
US5468342A (en) * 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5776834A (en) 1995-06-07 1998-07-07 Advanced Micro Devices, Inc. Bias plasma deposition for selective low dielectric insulation
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
EP0870327B1 (en) * 1995-12-27 2002-09-11 Lam Research Corporation Method for filling trenches in a semiconductor wafer
US6191026B1 (en) * 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5858876A (en) * 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
JPH1064908A (ja) 1996-08-13 1998-03-06 Sony Corp 半導体装置の配線形成方法及びスパッタ装置
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
GB2320133B (en) 1996-12-04 2001-10-24 United Microelectronics Corp A method for increasing capacitance
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5990000A (en) * 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US5968610A (en) * 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US6204200B1 (en) * 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5908672A (en) 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6037018A (en) * 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6310755B1 (en) * 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6268274B1 (en) 1999-10-14 2001-07-31 Taiwan Semiconductor Manufacturing Company Low temperature process for forming inter-metal gap-filling insulating layers in silicon wafer integrated circuitry
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10340900A (ja) * 1997-06-03 1998-12-22 Applied Materials Inc 低誘電率膜用高堆積率レシピ
WO1999054521A2 (en) * 1998-04-21 1999-10-28 Applied Materials, Inc. Method and apparatus for modifying the profile of high-aspect-ratio gaps using differential plasma power
JP2000077404A (ja) * 1998-07-31 2000-03-14 Samsung Electronics Co Ltd 絶縁膜形成方法

Cited By (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001267315A (ja) * 1999-12-23 2001-09-28 Applied Materials Inc 高密度プラズマリアクタにおける窒化ケイ素のインサイチュ(insitu)堆積及び集積化
WO2004114366A2 (en) * 2003-06-04 2004-12-29 Applied Materials, Inc. Hdp-cvd multistep gapfill process
WO2004114366A3 (en) * 2003-06-04 2005-12-08 Applied Materials Inc Hdp-cvd multistep gapfill process
US7205240B2 (en) * 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
JP4881873B2 (ja) * 2004-11-29 2012-02-22 アプライド マテリアルズ インコーポレイテッド 改良された過渡的気相堆積のためのガス分配システム
JP2007305981A (ja) * 2006-04-17 2007-11-22 Applied Materials Inc 総合プロセスモジュレーション(ipm)hdp−cvdによるギャップ充填のための新規な解決法
JP2008182199A (ja) * 2006-12-07 2008-08-07 Applied Materials Inc 誘電ギャップ充填用のマルチステップ堆積・エッチング・堆積(dep−etch−dep)高密度プラズマ化学気相堆積プロセス
JP2008235857A (ja) * 2006-12-07 2008-10-02 Applied Materials Inc 薄膜プロセスの方法
JP2009111351A (ja) * 2007-09-07 2009-05-21 Applied Materials Inc Hdp−cvd堆積/エッチング/堆積プロセスの不純物コントロール
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch

Also Published As

Publication number Publication date
EP1182273A3 (en) 2004-01-07
US7052552B2 (en) 2006-05-30
EP1182273B1 (en) 2008-12-10
KR100817356B1 (ko) 2008-03-27
KR20020016591A (ko) 2002-03-04
EP1182273A2 (en) 2002-02-27
US6335288B1 (en) 2002-01-01
US20020040764A1 (en) 2002-04-11
JP4790170B2 (ja) 2011-10-12
TWI243214B (en) 2005-11-11
DE60136891D1 (de) 2009-01-22

Similar Documents

Publication Publication Date Title
JP4790170B2 (ja) Hdp−cvdを用いて高いアスペクト比のギャップ充填を達成するためのガス化学サイクリング
US7049211B2 (en) In-situ-etch-assisted HDP deposition using SiF4
US7205240B2 (en) HDP-CVD multistep gapfill process
US6559026B1 (en) Trench fill with HDP-CVD process including coupled high power density plasma deposition
US7081414B2 (en) Deposition-selective etch-deposition process for dielectric film gapfill
JP3568895B2 (ja) 誘電層の堆積およびエッチングのための方法および装置
US5937323A (en) Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6514870B2 (en) In situ wafer heat for reduced backside contamination
US20020187656A1 (en) Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
JPH1167746A (ja) Hdp−cvd装置内の粒子特性を改善するシーズニングプロセスにおける酸素対シランの比の制御
US20040152341A1 (en) HDP-CVD deposition process for filling high aspect ratio gaps
KR100990086B1 (ko) 고밀도 플라즈마 화학 기상 증착을 이용하는 실리콘 리치산화물 증착 제어 방법
JP2002512440A (ja) 差動プラズマパワーを使用して高アスペクト比ギャップのプロファイルを修正する方法および装置
US6890597B2 (en) HDP-CVD uniformity control
US7205205B2 (en) Ramp temperature techniques for improved mean wafer before clean
JP2002353215A (ja) Hdpcvd処理によるトレンチ充填
JP2002057157A (ja) 銅ダマシン集積回路用hdp−fsg処理

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080724

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110609

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110705

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110720

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140729

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees