JP2001267315A - 高密度プラズマリアクタにおける窒化ケイ素のインサイチュ(insitu)堆積及び集積化 - Google Patents

高密度プラズマリアクタにおける窒化ケイ素のインサイチュ(insitu)堆積及び集積化

Info

Publication number
JP2001267315A
JP2001267315A JP2000392852A JP2000392852A JP2001267315A JP 2001267315 A JP2001267315 A JP 2001267315A JP 2000392852 A JP2000392852 A JP 2000392852A JP 2000392852 A JP2000392852 A JP 2000392852A JP 2001267315 A JP2001267315 A JP 2001267315A
Authority
JP
Japan
Prior art keywords
layer
plasma
fsg
depositing
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000392852A
Other languages
English (en)
Other versions
JP4721510B2 (ja
Inventor
Zhong Qiang Hua
チャン ファ ツォン
Kasra Khazeni
カツェーニ カスラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001267315A publication Critical patent/JP2001267315A/ja
Application granted granted Critical
Publication of JP4721510B2 publication Critical patent/JP4721510B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 基板上に酸化ケイ素層を堆積させるステップ
と、誘電層を酸素で処理するステップで構成される基板
上の誘電膜を堆積させるステップとを含む方法を提供す
る。 【解決手段】 HDP−CVDで堆積された、ピーク高比率で
比較してフッ素含有率が7%以上のFSGの層を酸素プラズ
マで処理する。これによって膜が安定化する。あるい
は、窒化ケイ素などの物質の薄い(<1000オングストロ
ーム)の層を低圧ストライクを用いてFSG層上に堆積さ
せる。低圧ストライクはチャンバ内の圧力が5−100ミリ
トールの範囲となるようにプロセス・ガスを流し、容量
的に結合される弱いプラズマを確立するのに十分な時間
バイアス電圧をONにすることによって行われる。その
後、ソース電圧をONにし、バイアス電圧をOFFにする。

Description

【発明の詳細な説明】
【0001】(関連出願)本願は、Kasra Khazeni,Mi
chael Cox,Michael Barnes,Huong及びThannNguyen
を共同発明者としてリストアップされており、本願と同
時並行に出願され、同様に譲渡された『HDP−CVDチャン
バにおける低圧ストライク』(代理人番号AMAT3272)と
題する特許出願と関連している。同特許は参照により本
明細書にくみこまれている。
【0002】(発明の背景)本発明は半導体基板製造工
程中に誘電層を堆積させるための方法及び装置に関す
る。より具体的には、本発明は高密度プラズマ化学気相
堆積リアクタ内でフルオロケイ酸塩ガラス及び窒化ケイ
素の層を堆積、集積化させるための方法に関する。
【0003】半導体装置のサイズは一貫して減少する傾
向にあり、1つの製造されたウエハにつきより多くのデ
バイス及びより高速なデバイスを提供する。何十年か前
に半導体集積回路が導入されて以来、集積回路は一般的
に装置の世代が改まるごとにより小さなスペースにより
多数のトランジスタを配置しようという傾向を示してい
る。現在、複数の装置が各機能間のスペースを0.25μm
以下に抑えて製造されている。いくつかの例では、装置
機能間の間隔が0.18μm以下である。こうした特徴の例
としては金属層上にパターンとして設けられる伝導性の
線またはトレースである。こうしたトレースでは通常ア
ルミニウムが用いられている。最近、銅でできたトレー
スを堆積させる技術も開発されている。銅はアルミニウ
ムより導電性の高い素材であるので、こうしたトレース
においては銅の使用が望ましい。
【0004】酸化ケイ素などの誘電体の非伝導層は上記
のパターン化された金属層の間及び上方に堆積されるこ
とが多い。この誘電層は、層内の導電性フィーチャを互
いに絶縁させ、金属層及び/又はフィーチャを物理的或
は化学的損傷から保護することを含む目的を提供するこ
とができる。導電性フィーチャー間のスペースまたはギ
ャップは益々小さくなりつつあるので、その結果得られ
る装置のキャパシタンスは益々大きくなってきている。
キャパシタンスの増大は集積回路の処理速度を低下させ
てしまう可能性がある。キャパシタンスを低下させるた
めの1つの方法は誘電率の低い材料を使用することであ
る。そうした材料はしばしば低K誘電体と呼ばれる。
【0005】ギャップを埋めるために誘電体を堆積させ
るための1つの方法は、二酸化ケイ素層内にハロゲン原
子を組み込んでおくことである。ハロゲン組み込みの例
は1995年10月25日に出願された『ハロゲンがドープされ
た酸化ケイ素膜の膜安定性を改善するための方法及び装
置』と題する米国特許出願第08/548,391号、及び1995
年10月2日に出願された『より大きな安定性のFがドー
プされた膜を堆積させるためのSiF4の使用』と題する米
国特許出願第08/538,696号に述べられており、これら
の特許は参照によって本明細書に組み込まれる。フッ素
などのハロゲン・ドーパントが酸化ケイ素膜の誘電率を
低下させるのは、ハロゲンが全体的なSiOFネットワーク
の分極化性を減少させる電気的陰性原子だからであると
考えられている。フッ素がドープされた酸化ケイ素膜は
フルオロケイ酸塩ガラス(FSG)膜と呼ばれることもあ
る。
【0006】フッ素含有量は一般的に誘電率などFSG層
の性質を決める。FSGのフッ素含有量はフーリエ変換赤
外線分光測定(FTIR)を用いて2つの吸収ピーク間の比
率として測定される。第1の(SiF)ピークの高さは通常
Si−F結合の存在を示している。第2の(SiO)ピークの
高さは通常Si−O結合の存在を示している。FSGにおける
平均フッ素濃度はパーセンテージ・ピーク高さ比率(%
PHR)によって以下のように計算される。 %PHR=SiF/SiO × 100% FSGのフッ素含有量の直接測定はPHRの%がFSG層内のフ
ッ素原子の%(at.%F)とおおよそ比例しているこ
とを示している。at.%Fは at.%F=(%PHR)× K の式で概算でき、この式でKは経験的に決められる定数
である。フッ素濃度(at.%F)は二次イオン質量分光
測定(SIMS)、減衰総反射(ATR)、または元素分析な
どの方法で判定することができる。
【0007】誘電層を堆積させるための1つの方法はガ
スの化学反応によるものである。こうした堆積プロセス
は化学気相堆積(CVD)と呼ばれる。熱的CVDプロセスは
反応性ガスを基板表面に供給し、そこで熱誘導化学反応
が起きて望ましい膜がつくられる。いくつかの熱的CVD
プロセスが行われる高温は装置構造上の金属層を損傷す
る可能性がある。一方、プラズマ強化CVD(PECVD)プロ
セスでは、基板表面近くの反応ゾーンに無線周波数(R
F)エネルギーを容量的に結合させて、それによって反
応性の高い種のプラズマをつくりだすことによって反応
性ガスの励起及び/または解離を促進させる。放出され
た種の高い反応性は化学反応が起きるのに必要なエネル
ギーを低下させ、従って、そうしたCVDプロセスのため
に必要な温度を低下させる。残念なことに、いくつかの
PECVDプロセスは下側のフィーチャーの形状寸法によっ
て堆積速度の変動を引き起こす場合がある。こうした現
象はギャップ底部内に空隙をつくりだす可能性がある。
【0008】高密度プラズマCVD(HDP−CVD)システム
を用いることによって、ギャップ充填をよりうまく行う
ことができる。HDP−CVDにおいては、RFコイルは低圧条
件下で誘電的に結合されたプラズマを発生させる。こう
したプラズマの密度は容量性結合PECVDプラズマの密度
より約100倍あるいはそれ以上大きい。HDP−CVDシス
テムで用いられる低チャンバ圧力は活性を有する種に長
い平均遊走経路をもたらすと考えられる。この長い平均
遊走経路と高い密度が結合するとかなりの数のプラズマ
抗生物質が密接したギャップの最深部まで到達できるよ
うにし、膜に対して優れたギャップ充填能力をもたらす
ことになる。高密度がHDP−CVDと組み合わされると堆積
中のスパッタリングも促進される。このスパッタリング
はギャップ上部の堆積速度を遅くして、ギャップが早過
ぎるタイミングで閉鎖されてしまわないようにする。
【0009】残念なことに、銅導電性トレースを隔離し
ているFSG層に関連していくつかの問題が存在する。1
つの問題は銅がFSGなどの誘電性物質中で高い分散性を
示すことである。さらに、貧弱に形成されたFSG層は大
気や堆積プロセスに関連した反応生成物から水分を吸収
する可能性があることである。銅拡散と水分吸収はFSG
上、あるいはFSG層と銅層との間に窒化ケイ素(Si3N4
の薄い層を堆積させることによって防ぐことができる。
窒化ケイ素は拡散バリアとして機能する。銅は窒化ケイ
素内では150から200オングストロームの範囲の拡散長さ
を有している。従って、200オングストローム以上の厚
さのSi3N4であればSi3N4の上、あるいは下側に広がって
いる誘電層への銅の拡散を防ぐには十分である。残念な
がら、フッ素は、350℃程度の温度でFSGから気体として
放出されてしまう傾向がある。ガス抜けしたフッ素は上
を覆っているSi3N4層内で『泡』を形成する。この泡がS
i3N4の剥離につながる可能性がある。
【0010】HDP−CVを用いで薄膜を堆積させるために
これまで用いられてきた1つの典型的な手法は、チャン
バ内にアルゴンを流入させて、そして、約40ミリトール
の圧力でアルゴン・プラズマを発生させる方法であっ
た。一旦プラズマが発生されると、チャンバ内の圧力は
(例えばスロットル弁を開放することによって)約5ミ
リトールに減少され、そして、堆積ガスがチャンバ内に
導入されて膜を堆積させる。残念ながら、例えばこの方
法による最初の数秒間の間に、各ガス・ノズルが異なっ
た圧力下にあるので、堆積ガスが均等に流れない。堆積
は堆積ガスが流れ始めた時にプラズマがすでにONであれ
ばすぐに開始される。従って、すでに発生しているプラ
ズマによるの最初のガス爆発で厚み数百オングストロー
ムの非均等な層が形成されてしまう。膜の不均一性は通
常多数の(例えば49の)等距離点で膜厚を測定して、得
られた厚み分布幅を最大値の半分で取って判定される。
上に述べたような薄膜は、通常、プラズマ発生から約10
秒以内に、4.75%程度の不均一性を示す。この不均一性
は約30秒後には3.5%程度まで減少し、そして約60秒後
には約4%程度に再び増大する。
【0011】これは、厚い膜の場合、初期のの不均一層
の厚さが通常その膜厚全体の数パーセントに過ぎないの
で、一般に、問題にならない。例えば、10,000オングス
トローム厚の膜の場合、非均一な初期層の厚みは300オ
ングストロームである。この不均一な初期層は膜厚全体
の3パーセントに過ぎない。しかしながら、厚みが1000
オングストローム以下の膜の場合、同じ300オングスト
ロームの不均一層は膜全体の厚みの30パーセント以上を
占めてしまう。こうした不均一性はキャップ層において
は望ましくない場合が多い。
【0012】従って、この技術領域においては、高温で
も非常に接着性が高い窒化ケイ素キャップ層を有する安
定した低誘電率FSG膜とそれを堆積させるための方法に
対する必要性が存在している。
【0013】(発明の概要)本発明による方法は、(例
えばFSGなどの)酸化ケイ素層を基板上に堆積させ、そ
して、その誘電層を、その層上に窒化ケイ素キャップを
形成する前に、酸素で処理することによって先行技術に
おける欠陥を克服する。酸素処理はFSGを安定化させ
る。本発明の1つの実施の形態において、フッ素含有量
がピーク高比率で測定して約7%より大きいのFSG層がHD
P−CVDによって堆積され、そして酸素プラズマによって
処理される。窒化ケイ素の薄い(<1000オングストロー
ム)層が以下に十分に詳細に述べられるような低圧スト
ライクを用いてFSGの層上に堆積される。
【0014】最1の誘電体は、ケイ素含有ガス、フッ素
含有ガス、及び酸素含有ガスを堆積チャンバ内に流し込
み、第1のプラズマを発生させて、その第1のプラズマ
を用いて第1の誘電層を堆積させることによって、堆積
されることができる。第2の誘電層は、1つ以上のプロ
セス・ガスを堆積チャンバ内に流し込み、低圧ストライ
クを行って、その二回目のストライクで第2の誘電体の
堆積を開始させることによって、堆積させることができ
る。低圧ストライクはチャンバ内の圧力が5から10ミリ
トールとなるようにプロセス・ガスの流れを確立し、弱
いプラズマを確立するのに十分な時間でバイアス電圧を
ONすることによって、達成することができる。弱いプラ
ズマは容量的に結合することができる。弱いプラスマが
結合されると、ソース電圧がONされて、その後、バイア
ス電圧がOFFされる。
【0015】或は、低誘電率膜は酸素に対するフッ素の
第1の原子比率を有するフルオロケイ酸塩ガラス(FSG)
を堆積させ、FSGを処理して酸素に対するフッ素の比率
を低下させ、その後で前記FSG層上に窒化ケイ素を堆積
させることで形成することができる。好ましくは、FSG
堆積、酸素処理、そして窒化ケイ素堆積はすべて同じチ
ャンバ内で、そのチャンバから基板を取り出すことなく
行われる。
【0016】別の実施の形態で、低誘電率膜は窒化ケイ
素の2つの層間にFSG層を有する形態で形成される。各
窒化ケイ素層は低圧ストライクを用いて形成され、FSG
層はその膜の安定性を強化するために酸素で処理され
る。
【0017】本発明の種々のバージョンはは半導体ウエ
ハ処理システムを制御するためのプログラム・コードと
して実施することができる。このプログラム・コードは
適切なコンピュータ読み取り可能記憶媒体内に保存する
ことができる。プログラム・コードは、堆積チャンバ
と、上記チャンバに結合されたガス・パネルと、上記チ
ャンバに結合されたプラズマ発生装置と、上記ガス・パ
ネル、ソース電源及びバイアス電源にに結合されたコン
トローラとを備える堆積装置を制御するように構成する
ことができる。上記コントローラは通常上記プログラム
・コードを有するコンピュータ読み取り可能媒体を含ん
でいる。
【0018】本発明による種々の実施の形態によって堆
積された膜は低誘電率、良好な熱安定性、そして強力な
接着性を有している。さらに、インサイチュ(in sit
u)でのHDP−CVDによって両方の膜を堆積させることに
よってプロセスの一体性が強化できる。これら本発明の
実施の形態は銅ダマシン応用で特に有用である。
【0019】(実施例の記載)I.はじめに 本発明による方法の実施の形態は低誘電率を有する安定
した多層誘電体膜を堆積させるものである。この膜は酸
素によって安定化され、厚みが1000オングストローム未
満の窒化ケイ素の均一な層で覆われている。本発明によ
る上記方法の特殊な実施の形態ではフルオロケイ酸塩ガ
ラス(FSG)のフッ素含有量はフーリエ変換赤外線分光
測定(FTIR)によって%PHRで測定される。SiFピークは
通常約890cm-1の波数であり、SiOピークは通常約1040−
1100cm-1の波数である。FSG内でのフッ素濃度は上に述
べたようにパーセンテージ・ピーク高比率(%PHR)で
測定される。特定の実施の形態においては、FSG層は%P
HRで測定して約7%あるいはそれ以上のフッ素含量を有
している。
【0020】低圧ストライクはプラズマを発生させる前
に望ましいガス流を安定化させることで薄層に高い均一
性をもたらす。両方の層ともHDP−CVDを用いてインサイ
チュ(in situ)で堆積させ、それによってプロセスの
一体化が可能になる。
【0021】II.例示的基板処理システム 図1Aは本発明による誘電層を堆積させることができる高
密度プラズマ化学気相堆積(HDV−CVD)システム10の1
つの実施の形態を示している。システム10はチャンバ1
3、真空システム70、ソース・プラズマ・システム80A、
バイアス・プラズマ・システム80B、ガス伝送システム3
3、そしてリモート・プラズマ・クリーニング・システ
ム50を含んでいる。
【0022】チャンバ13の上部部分はドーム14を含んで
おり、これは酸化アルミニウムや窒化アルミニウムなど
のセラミック性誘電材料でつくられている。ドーム14は
プラズマ処理領域16の上側境界を形成している。プラズ
マ処理領域16は基板17の上面と基板支持部材18によって
下側で境界を形成している。
【0023】ヒーター・プレート23とコールド・プレー
ト24はドーム14の上に置かれ、熱的にそれに結合されて
いる。ヒーター・プレート23とコールド・プレート24は
約100℃から200℃の温度で約10℃でドーム温度を制御
できるようにしている。これによって、種々のプロセス
のためにドーム温度を最適化できる。例えば、クリーニ
ング及びエッチング・プロセスのためには堆積プロセス
の場合よりドームを高めの温度にしておく方が望ましい
場合がある。ドーム温度を正確に制御することはさらに
チャンバー内でのフレークや粒子の数を減少させ、堆積
された層と基板との間の接着を強化してくれる。
【0024】チャンバ13の下側部分はチャンバを真空シ
ステムに結合する部材22を含んでいる。基板支持部材18
のベース部分21は部材22と共に連続的な内部表面を形成
している。基板はチャンバー13内の挿入/取り出し開口
部(図示せず)を通じてロボット・ブレートによってチ
ャンバ13に出し入れされる。リフト・ペン(図示せず)
はモーター(これも図示せず)の制御下で上げ下げさ
れ、低取り付け位置57のロボット・ブレードから上記基
板が基板支持部材18の基板受け取り位置19上に基板が載
置されるより低い取り付け位置に移動される。基板受け
取り部分19は基板処理中に基板を基板支持部材19に固定
させる静電チャック20を含んでいる。1つの好ましい実
施の形態においては、基板支持部材18は酸化アルミニウ
ムまたは窒化アルミニウムによってできている。基板支
持部材18は通常基板17の温度を調節するための加熱及び
冷却要素を備えている。例えば、基板支持部材18は抵抗
性ヒーターなどの加熱要素を含んでいる。また、基板17
はチャンバ13内でプラズマからのイオンを用いてエネル
ギー照射を行うことで加熱することができる。多くの場
合、基板支持部材18は冷却液用の導管など熱交換要素を
含んでいる。基板受け取り部分19はバックサイド・ガス
(例えばヘリウムな)などの熱伝達媒体を流通させるた
めの溝または通路を含んでいる場合もある。この熱伝達
媒体は真空よりも高い熱伝導性を有しており、それによ
って基板18部材と基板17との間の熱伝達を容易に行うこ
とができる。
【0025】真空システム70はスロットル体25を含んで
おり、これはツイン・ブレード・スロットル弁26を収容
しておりガス弁27及びターボ分子ポンプ28を開閉するた
めに取り付けられている。なお、スロットル弁25はガス
流に対しては最小限の障害にしかならず、参照によって
本明細書に組み入れられている1995年12月12日出願の同
様に譲渡された米国特許出願第08/574,839に述べられ
ているようなシンメトリックなポンピングを可能にして
くれる。ゲート弁27はスロットル弁25からポンプ28を切
り離すことができ、同時に、スロットル弁26が十分に開
かれた場合排気流要領を制限することもできる。スロッ
トル弁、ゲート弁、及びターボ分子ポンプの構成は約1
ミリトール−2トールとの間の範囲内でチャンバ圧力の
正確で安定した制御を可能にしてくれる。
【0026】ソース・プラズマ・システム80Aはドーム1
4上に取り付けられたトップ・コイル29とサイド・コイ
ル30を含んでいる。左右対称のグランド・シールド(図
示せず)は上記コイル間の電気的結合を減少してくれ
る。このトップ・コイル29はトップ・ソースRF(SRF)
発生装置31Aによって駆動され、一方、サイド・コイル3
0はサイドSRF発生装置によって駆動されるので、各コイ
ルの作動の電力レベルと頻度をそれぞれ独立に制御する
ことができる。この二重コイル・システムはチャンバ13
内のラジアル・イオン密度を制御できるようにしてく
れ、それによってプラズマの均一性を改善してくれる。
サイド・コイル30とトップ・コイル29は通常誘導的に駆
動され、これによって補足的な電極は必要とされない。
1つの具体的な実施の形態で、上記トップ・ソースRF発
生装置31Aは規格2MHzで最大5,000ワットのRF電力を提供
する。トップ及びサイドRF発生装置の作動周波数はプラ
ズマ発生効率を改良するために規格作動周波数(例え
ば、それぞれ1.7−1.9MHzと1.9−2.1MHz)からずれてい
てもよい。
【0027】バイアス・プラズマ・システム80Bはバイ
アスRF(BRF)発生装置31Cとバイアス・マッチング・ネ
ットワーク32Cを含んでいる。バイアス・プラズマ・シ
ステム80Bは相補的電極として機能する基板部分17を部
材22に容量的に結合されている。一般的に、BRF発生装
置32Cは基板支持部材18、あるいは基板受け取り部材19
または静電チャック20内のバイアス電極によって結合さ
れている。このバイアス・プラズマ・システム80Bは上
記ソース・プラズマ・システム80Aによってつくられた
プラズマ種(例えばイオン)の上記基板表面への移送の
促進に寄与する。特殊な実施の形態では、バイアスRF発
生装置は13.56MHzで最大5,000ワットのRF電力を提供す
る。
【0028】RF発生装置31A及び31Bはデジタル的に制御
されるシンセサイザを含んでおり、約1.8−約2.1MHzの
範囲の周波数範囲で作動する。各発生装置は上記チャン
バとコイルからの反射電力を測定し、当業者であれば理
解できるような最低反射電力を得るように作動頻度を調
節するRF制御回路(図示せず)を含んでいる。RF発生装
置は通常50オームの特性インピーダンスで作動するよう
に設定されている。RF電力は上記発生装置とはことなっ
た特性インピーダンスを有する負荷から反射される場合
もある。これはその負荷に伝達される電力を低下させる
場合もある。さらに、その負荷から発生装置へ反射され
る負荷は過負荷を引き起こしてその発生装置を破損して
しまう場合もある。プラズマのインピーダンスは種々の
要因の中でもとりわけイオン密度によって5オーム以下
から900オーム以上の範囲にわたっており、そして、反
射電力は周波数の関数であるので、反射電力に応じて発
生装置周波数を調製するとRF発生装置からプラズマに伝
達される電力が増大させ、その発生装置を保護する。反
射電力を減少させ、効率を向上させる別の方法はマッチ
ング・ネットワークを用いる方法である。
【0029】マッチング・ネットワーク32Aと32Bは発生
装置31A及び31Bの出力インピーダンスをそのそれぞれの
コイル29及び30と合わせる。RF制御回路は負荷が変わる
と発生装置をその負荷に合わせるためにマッチング・ネ
ットワーク内のコンデンサの値を変えることで両方のマ
ッチング・ネットワークを調整することができる。RF制
御回路は負荷から発生装置へ反射される電力が一定の限
界を超えた場合にマッチング・ネットワークを調整する
ことができる。定常的なマッチングを実現してRF制御回
路が有効にマッチング・ネットワークを調整できなくす
る1つの方法は反射電力のいずれかの予想値より上の反
射電力限度を設定することである。これはほとんどの状
況でマッチング・ネットワークを一定にすることでプラ
ズマを一定の常態に安定化させるのに役立つ。
【0030】他の手段もプラズマを安定させるのに役立
つ。例えば、RF制御回路を用いて負荷(プラズマ)に与
えられる電力を判定することができるし、1つの層の堆
積中に伝達される電力をほぼ一定化するために発生装置
の出力電源を増減することもできる。
【0031】ガス伝達システム33はいくつかの供給源34
A−34Fからのガスをガス伝送ライン38(その一部だけを
図示)経由で基板を処理するためのチャンバに伝送す
る。当業者であれば容易に理解できるであろうが、供給
源34A−34fのために用いられる実際の供給源とチャンバ
13への伝送ライン38の実際の接続は一部にはそのチャン
バ13内で行われるプロセスにも依存している。ガスはガ
ス・リング37とトップ・ノズル45を通じてチャンバ13に
導入される。図1Bはチャンバ13の簡略化された部分的断
面図で、ガス・リング37のさらなる詳細を示している。
【0032】1つの実施の形態で、第1及び第2のガス
供給源34Aと34B、及び第1と第2のガスフローコントロー
ラ35A'と35B'はガス伝送ライン38(部分的にしか図示せ
ず)を経由してガス・リング37内のリング・プレナム36
にガスを提供する。ガス・リング37は基板上に均一のガ
ス流を提供する複数のソース・ガス・ノズル39(部分的
にのみ図示)を有している。ノズルの長さとノズルの角
度は1つのチャンバ内での特定のプロセスのために均一
性特性とガス利用効率を調整できるようにするために変
えることができる。1つの好ましい実施の形態で、ガス
・リング37は酸化アルミニウム・セラミックスでできた
12のソース・ガス・ノズルを有している。
【0033】ガス・リング37も複数の酸化剤ガス・ノズ
ル40(部分的にのみ図示)を有しており、これは1つの
好ましい実施の形態で、ソース・ガス・ノズル39と共平
面上にあり、その長さはソース・ガス・ノズル39より短
く、そして1つの実施の形態でプレナム41からガスを受
け取る。いくつかの実施の形態で、ガスをチャンバ13に
注入する前に、ソース・ガスと酸化剤ガスを混合しない
方が好ましい。他の実施の形態で、プレナム41とガス・
リング・プレナム36との間に開口部(図示せず)を設け
ることによってソース・ガスと酸化剤ガスをチャンバ13
内に注入する前に混合した方がよい場合もある。1つの
実施の形態で、第3及び第4のガス供給源34C及び34D及
び第3及び第4のガスフローコントローラ35C及び35D'は
ガス伝送ライン38を介してプレナムにガスを提供する。
窒素源34Fは窒素プラズマを利用したプロセス・ステッ
プのためにガスフローコントローラ35Fを介してガス・
リングの酸化剤ノズル40に、そしてさらにチャンバへと
窒素ガス(N2)を供給する。また、窒素ガスはガスフ
ローコントローラ35F'を介してトップ・ノズル45など他
の、あるいは追加的な取入口を通じてチャンバに供給す
ることもできるであろう。43Bなどの追加的な弁で流れ
コントローラからチャンバへのガスを遮断するようにす
ることもできる。
【0034】引火性、毒性、あるいは腐食性ガスが用い
られるような実施の形態においては、堆積後、ガス伝送
ライン内に残留しているガスを除去するのが望ましい。
これはチャンバ13を伝送ライン38Aから切り離して、伝
送ライン38Aを真空フォアライン44に排気するための、
例えば弁43Bなどの3方向弁を用いて達成することがで
きる。図1Aに示されているように、43A及び43Bなど他の
同様の弁を他の35A及び35Cなどのガス伝送ライン上に組
み込むこともできる。こうした3方向弁は(3方向弁と
チャンバの間の)ガス伝送ラインから排気されないガス
の体積を減少させるためにチャンバ13にできるだけ近づ
けて配置することができる。さらに、2方向(ON/OF
F)弁(図示せず)をMFCとチャンバの間、あるいはガス
供給源とMFCとの間に配置することもできる。
【0035】図1Aで、チャンバ13はまたトップ・ノズル
45とトップ・ベント46も有している。トップ・ノズル45
とトップ・ベント46はガスのトップ及びサイド・フロー
をそれぞれ独立に制御することを可能にしてくれ、それ
によって膜の均一性が向上されると同時に、その膜堆積
およびドーピングに関するパラメータを微調整できるよ
うにしてくれる。トップ・ベント46はトップ・ノズル45
の周りの環状開口部である。1つの実施の形態で、第1
のガス供給源34Aはソース・ガス・ノズル39とトップ・
ノズル45にガスを供給する。ソース・ノズル質量フロー
コントローラ(MFC)35A'はソース・ガス・ノズル39に
提供されるシランの量を制御し、トップ・ノズルMFC35A
はトップ・ガス・ノズル45に提供されるシランの量を制
御する。同様に、2つのMFC35B及び35B'を用いてソース3
4Bなど1つの酸素源からトップ・ベント46及び酸化剤ガ
ス・ノズル40の両方への酸素の流れを制御することも可
能である。トップ・ノズル45及びトップ・ベント46に供
給されるガスはガスをチャンバ13内に流し込む前には別
々にしておくことができるし、あるいはそれらがチャン
バ13内に流れ込む前にトップ・プレナム48内で混合して
もよい。チャンバのいろいろな場所に供給するために同
じガスの別の供給源を用いても差し支えない。
【0036】チャンバ成分から発生する堆積残留物を定
期的に洗浄するためにリモート超短波発生プラズマ・ク
リーニング・システム50が設けられている。このクリー
ニング・システムはリアクタキャビティー53内の、フッ
素分子、フッ化窒素、その他の炭素フッ化物やその相当
物など洗浄ガス源34Eからプラズマをつくりだすリモー
ト超短波発生装置51を含んでいる。このプラズマからも
たらされる反応性種がアプリケータ・チューブ55を介
し、洗浄ガス・フィード・ポート54を通じてチャンバ13
に送られる。クリーニング・プラズマを入れるために用
いられる(例えばキャビティー53とアプリケータ・チュ
ーブ55などの)素材はプラズマによる攻撃に対して抵抗
性のあるものでなければならない。反応キャビティー53
とフィード・ポート54は反応キャビティー53からの距
離が長くなるにつれて望ましいプラズマ種の濃度が低下
するので、できるだけ短くするべきである。リモート・
キャビティー内でクリーニング・プラズマを発生させる
と効率的な超短波発生装置の使用が可能になり、チャン
バ構成要素がin situプラズマの場合に存在するような
グロー放電の熱、放射、あるいは照射にさらされなくて
すむ。結果として、静電チャック20などのような比較的
影響を受け易い構成要素を、in situプラズマ洗浄プロ
セスで必要とされるようにダミー・ウエハで覆ったり、
あるいはその他の手段で保護しなくてもよい。
【0037】システムコントローラ60はシステム10の作
動を制御する。1つの好ましい実施の形態においては、
コントローラ60はハード・ディスク・ドライブ、フロッ
ピー・ディスク・ドライブ(図示せず)、及びカード・
ラック(図示せず)などの記憶装置62を含んでいる。カ
ード・ラックは単一ボード・コンピュータ(SBC)(図
示せず)、アナログ及びデジタル入力/出力ボード(図
示せず)、インターフェース・ボード(図示せず)、及
びステッパー・モーターコントローラボード(図示せ
ず)などを含んでいる場合がある。システムコントロー
ラはボード、カード・ケージ、及びコネクタ寸法及びタ
イプを規定するVersa Modular European(VME)標準
に準拠している。VME標準は16ビット・データ・バス及
び24−ビット・アドレス・バスを有するバス構造も定義
している。システムコントローラ60はハード・ディスク
・ドライブ上に保存されるコンピュータ・プログラムや
フロッピー・ディスク上に保存されるプログラムなど他
のコンピュータ・プログラムの制御下で作動する。コン
ピュータ・プログラムは、例えば、タイミング、ガスの
混合、RF電力レベル、及び特定のプロセスのその他のパ
ラメータを指令する。ユーザーとシステムコントローラ
との間のインターフェースは図1Cに示されているように
陰極線チューブ(CRT)65などのモニターとライト・ペ
ン66を介している。
【0038】図1Cは図1Aに例として示すCVD処理チャン
バと結合して用いられる具体的なシステム・ユーザー・
インターフェースの一部を示している。システムコント
ローラ60は記憶装置62に結合されたプロセッサ61を含ん
でいる。好ましくは、メモリー62はハード・ディスク・
ドライブであるが、もちろん記憶装置62はROM、PROM、E
PROM、CD−ROM、テープ・ドライブ、フロッピー(登録
商標)・ディスク・ドライブなどの別のタイプの記憶装
置であってもよい。
【0039】システムコントローラ60はコンピュータ・
プログラムの制御下で作動する。コンピュータ・プログ
ラムはタイミング、温度、ガス・フロー、RF電力レベ
ル、及び特定のプロセスのその他のパラメータを指令す
る。。ユーザーとシステムコントローラとの間のインタ
ーフェースは図1Cに示されているように陰極線チューブ
(CRT)65とライト・ペン66を介している。1つの好ま
しい実施の形態においては、2つのモニター65と65Aが
用いられ、1つはオペレータのためにクリーン・ルーム
壁面(65)上に取り付けられ、他方は保守技術者のため
に壁面(65A)に取り付けられている。両方のモニター
とも同じ情報を同時に示すことができるが、ライト・ペ
ン(例えば66)は1つだけしか使えない。特定のスクリ
ーンや画面を選択するために、オペレータはペンで表示
画面の1つのエリアを触れ、ボタン(図示せず)を押
す。触れられたエリアはライト・ペンの色が変わった
り、あるいは例えば新しいメニューを表示するなどし
て、選択されたことを確認する。
【0040】コンピュータ・プログラム・コードは6800
0アセンブリ言語、C、C++、Pascalなど従来のいずれ
のコンピュータ読み取り可能言語ででも書くことができ
る。適切なプログラム・コードは通常のテキスト・エデ
ィターを用いて1つのファイル、あるいは複数のファイ
ルに入れられ、そのコンピュータのメモリー・システム
などコンピュータで使用可能な媒体で保存される。入れ
られたコード・テキストが高レベルの言語で書かれてい
る場合は、そのコードはコンパイルされ、得られたコン
ピュータ・コードはその後予めコンパイルされたウィン
ドウ・ライブラリ・ルーチンのオブジェクト・コードと
結合される。結合されたコンパイルされたオブジェクト
・コードを実行するためには、システム・ユーザーがオ
ブジェクト・コードを起動させると、コンピュータ・シ
ステムがそのコードを記憶装置内にロードし、そこか
ら、CPUはそのプログラム内に識別されているタスクを
実行するためにコードを読み出して実行する。
【0041】図1Dコンピュータ・プログラム70の階層的
制御構造を示す構成図である。ユーザーはライト・ペン
・インターフェースを用いてCRTモニター上に表示され
たメニューや画面に対応してプロセス・セット番号とプ
ロセス・チャンバ番号を入力する。プロセス・セットは
特定のプロセスを実行するのに必要なプロセス・パラメ
ータの予め決めたセットであり、予め決められたセット
番号で識別される。プロセス・セレクタ・サブルーチン
73は(i)多重チャンバ・システム内の望ましいプロセ
ス・チャンバと、(ii)望ましいプロセスを実行するた
めにそのプロセス・チャンバを作動させるのに必要なプ
ロセス・パラメータの望ましいセットを表示する。特定
のプロセスを実行するためのプロセス・パラメータは、
例えば、プロセス・ガス組成及び流量、温度、圧力、RF
電力レベルなどのプラズマ条件、そしてチャンバ・ドー
ム温度などのプロセス条件と関連しており、レシピの形
状でユーザーに提供される。レシピで指定されたパラメ
ータはライト・ペン/CRTモニター・インターフェース
を用いて入力される。
【0042】そのプロセスをモニタリングするための信
号はシステムコントローラのアナログ入力及びデジタル
入力ボードによって提供され、そのプロセスを制御する
ための信号はシステムコントローラ60のアナログ出力及
びデジタル出力ボード上で出力される。
【0043】プロセス・シーケンサー・サブルーチン75
は表示されたプロセス番号とプロセス・パラメータのセ
ットをプロセス・セレクタ・サブルーチン73から受け取
り、種々のプロセス・チャンバの作動を制御するための
プログラム・コードで構成されている。複数のユーザー
がプロセス・セット番号とプロセス・チャンバ番号を入
力することができ、あるいは、ひとりのユーザーが複数
のプロセス・セット番号とプロセス・チャンバ番号を入
力することができるので、シーケンサー・サブルーチン
75は選択されたプロセスを望ましい順番で実行するよう
に作動する。好ましくは、シーケンサー・サブルーチン
75は(i)チャンバが使用中かどうかを判定するために
そのプロセス・チャンバをモニタリングするステップ
と、(ii)使用中のチャンバでどのプロセスが実行され
ているかを判定するステップと、(iii)プロセス・チ
ャンバの利用可能性と実行されるべきプロセスのタイプ
に基づいて望ましいプロセスを実行するステップ、を実
行するためのプログラム・コードを含んでいる。ポーリ
ングなど、プロセス・チャンバをモニタリングするため
の従来の方法を使用することができる。どのプロセスを
実行すべきかスケジュールを決める場合、シーケンサー
・サブルーチン75は使用中のプロセス・チャンバの現在
の条件を選択されたプロセスにとって望ましいプロセス
条件との比較したり、各ユーザー入力リクエストの『エ
イジ(年齢)』あるいはシステム・プログラマがスケジ
ュール上の優先順位を判定するために含めたいと思う他
の適切なファクターを考慮するように設定することがで
きる。
【0044】シーケンサー・サブルーチン75がどのプロ
セス・チャンバとプロセス・セット番号の組み合わせを
次に実行すべきかについて判定したら、シーケンサー・
サブルーチン75はシーケンサー・サブルーチン75によっ
て決められたプロセス・セットに従って種々のプロセス
・チャンバ(図示せず)内の複数の処理タスクを制御す
るチャンバ・マネジャー・サブルーチン77a−77cに特
定のプロセス・セット・パラメータを送ることによっ
て、そのプロセス・セットを実行させる。特にチャンバ
・マネジャー・サブルーチン77aは図1AのHDP−CVDチャ
ンバなどCVDチャンバを制御する。
【0045】チャンバ構成要素サブルーチンの実例とし
ては基板位置決めサブルーチン80、プロセス・ガス制御
サブルーチン83、圧力制御サブルーチン85、ヒーター制
御サブルーチン87、そしてプラズマ制御サブルーチン90
である。当業者であれば、チャンバ13内でどのようなプ
ロセスの実行を望むかに応じて、他のチャンバ制御サブ
ルーチンを含めることができることは容易に理解できる
であろう。作動中、チャンバ・マネジャー・サブルーチ
ン77aは実行されている特定のプロセス・セットに従っ
て、プロセス構成要素サブルーチンをスケジュールした
りコールしたりすることができる。チャンバ・マネジャ
ー・サブルーチン77aによるスケジューリングはシーケ
ンサー・サブルーチン75がどのプロセス・チャンバとプ
ロセス・セットを実行すべきかを決める際に用いるのと
同様の方法で行われる。通常、チャンバ・マネジャー・
サブルーチン77aは種々のチャンバ構成要素をモニタリ
ングしたり、実行すべきプロセス・セットのためのプロ
セス・パラメータに基づいてどの構成要素を作動させる
べきかを判定し、そして、モニタリング及び判定ステッ
プに対応するチャンバ構成要素サブルーチンを実行させ
たりするステップを含んでいる。
【0046】特定のチャンバ構成要素サブルーチンの動
作について図1Dを参照して以下に説明する。基板位置決
めサブルーチン80は基板を基板支持部材18上に載せるた
めに用いられるチャンバ構成要素を制御するためのプロ
グラム・コードを含んでいる。基板位置決めサブルーチ
ン80は、他の処理が完了した後、多重チャンバ・システ
ム内のPECVDまたは他のリアクタからチャンバ13への基
板の移送も制御する。
【0047】プロセス・ガス・サブルーチン83はプロセ
ス・ガス組成と流量を制御するためのプログラム・コー
ドを有している。サブルーチン83は安全遮断弁の開放/
閉鎖位置を制御し、望ましいガス流量を得るために質量
フローコントローラをランプ・アップ/ダウンすること
ができる。プロセス・ガス制御サブルーチン83を含めす
べてのチャンバ構成要素サブルーチンはチャンバ・マネ
ジャー・サブルーチン77aによって起動される。サブル
ーチン83はチャンバ・マネジャー・サブルーチン77aか
ら望ましいガス流量に関連したプロセス・パラメータを
受け取る。
【0048】典型的に、プロセス・ガス制御サブルーチ
ン83は、ガス供給ラインを開き、(i)必要な質量フロ
ーコントローラを読み取り、(ii)チャンバ・マネジャ
ー・サブルーチン77aから受け取った望ましい流量の読
み取り値を比較し、そして(iii)必要に応じてガス供
給ラインの流量を調整するステップを繰り返すことで作
動する。さらに、プロセス・ガス制御サブルーチン83は
ガス流量が安全かどうかをモニターして、安全でない状
態が検出されたら安全遮断弁を作動させるステップを含
んでいる場合もある。
【0049】いくつかのプロセスで、反応性のプロセス
・ガスがチャンバに導入される前に、そのチャンバ内の
圧力を安定化させるためにアルゴンなどの不活性ガスが
チャンバに導入される。これらのプロセスのために、プ
ロセス・ガス制御サブルーチン83はそのチャンバ内の圧
力を安定化させるために必要な時間チャンバ13内に不活
性ガスを流入させるステップを含むようにプログラムさ
れる。その後、上に述べたステップを実行することがで
きる。
【0050】さらに、プロセス・ガスを例えばテトラエ
チルオキシシラン(TEOS)などの液体前駆体から蒸発さ
せることが必要な場合は、プロセス・ガス制御サブルー
チン83はバブラー・アセンブリ内でその液体前駆体を通
じてヘリウムなどのデリバリ・ガスを泡立てたり、ある
いはヘリウムを液体注入弁に導入したりするためのステ
ップを含んでいてもよい。このタイプのプロセスの場
合、プロセス・ガス制御サブルーチン83は望ましいプロ
セス・ガス流量を得るためにデリバリ・ガスのフロー、
バブラー内の圧力、バブラー温度を調節する。上に述べ
たように、望ましいプロセス・ガス流量は圧力パラメー
タとしてプロセス・ガス制御サブルーチン83に送られ
る。
【0051】さらに、プロセス・ガス制御サブルーチン
83は一定のプロセス・ガス流量のために必要な値を含む
保存されたテーブルにアクセスして、望ましいプロセス
・ガス流量のために必要なデリバリ・ガス流量、バブラ
ー圧力、及びバブラー温度を得るステップを含んでい
る。必要な値が得られたら、デリバリ・ガス流量、バブ
ラー圧力、及びバブラー温度がモニターされて、必要な
値と比較され、必要に応じて調節される。
【0052】プロセス・ガス制御サブルーチン83はさら
にウエハ・チャック内の内側及び外側通路を通じてのヘ
リウム(He)などの熱伝達ガスのフローを独立のヘリウ
ム制御(HC)サブルーチン(図示せず)で制御すること
もできる。このガス流はその基板をチャックに熱的に接
合させる。一般的なプロセスにおいては、そのプラズマ
とその層を形成する化学反応はウエハを加熱し、そし
て、Heはチャックを通じて基板を冷却するが、これは水
で冷却されてもよい。これは基板をその基板上の予め存
在しているフィーチャーを損傷する可能性のある温度以
下に保持する。
【0053】圧力制御サブルーチン85はそのチャンバの
排気部分のスロットル弁の開口部のサイズを調整するこ
とでチャンバ13内の圧力を制御するためのプログラム・
コードを含んでいる。スロットル弁でチャンバを制御す
るためには少なくとも2つの基本的な方法が存在する。
第1の方法は、チャンバ圧力がとりわけ総プロセス・ガ
ス・フロー、プロセス・チャンバのサイズ、及びポンピ
ング容量と関連しているので、そのチャンバ圧力の特徴
づけに依存している。第1の方法は弁26を固定位置に設
定する。スロットル弁26を固定位置に設定することは安
定した圧力につながる。
【0054】また、チャンバ圧力は、例えばマノメータ
で測定することができ、スロットル弁26の位置は制御ポ
イントがガス流及び排気容量で設定される境界内にあれ
ば圧力制御サブルーチン85に従って調整することができ
る。前の方法は後者の方法に関連しているような測定、
比較、計算などの作業を必要としないので、より迅速に
チャンバ圧力を変化させることができる。チャンバ圧力
の正確な制御が必要ではない場合は前者の方法が望まし
く、層の堆積中などのように正確で、反復可能で、そし
て安定した圧力が必要な場合は後者の方法が望ましい。
【0055】圧力制御サブルーチン85が起動されると、
望ましい、あるいは目標とする圧力レベルがチャンバ・
マネジャー・サブルーチン77aからのパラメータとして
受け取られる。圧力制御サブルーチン85はそのチャンバ
に接続された1つまたは複数の通常の圧力マノメータで
読み取ることでチャンバ13内の圧力を測定し、その測定
値を目標圧力と比較し、目標圧力に対応して保存された
圧力テーブルから比例的、積分、及び微分(PID)値を
得て、圧力テーブルから得られたPID値に従ってスロッ
トル弁26を調節するように作動する。また、圧力制御サ
ブルーチン85はチャンバ13内の圧力を望ましい圧力ある
いは圧力範囲に調節するために特定の開口部サイズに合
わせてスロットル弁26を開閉することができる。
【0056】ヒーター制御サブルーチン87は基板の温度
及び/またはチャンバ13内の温度を制御するためのプロ
グラム・コードを含んでいる。チャンバ温度を制御する
ためには少なくとも2つの基本的な方法がある。第1の
方法は基板温度に依存しているが、それは基板温度がと
りわけプラズマによって与えられる力全体に関係してい
るからである。第1の方法はソースRF電力及び/または
バイアスRF電力のレベルを調節する。電力レベルを増大
させると、一般的には基板温度も上昇する。電力レベル
を低下すると、通常は基板温度も低下する。第1の方法
はチャンバ13の温度を制御するためにも用いることがで
きる。
【0057】また、チャンバまたは基板温度を熱伝対ま
たはパイロメータで測定することができ、温度は別の温
度コントローラで制御される。そうした温度コントロー
ラはヒーター要素、冷却要素、あるいはその両方を含ん
でいる。そうした加熱/冷却要素は基板支持部材18、チ
ャンバ13または両方に結合することができる。一部チャ
ンバはドーム14のための別の温度コントローラを含んで
いる。
【0058】温度制御サブルーチン87が起動されると、
望ましい、あるいは目標とする圧力レベルはチャンバ・
マネジャー・サブルーチン77aからパラメータとして受
け受け取られる。温度制御サブルーチン87はチャンバ及
び/または基板に接続された1つまたは複数の通常の温
度センサーを読み取ることでチャンバ13または基板17の
温度を測定し、測定値を目標温度と比較し、保存された
圧力テーブルから上記目標圧力と対応した比例的、積
分、及び微分(PID)を得、圧力テーブルから得られたP
ID値に従ってソースRF発生装置31A、バイアスRF発生装
置31B、そしてチャンバ/基板加熱/冷却要素の一定の
組み合わせを調節するように作動する。また、温度制御
サブルーチン87はソースRF発生装置31A及び/またはバ
イアスRF発生装置31Bを特定の電力レベルに設定して基
板17の温度を望ましい温度または温度範囲に調節するこ
とができる。
【0059】プラズマ制御サブルーチン90はRF発生装置
31A及び31Bの周波数及び電力出力設定を制御するため、
及びマッチング・ネットワーク32A及び32Bを調整するた
めのプログラム・コードを含んでいる。プラズマ制御サ
ブルーチン90は前に述べたチャンバ構成要素サブルーチ
ンの場合と同様、チャンバ・マネジャー・サブルーチン
77aによって起動される。当業者であれば、プラズマの
制御によって基板温度を調節する場合、温度制御サブル
ーチン87をプラズマ制御サブルーチン90内に全体的、あ
るいは部分的に組み込むことができることは理解できる
であろう。
【0060】上に述べたサブシステム及びルーチンの一
部あるいは全てを組み込んだシステムの例は本発明を実
行するように構成されたApplied Materials社によって
製造されたUltima Systemであろう。こうしたシステム
の詳細は共同発明者として、Fred C. Redeker, Farhad
Moghadam, HIrogi Hanakawa , Tetsuya Ishikawa ,Dan
Maydan, Shijian Li, Brian Lue, Robert Steger, Yax
in Wang, Manus Wong, and Ashok Sinhaが共同発明者と
してリストアップされ、1996年7月15日に提出された
『左右対称的に調節可能な誘導的に結合されたHDP−CVD
リアクタ』と題する米国特許出願第08/679,927に開示
されており、その開示は参照によって本明細書に組み込
まれる。
【0061】III. 例示的構造 図2は本発明による集積回路200の簡略化さえた断面図
を示す。図2に示されているように、集積回路200はNMO
S及びPMOSトランジスタ203及び206を含んでおり、これ
らはフィールド酸化物領域220によって相互に分離さ
れ、電気的に切り離されている。トランジスタ203及び2
06のそれぞれはソース領域212、ドレイン領域215、及び
ゲート領域118を含んでいる。
【0062】プレメタル誘電層221はトランジスタ203及
び206を金属層240から切り離しており、金属層230とト
ランジスタ203、206間の接続は接触子224によって行わ
れる。金属層240は、例えば、集積回路200内に含まれて
いる4つの金属層240、242、244、及び246のうちの1つ
である。各金属層はバリア層227a、228a、ギャップ・
フィル層227b、228b、229b、及びキャップ層227c、
228c、229cを含む金属間誘電(IMD)層227、228、及
び229によって隣接する金属層から分離されている。隣
接金属層はヴィアス226によって選択された開口部で接
続されている。堆積されたオーバー金属層246は、例え
ば、バリア層230a、ギャップ・フィル層230b、及びキ
ャップ層230cを含む平面化された不動体化層230であ
る。
【0063】好ましくは、ギャップ−フィル層227b、2
28b、229b、及び230bの少なくとも1つは本発明の第
1の実施の形態に従って酸素で処理されたFSGを含んでい
る。キャップ層227c、228c、229c及び230cの少なく
とも1つが本発明の第2の実施の形態による低圧ストラ
イクを用いて堆積されるのがより好ましい。上記第1の
実施の形態に従って酸素で処理されたギャップ−フィル
層上に第2の実施の形態によるキャップ層を堆積させる
のが最も好ましい。
【0064】本発明による層は集積回路200内に示され
ている誘電層のそれぞれで利用することができるであろ
う。本発明による層はダマシン層でも使うことができ
る。ダマシン層では、ブランケット沿うが基板上に堆積
され、基板に至るまで選択的にエッチングされ、そし
て、金属を充填させ、エッチ・バックあるいは研磨され
て224などの金属接触子を形成する。金属層が堆積され
た後、第2のブランケット堆積が行われ、選択的にエッ
チングされる。エッチングされた領域はその後金属を充
填され、エッチ・バックまたは磨かれて226などのヴァ
イアスを形成する。
【0065】なお、簡略化された集積回路100は説明目
的のためだけである。当業者であればマイクロプロセッ
サ、アプリケーション固有集積回路(ASIC)、記憶装置
など他の集積回路の製造に本発明を適用することができ
るであろう。
【0066】IV.例示的低誘電率膜 本発明の実施の形態に従って堆積された誘電膜は一般的
には低い誘電率を持っている。誘電率が低いということ
はここではドーピングされていない酸化ケイ素膜の誘電
率より低いことを意味している。一般的に、酸化ケイ素
(SixOy)の誘電率kは約4である。kが4以上の膜は
高k膜と呼ばれる。低誘電率はフルオロケイ酸塩ガラス
(FSG)の層を形成するためにその膜の酸化ケイ素層に
組み込まれるフッ素原子によってもたらされる。こうし
た誘電膜は金属間誘電体(IMD)膜あるいはその他のタ
イプの誘電体層として用いることができる。以下の具体
的例は銅トレース上に形成されたIMD層を示しており、
これらは合わせても0.25ミクロンの厚さで、アスペク
ト比は4:1である。この膜は薄い窒化ケイ素層を有して
おり、これはFSGに強力に接着している。窒化ケイ素層
は銅とFSG層との間の分散バリアとして機能する。従っ
て、この層は誘電率が低くなっているばかりでなく、優
れたギャップ充填性も有しており、半導体基板上で予め
存在している銅構造との共存性においても優れている。
【0067】図3は2層酸化ケイ素膜300を有する本発明
の1つの実施の形態の簡略断面図である。窒化ケイ素、
例えばSi34などのHDP−CVD層302が伝導性トレース30
4、305及び基板306上に堆積されている。基板306は、例
えば、シリコン・ウエハあるいは既存の構造あるいは層
を有するシリコン・ウエハなどである。この窒化ケイ素
層は拡散バリアとして機能し、同時に圧縮性の層で、特
に金属クラッキング及び電子移行などの不具合に関して
その下側にある金属トレースの信頼咳を高める役割を果
たしている。窒化ケイ素層302は本発明の第2の実施の形
態に従って堆積させることができる。FSGによるHDP−CV
D層308は窒化ケイ素層302の表面310上に堆積される。FS
G308は通常のHDP−CVDによって堆積された後、酸素312
によって処理される。オプションとしてのキャップ層31
4を堆積させて、FSG層を密封し、その後で行われる一般
的な半導体プロセスに耐えられるような表面をもたらす
こともできる。好ましくはキャップ層314は本発明の第2
の実施の形態に従って堆積された窒化ケイ素層である。
【0068】V.低誘電率膜の堆積 図4は酸素処理がFSG層の安定性を強化している本発明
による堆積方法の1つの実施の形態のフロー・チャート
である。この実施の形態で、この処理は1つの処理チャ
ンバ内で行われるが、このプロセスは多重チャンバ・シ
ステムに対しても適用することができ、あるいは一連の
異なったチャンバやシステムで行うこともできる。同様
に、以下に述べるプロセス・パラメータは8インチ・プ
ロセス・ウエハのためのものであるが、このプロセスは
10インチ・ウエハなど他のウエハに対応できるように修
正することもできる。
【0069】ウエハは真空ロック・ドアあるいはスリッ
ト弁を通じて処理チャンバ内の基板支持部材上に載置さ
れ(ステップ402)、望ましい処理位置まで移動され
る。ケイ素源、フッ素源、及び酸素源を含むプロセス・
ガスがそのチャンバに導入され、ウエハ上にFSG(ステ
ップ404)の層を堆積させるために高密度プラズマが形
成される。好ましい実施の形態において、ケイ素源はモ
ノシラン(SiH4)であり、フッ素源はケイ素源とも考え
られるテトラフルオロシラン(SiF4)であり、そして
酸素源は二原子酸素(O2)である。また、TEOSあるいは
ジシラン(Si2H6)、トリシラン(Si3H8)、テトラシラ
ン(Si4H10)などの他のシラン類もケイ素源として用い
ることもできる。同様に、F2などの他のガスもフッ素源
として用いることができる。オゾン(O3)を別の酸素源
として用いることも可能である。プラズマはオプション
としてヘリウムやアルゴンなどの不活性ガスを含むこと
もできる。一般的にはヘリウムよりアルゴン内での方が
プラズマを発生させやすい。ネオン、クリプトン、ある
いはキセノンなどの他の不活性ガスも用いることができ
るが、クリプトン及びキセノンはヘリウム及びアルゴン
より高価になる傾向が強い。
【0070】これらのガスは、SiF4の場合、1分間あた
り90及び94標準立法センチメートル(Sccm)の範囲の流
量で、SiH4の場合は50−54sccm、そしてO2の場合、155
−165sccmの範囲の流量で提供される。ガス流量はより
好ましくはSiF4の場合1分間あたり約94標準立法セン
チメートル(sccm)、SiH4の場合は50sccm、そしてO2
場合は160sccmである。チャンバ内の圧力は通常は約3.
5−6ミリトールの範囲、好ましくは約4ミリトールに
設定、保持される。プラズマは単一、あるいは混合周波
数RF電力の適用によって形成することができる。一般的
に、SRF発生装置31Aは約1.7−1.9Hzの範囲の周波数、
好ましくは約1.8Hzの周波数、及び約800及び1000W、好
ましくは約800Wの電力レベルで作動するRF電力トップ・
コイル29を提供する。SRF発生装置31Bはサイド・コイル
30に対して2.0MHz−2.1MHzの範囲の周波数、及び約25
00−3500W、好ましくは約3000Wの範囲でRF電力を与え
る。バイアスRF電力は約13.56MHzの周波数と約800W−
2000W、好ましくは1800Wの電力で基板17に提供される。
ウエハ温度は通常380℃から400℃の範囲に保持される。
チャンバ温度は通常約70−75℃の範囲、好ましくは約75
℃に保持される。
【0071】上記のプロセス条件は厚みが約6,000オン
グストロームから12,000オングストローム、好ましく
は約8,000−10,000オングストロームのFSG層を形成す
るのに十分な時間維持される。実際の堆積時間が用いら
れるチャンバに依存する。例として、図1aから1dに
示す例としてのチャンバの場合は、上のパラメータを用
いて厚さ8000オングストロームのFSG層を約90秒で堆積
させることができる。この段階で、上の条件で堆積され
たFSG膜は比較的高い酸素に対するフッ素原子比を有し
ている。好ましい実施の形態においては、FSG層は%PHR
で測定してFSG層を酸素で処理する前の段階で約7.8%
から約8.0%の範囲のフッ素濃度を有している。一般的
に、O2/Si比率は約1.0−1.2の範囲であり、好ましく
は1.1程度である。このO2/Si比率は以下に示すよう
に、O2、SiF4、及びSiH4の流量に依存している。 O2/Si = O2(SiF4 + SiH4) 通常、SiF4/SiH4流量比率は約1.7−約1.8の範囲であ
り、好ましくは約1.75である。実際の流量は使用され
るチャンバに依存している。
【0072】FSG層の誘電率k及び屈折率nはFSG膜にお
けるフッ素の原子比率(パーセント)に依存している。
通常、スッ素含有量が大きくなればなる程、k及びnの
値は小さくなる。フッ素含有量が0at%程度のFSG膜、ド
ーピングされていないケイ酸塩ガラス(USG)は通常k
値が約4、屈折率が約1.46である。FSG膜をフッ素で10
at%程度までドーピングすると、屈折率は3.4−3.7の
範囲となり、そして屈折率は1.40−1.43の範囲とな
る。膜の誘電率は通常は堆積された膜のキャパシタンス
及び電圧(C−V)測定とk値が分かっている基準膜に
よって判定される。
【0073】FSGを堆積させるための上に述べた方法に
加えて、フッ素化シリコン・ガラス(FSG)を形成する
ためのいくつかの異なったプロセスが知られている。そ
うした別のプロセスの1つはPECVD堆積プロセスでトリ
エトキシフルオロシラン(TEFS)をテトラエチルオキシ
シラン(TEOS)と共に用いる。FSG膜を形成するさらに
別のプロセスはPECVDプロセスにおいてフッ素源としてC
2F6を用いる。そうしたFSG堆積プロセスはApplied Mat
erialsに譲渡された米国特許出願第09/075,592に述べ
られている。
【0074】FSG層を形成した後、FSG層の表面は酸素に
対するフッ素の比率を低くするために酸素で処理される
(ステップ406)。酸素処理は、例えば、酸素やオゾン
への露出中にFSG層を加熱したり、活発な酸素イオンま
たは活発な中性酸素原子で照射することによって達成で
きる。1つの好ましい実施の形態においては、FSG層は
その内部でFSGが堆積されたのと同じチャンバ内でプラ
ズマからの酸素イオンによって照射される。通常、SiF4
とSiH4フローはFSG堆積の終了時に停止され、一方、酸
素流量はステップ404の場合のようにほぼ同様の水準に
維持される。プラズマは堆積の際に用いられたのとほぼ
同じソースRFに対する電力と周波数設定を用いて保持さ
れる。バイアスRF周波数は通常FSG堆積中と同様、酸素
処理中同じ値を保持するが、BRF電力は堆積中に用いら
れた値より3分の1程度増大される。より好ましい実施
の形態では、BRF電力は酸素処理中1500W−1800Wの範囲
に保持される。
【0075】酸素処理中、ウエハ温度は約420℃に維持
される。チャンバ圧力は、約10秒から1分間、好ましく
は20秒間程度、約1.8−2.5ミリトール、好ましくは約
2ミリトールに保持される。処理システムを通じての高
いウエハ処理量が望ましく、従って、十分な酸素処理を
行いつつ、同時に処理時間はできるだけ短くする必要が
ある。必要となる正確な時間はその前のHDP−CVDがどの
ように行われたかも含めて多くのファクターに依存して
いる。酸素はFSG層内に入り込み、そこでフッ素と反応
して得られる膜をより安定なものとする。FSG層は%PHR
で測定して、酸素による処理後、約7.5%から約7.8%
程度の範囲のフッ素濃度を有している。
【0076】オプションとして、窒化ケイ素キャップ層
をFSG層上に堆積させてもよい(ステップ408)。このキ
ャップ層は下側の層の低誘電率を達成するために必要で
はないが、FSG層をその後で行われる集積回路処理ステ
ップとより折り合いをよくしてくれる。FSG層はキャッ
プ層形成の前に平面化、あるいは高密度化することがで
きる。キャップ層は上に述べたプロセスと同様のHDP−C
VDプロセスを用いて形成することができる。しかしなが
ら、非常に薄い、例えば厚みが1000オングストローム以
下の膜の堆積を制御するためには、プラズマを開始する
ために特別の低圧ストライクが必要である。
【0077】VI.低圧ストライクを用いたキャップ層の
堆積 FSG膜上に窒化ケイ素の薄いキャップ層を堆積させるの
が好適である。ダマシンアプリケーションにおいては、
銅上に堆積された窒化ケイ素は上側あるいは下側層内へ
の銅の拡散に対するバリアとしての役割を果たす。ま
た、FSGなどの誘電層上に堆積された窒化ケイ素はエッ
チング・ステップとしての役割も果たす。窒化ケイ素は
約10%の原子%を含んだFSGの場合が3.4であるのに対
してk値が約7程度であるので、窒化ケイ素の薄い層が
望ましい。複合誘電層の有効な誘電率はその膜を構成す
る各層の厚さ及び誘電率に依存する。一般的に、誘電率
がそれぞれK1とK2、そして厚さがそれぞれd1とd2であ
る2つの層で構成される膜の場合、その膜の有効誘電率
effは各層の誘電率及び厚みに依存している。通常、
より薄い層はその膜の有効誘電率により大きな影響を及
ぼす。従って、窒化ケイ素はFSGよりずっと大きな誘電
率を有しているが、窒化ケイ素層が全体的な膜厚と比較
して十分に薄ければ、その膜の有効誘電率はFSGの誘電
率に近づけることができる。
【0078】薄いことに加えて、窒化ケイ素は通常均一
でなければならない。FSG堆積後に均一な薄い窒化ケイ
素層を堆積させるためには、しばしば堆積ガスを流動さ
せながらプラズマを発火させることが望ましい。図5は
低圧ストライクを用いて本発明によるキャップ層を堆積
させる方法の1つの実施の形態のフロー図である。低圧
ストライク法は本願と同時に出願され、参照によって本
明細書に組み入れられ、共譲渡された、『HDP−CVDチャ
ンバにおける低圧ストライク』と題する米国特許出願、
弁理士整理番号AMAT/3272/PDD/KPU3/JWに十分に述
べられている。図5に示されている方法の実施の形態は
図4のステップ408の好ましい実施の形態である。この
方法500は上に述べたようなFSG層の酸素処理後に開始さ
れる。別の方法として、ステップ404の後でキャップ層
を方法500に従って堆積させてもよい。この方法はステ
ップ502後に、フッ素、ケイ素、及び酸素源を遮断して
開始される。しかしながら、いずれかの不活性ガス流は
そのまま流動させておいてよい。前のステップで不活性
ガスが用いられない場合は、不活性ガスの流れが他のガ
スを遮断する前に確立される。従って、不活性ガスのプ
ラズマがチャンバ内で確立される。好ましい実施の形態
においては、不活性ガスはアルゴンであり、180−200sc
cmの範囲の流量で提供される。ソースRFは通常トップ及
びサイド・コイルのそれぞれに対して1.8Hzから2.07H
zの範囲の周波数に維持される。ソースRF電力は約4000W
−5000Wの範囲、そして好ましくは約4500Wに維持され
る。バイアスRFはステップ504で不活性プラズマからの
イオンが膜を照射する運動エネルギーを減らすためにOF
Fされる。
【0079】基板温度はステップ506で確立される。窒
化ケイ素(SixNy)のキャップ層の場合、通常、堆積を
行う前に約430℃程度の基板温度が確立される。例え
ば、基板をプラズマに露出させるなど、基板を確立する
ために不活性プラズマだけを基板を加熱するために用い
てもよい。露出時間はその基板のために必要な温度上昇
幅に依存する。通常、基板が熱ければ熱い程、それを加
熱する時間は短くて済む。処理量を増大させるために
は、一般的に下側の層が堆積された後で、つまり、ウエ
ハがすでに熱くなっている場合、できるだけ早くキャッ
プ層を堆積させるのが好適である。例えば、FSG堆積後
すぐにキャップ層を堆積させねばならない場合は、基板
はすでにかなり熱くなっている。こうした状況において
は、基板を不活性プラズマに約10秒間程度露出させれ
ば、通常はキャップ層堆積のために基板を望ましい温度
まで加熱するのに十分である。また、基板支持における
加熱要素を単独あるいはプラズマと組み合わせて基板を
加熱するために用いることができる。堆積のための望ま
しい温度が確立されたら、不活性ガスが依然として流れ
ている状況でステップ508でソースRFをOFFする。ソース
RFばなければ、チャンバ内にプラズマは存在しない。ソ
ースRFがOFFされた瞬間に、堆積ガスがそのチャンバに
導入されて、不活性ガスと混合される。SixNyキャップ
層の場合、堆積ガスは通常はケイ素源と窒素源を含んで
いる。1つの好ましい実施の形態で、ケイ素源はSiH4
あり、窒素源は二原子窒素(N2)である。また、Si2H6
などの他の有機シランをケイ素源として用いることがで
き、アンモニア(NH3)などの他の窒素含有ガスを窒素
源として用いることができる。
【0080】ステップ510で、堆積ガスの流量が確立さ
れる。SixNy堆積の好ましい実施の形態においては、SiH
4流量は16から20sccmの範囲であり、N2流量は230から27
0sccmの範囲である。通常、ガス伝送システム内の質量
フローコントローラがそれぞれの設定された流量を確率
するために3から6秒間待機する必要がある。正確な待機
時間はそのガス伝送システム内の個別質量フローコント
ローラに依存する。チャンバ圧力もこの時点で確立され
る。低圧ストライクの場合、チャンバ圧力は通常1から1
00ミリトールの範囲である。好ましくはチャンバ圧力は
約40ミリトール未満である。窒化ケイ素堆積の場合、チ
ャンバ内の圧力は通常この段階で4から7ミリトールの範
囲である。
【0081】なおステップ502から508まではオプション
可能である。これらのステップは同じチャンバで下側の
層の堆積が終了した直後にインサイチュ(in situ)で
キャップ層を堆積させるために低圧ストライクが用いら
れるような特別の場合に用いられる。また、低圧ストラ
イク法はステップ510におけるようにガス流量及びチャ
ンバ圧力の安定化と同時に開始することができる。
【0082】流量とチャンバ圧力が確立されると、ステ
ップ512でチャンバ内で弱いプラズマが確立される。通
常、約40ミリトール未満の圧力の場合、誘導的に結合さ
れたプラズマより容量的に結合されたプラズマを確立す
る方がより簡単である。弱いプラズマが確立されると、
ソースRFがONされてステップ514で堆積プラズマが確立
される。弱いプラズマという表現はそのプラズマ電力が
基板上に形成される装置に対する損傷を回避するのに十
分な低さであることを意味している。そうした弱い、容
量的に結合されたプラズマは基板支持部材18に対して直
流(DC)あるいはRFバイアスを負荷して電場を発生させ
ることで確立することができる。1つの実施の形態にお
いて、容量的に結合された弱いプラズマは300W−1000W
の範囲の電力を最大0.1秒間のバイアス期間基板バイア
ス(例えば、BRF発生装置31C)をONすることで確立され
る。実際の電力はある程度処理される基板のサイズに依
存する。例えば、200mm基板の場合、バイアス電力は好
ましくは1500−2000ワットの範囲、好ましくは約1800W
である。対応する電力密度は好ましくは約4.8W/cm2
−6.4W/cm2、より好ましくは約5.7W/cm2である。よ
り大きな、あるいはより小さな基板の場合は、バイアス
電力密度はほぼ同じ範囲であり、バイアス電力はウエハ
の表面積とほぼ比例している。
【0083】一旦弱いプラズマが確立されると、ソース
RFがONされてステップ514で堆積プラズマが確立され、
そしてソースRFがONされるとすぐ基板バイアスがOFFさ
れる。ソースRFがONされる前に基板バイアスがOFFされ
ると、プラズマは通常消失してしまい、これは望ましく
ない。従って、通常ソースRFとバイアスRFの両方がONさ
れる期間に多少のオーバーラップがある。通常このオー
バーラップ期間はバイアス期間のほぼ後半に相当する。
例えば、基板バイアスが0.5−1.0秒間のバイアス期間
ONされると、ソースRFは基板バイアスがONされる最後の
0.25−0.5秒にオーバーラップする期間ONされる。通
常、バイアス期間とオーバーラップ期間はできるだけ短
くするのが望ましい。バイアス期間とオーバーラップ期
間の下限は通常発生装置の応答速度と基板バイアス及び
ソースRF信号の電子的特性に依存する。
【0084】キャップ層は堆積プラズマを用いてステッ
プ516で堆積される。通常、基板バイアスは窒化ケイ素
堆積中はONされる。バイアスRFは酸化ケイ素など他の堆
積プロセス中に用いられることも時々ある。ガスの流量
とRF設定、及びチャンバ圧力が決まると、堆積されるキ
ャップ層の厚さは堆積時間に大きく依存する。 一般的
に、堆積時間が長くなると、膜はより薄くなる。堆積ガ
スの流量はプラス間発生の前に確立されているので、最
初の堆積は先行技術におけるより均一性が高まる。結果
的に1000オングストローム以下の非常に均一な膜を堆積
させることができる。最も好ましい実施の形態において
は、流量はArの場合200sccm、SiH4の場合18sccm、そし
てN2の場合250sccmであり、ソースRFは約4500Wの総電
力、そしてチャンバ圧力は約7−8ミリトールである。こ
れらの条件の下で、堆積時間を50秒から60秒とすると、
厚さが800−1000オングストロームのSixy膜が形成さ
れる。ここで述べられているような低圧ストライクを用
いて堆積された窒化ケイ素膜はその不均一性が2.25%
と非常に低い。この均一性は先行技術と比較してかなり
高い。さらに、低圧ストライクで堆積された膜の非不均
一性は堆積が開始されてから最大で少なくとも65秒間程
度保持される。従って、低圧ストライクを用いて堆積さ
れた非常に薄い膜(例えば、約300オングストローム)
でも非常に均一である。
【0085】本発明による方法の1つの実施の形態をFS
G層上に窒化ケイ素キャップ層を堆積させる例を参照し
て上に説明したが、その基本的範囲を逸脱せずに他の、
そしてさらに別の本発明の実施の形態を考案することは
可能である。例えば、FSG堆積ステップと窒化ケイ素堆
積ステップを逆にすることができる。つまり、薄い(<
1000オングストローム)窒化ケイ素層を上に図5を参照
して説明したように低圧ストライクを用いて基板上に堆
積させ、そしてFSG、あるいはその他の素材の層を、例
えばHDP−CVDを用いて窒化ケイ素上に堆積させることは
可能であろう。窒化ケイ素はFSGとその下側の層の間の
バリア層として機能する。さらに第2の低圧ストライク
を用いて別の薄い窒化ケイ素層をキャップ層としてFSG
層状に堆積させることも可能であろう。従って、2つの
窒化ケイ素の薄い層間にFSGを『サンドイッチ』させ
て、FSGを下側の基板から剥離するのを防ぐと同時に、F
SG上の他の層の剥離も防ぐことができる。そうした『サ
ンドイッチ』構造は、例えば、ダマシンアプリケーショ
ンには望ましい。
【0086】VII.例示的ダマシンプロセス 上に述べたような誘電膜を用いる銅ダマシンプロセス統
合方式の1例を図6(a)−6(b)に示す。デュアル
ダマシンプロセスは図6(a)に示されているようにシ
リコン基板600上に酸化物層602を堆積させることで開始
される。エッチング停止層は、例えば低圧ストライクを
用いるHDP−CVDによって上に述べたような窒化ケイ素堆
積プロセスを用いて酸化物層602上に堆積される。第1の
FSG層606が堆積され、図6(b)に示されているように
第1の写真平板実行中にパターンを付けられたフォトレ
ジスト層608で覆われる。第1のFSG層606はプロセスの統
合化を進めるためにエッチング停止層604と同じチャン
バ内で堆積することができる。図6(c)で、最初のエ
ッチングが行われて第1のFSG層からエッチング停止層60
4まで第1の組のギャップ610が形成される。この最初の
エッチングの後、フォトレジスト608が、例えば、酸化
性環境中でアッシングを行うことで剥がされる。次にギ
ャップ610と第1のFSG層606がアルミニウムあるいは銅な
どの金属層で覆われる。銅の場合、シード層612(図6
c)がギャップ610と第1のFSG層606上に堆積される。い
くつかの実施の形態で、拡散バリア層(図示せず)がギ
ャップ610及び銅シード層612の堆積より前に第1のFSG層
が堆積される。図6(d)に示されるように、ギャップ6
10を埋めるために銅シード層612上に第1のバルク銅層61
4が堆積される。銅層614は例えばCMPによって平面化さ
れる。ギャップ610を充填する銅層614の部分は、例え
ば、接続構造において第1の組の金属ライン615を形成す
る。
【0087】銅層614の平面化後、バリア層616、例えば
窒化ケイ素、第2のFSG層618、第2のエッチング停止層、
そして第3のFSG層622が図6(e)に示されているよう
に堆積される。好ましくは、バリア層618とエッチング
停止層620が上に述べた低圧ストライクを用いて堆積さ
れる。この場合も、層618、620、及び622は、プロセス
の統合性を進めるために、例えば図4及び5を参照して
上に述べたようなHDP−CVDによって同じチャンバで堆積
させることができる。第2の写真平板とエッチングを行
うことで図6(f)に示されるように層616、618、620
及び622を通じて銅層614に至るまでヴァイアス624が
形成される。図6gで、第3の写真平板とエッチングが
行われて第2の組のギャップ626が形成される。そして
ヴァイアス624とギャップ626を第2のバルク銅層で充
填し、得られた構造をアニールし、図6(h)に示すよ
うに平板化される。ギャップ626は第2の組の金属ライン
628を形成し、ヴァイアス624は金属ライン628の第2の
組と金属ライン615の第1の組の間の1組の接続部分を形
成する。
【0088】ダマシンプロセスは銅相互配線を用いる装
置で使われるが、それは銅をエッチングするための受け
入れ可能な方法が現段階ではないからである。ダマシン
プロセスで構成される構造はギャップ充填用の誘電体を
必要とせず、通常、アルミニウム金属ラインを用いて形
成される同様の構造と比較してより低いRC崩壊を示すか
らである。さらにギャップ充填が問題とはならないの
で、ダマシンプロセスではより早い堆積速度を用いるこ
とができる。上記エッチング停止層とバリア層を窒化ケ
イ素として述べられているが、上に図3−5を参照して述
べたように低圧ストライク堆積を用いて他の物質を堆積
させてもよい。
【0089】VIII.テスト結果及び測定 実験で、FSG層を有する低k膜を酸素処理を行ったシリ
コン・ウエハとそれを行わないシリコン・ウエハ上に堆
積させた。一部の膜には窒化ケイ素キャップ層を堆積さ
せ、さらに別の膜にはそれを堆積させないで、窒化ケイ
素キャップ層の接着特性に対する低圧ストライクの影響
を判定した。実験で用いられた膜はCariforinia、Santa
ClaraのApplied Materials社によって製造されたUlt
ima(商標名)HDP−CVDチャンバ内で堆積された。チャ
ンバは200mmウエハ用のもので、これもApplied Materi
als社によって製造されたCentura(登録商標)マルチチ
ャンバ基板処理システム内に配置された。FSG層の平均
的なフッ素含有量はWinconsin、MadisonのNicolet Ins
trument Corporation製造のECO RSシリーズ分光測定
装置を用いて%PHRで測定された。それとは別に、Conne
cticut、NorwalkのPerkin−Elmer Corporationによっ
て製造されたSpectrum 2000 FIR分光測定装置を用い
ることもできる。SiOピークは通常約1090cm-1と約2005c
m-1との間、好ましくは約1097cm-1の波数で起きる。SiF
ピークは通常約930cm-1と約940cm-1との間、好ましくは
約935cm-1の波数で起きる。
【0090】堆積された膜の安定性は熱堆積分光測定
(TDS)によって判定された。サンプルを各ウエハから
切り取って、サンプル・チューブ内に入れた。サンプル
を含むチューブをTDS器に入れて、真空で加熱して徐々
に高温に加熱し、サンプルから放出される種々のガスの
濃度を測定した。窒化ケイ素キャップ層を有する膜の安
定性をヘイズや泡形成を調べて確認した。膜の接着特性
はStudd引っ張りテストで判定した。膜は化学機械的研
磨(CMP)の方法でもその安定性と接着力について調べ
た。TDS測定を行う前の2週間から約2ヶ月間、ウエハを
大気条件下で保存した。
【0091】第1及び第2の実験で、FSG層を酸素処理あ
るいは窒化ケイ素によるキャッピングなしで堆積させ
た。第1の実験のFSG層は約3.6%PHRのフッ素濃度で堆
積された。第1の実験のTDSスペクトルを図7(a)に
示す。第2の実験はFSG層は約7.1%PHRのフッ素濃度で
堆積された。第2の実験のTDSスペクトルを図7(b)に
示す。フッ素濃度は通常のフーリエ変換赤外線(FTIR)
測定で判定された。第3の実験のFSG層は上に述べたよ
うに酸素処理を伴なう2ステップ・プロセスで堆積され
た。しかしながら、窒化ケイ素キャップ層をFSG上に堆
積させた。第3の実験のTDSスペクトルを図7(c)に
示す。第4の実験で、FSG層は上に述べたような酸素処
理を伴なう2ステップ・プロセスで堆積され、その後、
低圧ストライクを用いて窒化ケイ素でキャップした。第
4の実験のTDSスペクトルを図7(d)に示す。
【0092】次の2つの実験で低圧ストライクを用いて
窒化ケイ素でキャップされたFSG膜に対する酸素処理の
効果について企画された。これら2つの実験のそれぞれ
で、TDSサンプルをウエハ・サンプルなしで1000℃に加
熱して、サンプル・チューブから脱着されたガスからの
バックグランド信号を判定した。第5及び第6の実験
で、FSD層が堆積され、上に述べた方法で窒化ケイ素で
キャップされた。%PHRで測定したFSG層内のフッ素濃度
は第5及び第6の実験で8.0%程度であった。第5の実
験の膜は酸素処理なしで堆積された。第5の実験の背景
TDSスペクトルを図7(e)に示す。第5の実験のサンプ
ルTDSスペクトルを図7(f)に示す。第6の実験は、
第6の実験のFSG膜が窒化ケイ素のキャップ層が上に述
べた低圧ストライク法によるFSG層の上部に堆積される
前に酸素によって処理された点が第5の実験と異なって
いた。第6の実験の背景TDSスペクトルを第7(g)に示
す。第5の実験のサンプルTDSスペクトルを図7(h)
に示す。
【0093】図7(a)−(h)に示すグラフ内に示さ
れるTDSスペクトルはウエハ温度の関数としての種々の
ガスの分圧を示している。図7(a)−(h)で、各ガ
スを以下の表Iに示すようにその原子質量数で示した。 表I 質量数 ガス 2 水素(H2) 18 水蒸気(H2O) 19 フッ素(F) 20 フッ化水素(HF) 38 フッ素(F2) 40 アルゴン(Ar) 85 トリフルオロシラン(SiF3) 104 テトラフルオロシラン(SiF4) 実験1−6で、ウエハのサンプルを含むサンプル・チュー
ブを約800℃にゆっくり加熱して、脱着されたガスの分
圧を質量分光測定を用いて判定した。なお、窒化ケイ素
キャップ層がないと、特に質量数20及び19でかなりの量
のガス放出が認められる。図7(a)と7(b)に示さ
れている種々のガスのTDSプロットはFSG膜内のフッ素の
含有量が大きくなるとガス放出がより激しくなることを
示唆している。さらに、ガス放出は温度に非常に強く依
存している。なお、ガス放出量と温度依存性の両方が図
7(b)にはっきりと示されている。これは第5の実験
で堆積されたFSG層内のフッ素含有量がより高いことに
依存していると考えられる。図7(c)は酸素処理した
膜ではガス放出量がある程度低下していることを示して
おり、これはFSG膜が窒化ケイ素キャップ層なしでも比
較的安定していることを示唆している。なお、図7
(c)で、図7(a)及び7(b)と比較して、質量数
19、20、38、85及び104のプロットで示されるようにフ
ッ素の放出量がほとんどないことを示唆している。より
重要なことは、図7(c)で示されている多少のガス放
出が温度に対して非常に弱くしか依存していないことで
ある。図7(d)のTDSプロットは窒化ケイ素層を有し
ている場合はそれがない場合と比較してより安定してい
ることを示唆している。図7(d)に示されているフッ
素の放出量は図7(c)の場合と比較してさらに少なく
なっている。さらに、多少なりとも行われるガス放出が
温度との依存性をほとんど示していない。
【0094】図7(e)と7(f)は、酸素処理を行わ
ない場合でも、キャップされたFSG膜は500℃の温度まで
ほとんど、あるいはまったくガス放出を行わないことを
示唆している。図7(f)は、図7(e)のバックグラ
ンド・スペクトルに存在している水蒸気(質量18)は別
にして、種々のガスに関する信号はノイズ・レベルとは
ほとんど区別つかないことを示している。図7(f)の
約800℃程度での質量104でのシャープなスパイクは実験
の影響を示していると考えられる。同様に、図7(g)
と7(h)はガス放出のレベルが酸素で処理された膜と
酸素で処理されなかった膜でほとんど同じであることを
示している。さらに、図7(g)と7(h)で多少なり
ともガス放出が起きていても、それは対応するFSG膜上
に堆積されている窒化ケイ素キャップの剥離を起こさせ
るのには不充分であった。
【0095】これらの膜の接着を熱サイクリングでテス
トした。各サイクルで、ウエハを窒素雰囲気内で400℃
に加熱した。6サイクル後に、ウエハの膜からの剥離に
ついて調べた。剥離が観察されない場合、ウエハは合格
とされた。何らかの剥離が認められた場合は接着テスト
で不合格とされた。図7(a)−7(d)の膜に対する
接着テストの結果を以下の表IIに要約して示す。 表II 実験 図 AT%F O2 SixNy 接着(合格P/不合格F) 1 7(a) 5 N N P 2 7(b) 10 N N F 3 7(c) 10 Y N P 4 7(d) 10 Y Y P 6 7(h) 10 Y Y P 酸素処理の影響を定量的に比較するために、4つのウエ
ハを酸素処理を行い、さらに窒化ケイ素キャッピングを
行って用意し、また、窒化ケイ素キャップは持っている
が酸素処理は行わなかった4つのウエハも用意した。そ
れぞれのウエハから得たサンプルに対してStudd引っ張
り測定を行った。それらの結果を以下の表IIIに示す。 表III サンプル 含有O 力(LBS) 応力(PSI) 1 N 101.92 11548.88 2 N 88.16 9990.05 3 N 96.95 10986.34 4 N 103.59 11738.65 5 Y 107.90 12226.63 6 Y 106.58 12077.52 7 Y 106.16 12030.08 8 Y 98.57 11169.23 なお、平均して、酸素処理してから窒化ケイ素でキャッ
プしたFSG膜は(膜応力によって測定した場合)、酸素
処理をしないで堆積された窒化ケイ素でキャップされた
FSG膜より高い安定性を示した。違いはそれ程大きくな
いが、それにも拘わらず統計的には有意であった。
【0096】これらの結果は、本発明による方法は%PH
Rで測定して7%以上のフッ素濃度を有する安定した、強
力な接着力を示すFSG膜を接着させることができること
を示している。さらに、本統合方式では、FSG膜と窒化
ケイ素キャップ層の両方を堆積チャンバから基板を取り
出さなくてもin situで堆積させることができ、それに
よって処理量を望むように増大させることが可能にな
る。そうした膜はプレメタル誘電性(PMD)及び金属間
(IMD)アプリケーションにおいても使用することがで
きる。なお、低圧ストライクはHDP−CVDを用いて約1000
オングストローム以下の層の堆積に関連した1つの重要
な問題も解決してくれる。低圧ストライクによって堆積
された薄い層はギャップ充填プロセスにも適用すること
ができる。
【0097】好ましい実施の形態及び具体例を参照して
本発明を上に説明した。当業者であれば別の方式や置き
換えも想起できるであろう。従って、添付請求項に示さ
れることを除いて、本発明の限定は意図していない。
【図面の簡単な説明】
【図1A】本発明による高密度プラズマ化学気相堆積シ
ステムの1つの実施例を示す簡略図である。
【図1B】図1Aの例示的なCVDプロセスチャンバとともに
用いられることができるガス・リングの簡略断面図であ
る。
【図1C】図1Aの例示的なCVDプロセスチャンバとともに
用いることができるモニター及びライト・ペンの簡略図
である。
【図1D】図1Aの例示的なCVDプロセスチャンバを制御す
るために用いられる例示的なプロセス制御コンピュータ
・プログラム製品のフロー・チャートである。
【図2】本発明による誘電層の実施例を含む例示的な構
造を示す断面図である。
【図3】本発明による例示的な低誘電率膜の断面図であ
る。
【図4】本発明による低誘電率膜を堆積させる方法の1
つの実施例を示す流れ図である。
【図5】本発明によるキャップ層を堆積させる方法の1
つの実施例を示す流れ図である。
【図6】(a)から(h)は、本発明の1つの実施例による統
合されたデュアルダマシンプロセスによって部分的に形
成された集積回路の断面図である。
【図7A】低誘電率膜の熱吸収分光図である。
【図7B】低誘電率膜の熱吸収分光図である。
【図7C】低誘電率膜の熱吸収分光図である。
【図7D】低誘電率膜の熱吸収分光図である。
【図7E】低誘電率膜の熱吸収分光図である。
【図7F】低誘電率膜の熱吸収分光図である。
【図7G】低誘電率膜の熱吸収分光図である。
【図7H】低誘電率膜の熱吸収分光図である。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/31 H01L 21/31 C 21/318 21/318 B 21/768 21/90 K (72)発明者 カスラ カツェーニ アメリカ合衆国, カリフォルニア州, サン ノゼ, エール ドライヴ 5554

Claims (27)

    【特許請求の範囲】
  1. 【請求項1】 基板上に多層誘電膜を堆積させる方法で
    あって、 上記基板上にフルオロケイ酸塩ガラス(FSG)層を堆積
    させるステップと、 前記FSG層を酸素環境に露出させるステップと、 その後、前記FSG層上に窒化ケイ素層を堆積させるステ
    ップとを含む、方法。
  2. 【請求項2】 前記FSGが、%ピーク高比率(%PHR)で
    測定して約7.0%より高いフッ素原子濃度を含んでい
    る、請求項1に記載の方法。
  3. 【請求項3】 前記酸素環境が酸素プラズマである、請
    求項1に記載の方法。
  4. 【請求項4】 前記フルオロケイ酸塩ガラス層を堆積さ
    せるステップがケイ素含有ガス、フッ素含有ガス、及び
    酸素含有ガスを堆積チャンバ内に流入させるステップ
    と、 前記ケイ素含有ガス、フッ素含有ガス、及び酸素含有ガ
    スを用いてプラズマを発生させるステップと、 前記プラズマを用いて前記第1の誘電層を堆積させるス
    テップとを含む、請求項1に記載の方法。
  5. 【請求項5】 前記FSG層が、高密度プラズマ化学気相
    堆積法(HDP−CVD)を用いて堆積される、請求項1に記
    載の方法。
  6. 【請求項6】 前記窒化ケイ素層が、 1つ以上のプロセスガスを堆積チャンバ内に流入させス
    テップと、 低圧ストライクを行って、前記1つ以上のプロセスガス
    によって、プラズマを開始させるステップと、 前記プラズマを用いて前記第2の誘電層を堆積させるス
    テップとによって堆積される、請求項1に記載の方法。
  7. 【請求項7】 前記低圧ストライクが、 上記堆積チャンバ内の圧力が5から100ミリトール間に
    なるように、前記1つ以上のプロセスガスの流れを確立
    するステップと、 上記堆積チャンバ内に弱いプラズマを確立するのに十分
    な期間で、バイアス電圧をONするステップと、 前記プラズマが確立させた後、ソース電圧をONするステ
    ップと、 前記ソース電圧がONされた後、前記バイアス電圧をOFF
    するステップとを含んでいる、請求項6に記載の方法。
  8. 【請求項8】 前記弱いプラズマが容量的に結合された
    プラズマである、請求項7に記載の方法。
  9. 【請求項9】 基板上に誘電膜を堆積させる方法であっ
    て、 上記基板上に、フッ素:酸素の第1の原子比率でフルオ
    ロケイ酸塩ガラス(FSG)を堆積させるステップと、 前記FSG層を安定させるために前記FSG層を酸素環境に露
    出させるステップと、 前記FSG層の上部に窒化ケイ素層を堆積させるステップ
    とを含み、前記FSG堆積、酸素処理、及び窒化ケイ素堆
    積がすべて同じチャンバ内で行われ、基板が前記の同じ
    チャンバから取り出されない、方法。
  10. 【請求項10】 前記窒化ケイ素層の厚さが約1000オン
    グストローム未満である、 請求項9に記載の方法。
  11. 【請求項11】 前記FSG層が高密度プラズマ化学気相
    堆積を用いて堆積される、請求項9の方法。
  12. 【請求項12】 前記誘電層が%ピーク高比率で測定し
    て約7.0%以上のフッ素濃度を有する、請求項11に記
    載の方法。
  13. 【請求項13】 前記FSG層が、%ピーク高比率で測定
    して約7.0%から8.0%間のフッ素濃度を有している、請
    求項11に記載の方法。
  14. 【請求項14】 前記FSGが酸素プラズマを用いる前記
    の酸素環境に露出される、請求項11に記載の方法。
  15. 【請求項15】 前記誘電層堆積ステップが、 ケイ素含有ガス、フッ素含有ガス、そして酸素含有ガス
    を堆積チャンバに流入させるステップと、 上記チャンバにソース電圧を印可し、上記基板にバイア
    ス電圧を印可して、前記ケイ素含有ガス、フッ素含有ガ
    ス及び酸素含有ガスによって、高密度プラズマを発生さ
    せるステップと、 前記高密度プラズマを用いて前記誘電層を堆積させるス
    テップとを含んでいる、請求項9に記載の方法。
  16. 【請求項16】 前記窒化ケイ素層が、 ケイ素含有ガスと窒素含有ガスとを堆積チャンバに導入
    し、 低圧ストライクを行ってプラズマを発生させ、 前記プラズマを用いて前記窒化ケイ素堆積させることに
    よって堆積される、請求項9に記載の方法。
  17. 【請求項17】 前記低圧ストライクが上記堆積チャン
    バ内の圧力が1から100ミリトール間になるように、前
    記ケイ素含有ガス、窒素含有ガス、及び不活性ガスの流
    れを確立するステップと、 前記バイアス電圧を、上記堆積チャンバ内でプラズマを
    確立させるのに十分な時間でONするステップと、 前記プラズマが確立した後に、前記ソース電圧をONする
    ステップと、 前記ソース電圧がONした後に、前記バイアス電圧をOFF
    するステップとを含んでいる、請求項16に記載の方
    法。
  18. 【請求項18】 前記バイアス電圧が、最大1.0秒間でO
    Nされる、請求項17に記載の方法。
  19. 【請求項19】 前記ソース電圧及び前記バイアス電圧
    の両方が一定のオーバーラップ期間にONされ、そのオー
    バーラップ期間がほぼ前記バイアス期間の後半を含む、
    請求項18に記載の方法。
  20. 【請求項20】 基板上に誘電膜を堆積させる方法であ
    って、 ケイ素含有ガスと窒素含有ガスとを堆積チャンバに流入
    させるステップと、 第1の低圧ストライクを行って第1のプラズマを開始させ
    るステップと、 前記第1のプラズマを用いて、前記基板上に第1の窒化
    ケイ素層を堆積させるステップと、 前記第1の窒化ケイ素層の上に材料層を堆積させるステ
    ップとケイ素含有ガスと窒素含有ガスとを前記堆積チャ
    ンバに流入させるステップと、 第2の低圧ストライクを行って、第2のプラズマを開始さ
    せるステップと、 前記第2のプラズマを用いて、前記材料層の上に第2の窒
    化ケイ素層を堆積させるステップとを含む、方法。
  21. 【請求項21】 前記第1及び前記第2の窒化ケイ素層の
    少なくとも1つの厚さが、約1000オングストローム未満
    である、請求項20に記載の方法。
  22. 【請求項22】 前記第1と前記第2の低圧ストライクの
    少なくとも1つが、 、上記堆積チャンバが5から100ミリトール間になるよう
    に前記ケイ素含有ガス、前記窒素含有ガス、及び前記不
    活性ガスの流れを確立するステップと、 上記堆積チャンバ内に弱いプラズマを確立するのに十分
    な時間で前記バイアス電圧をONするステップと、 前記弱いプラズマを確立した後、ソース電圧をONするス
    テップと、 前記ソース電圧をONした後、前記バイアス電圧をOFFす
    るステップとを含んでいる、請求項20に記載の方法。
  23. 【請求項23】 前記材料層がフルオロケイ酸塩ガラス
    (FSG)を含んでいる、請求項20に記載の方法。
  24. 【請求項24】 さらに、前記FSG層を酸素で処理する
    ステップを含む、請求項23に記載の方法。
  25. 【請求項25】 プログラム・コードが組み込まれたコ
    ンピュータ読み取り可能な記憶媒体であって、前記プロ
    グラム・コードは半導体ウエハ処理システムを制御する
    ためのものであり、前記半導体処理システムは、チャン
    バと、ケイ素含有ガス供給源と、酸素含有ガス供給源
    と、酸素含有ガス供給源と、ソース電源とバイアス電源
    とを含み、前記プログラム・コードが、 上記基板上にフルオロケイ酸塩(FSG)層を堆積させる
    ステップ、 前記FSG層を酸素環境に露出させるステップ、及びその
    後、前記FSG層上に窒化ケイ素層を堆積させるステップ
    に従って、上記半導体処理システムを制御して、上記チ
    ャンバ内でウエハ上に低誘電率膜を堆積させる、コンピ
    ュータ読み取り可能媒体。
  26. 【請求項26】 基板上に低誘電率膜を堆積させる装置
    であって、 堆積チャンバと、 前記堆積チャンバに結合されたガスパネルと、 前記チャンバに結合されたプラズマ発生システムと、 前記ガスパネル、前記ソース電源及び前記バイアス電源
    に結合されており、プログラム・コードが組み込まれた
    コンピュータ読み取り可能な記憶媒体を含有するコント
    ローラとを備え、前記プログラム・コードが、 上記基板上にフルオロケイ酸塩(FSG)層を堆積させる
    ステップと、 前記FSG層を酸素環境に露出させるステップと、 その後、前記FSG層上に窒化ケイ素層を堆積させるステ
    ップとに従って、上記装置を制御する、装置。
  27. 【請求項27】 装置の堆積チャンバ内に堆積される基
    板の上方にある低誘電率膜を堆積させる装置であって、 上記基板上に第1の誘電層を堆積させる手段と、 均一な第2の誘電層を堆積させる手段と、を含み、前記
    第2の誘電層が、約1000オングストローム未満の厚さを
    有する、装置。
JP2000392852A 1999-12-23 2000-12-25 基板上に多層誘電膜を堆積させる方法 Expired - Fee Related JP4721510B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/470,561 US6372291B1 (en) 1999-12-23 1999-12-23 In situ deposition and integration of silicon nitride in a high density plasma reactor
US09/470561 1999-12-23

Publications (2)

Publication Number Publication Date
JP2001267315A true JP2001267315A (ja) 2001-09-28
JP4721510B2 JP4721510B2 (ja) 2011-07-13

Family

ID=23868100

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000392852A Expired - Fee Related JP4721510B2 (ja) 1999-12-23 2000-12-25 基板上に多層誘電膜を堆積させる方法

Country Status (6)

Country Link
US (1) US6372291B1 (ja)
EP (1) EP1111664A3 (ja)
JP (1) JP4721510B2 (ja)
KR (1) KR100726517B1 (ja)
SG (1) SG93911A1 (ja)
TW (1) TW518693B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006041505A (ja) * 2004-07-22 2006-02-09 Hynix Semiconductor Inc 半導体素子のパッシベーション層形成方法
JP2008112964A (ja) * 2006-10-30 2008-05-15 Applied Materials Inc 可変処理ガス分布マスクエッチングプラズマリアクタ
JP2009049085A (ja) * 2007-08-15 2009-03-05 Oki Electric Ind Co Ltd 窒化シリコン膜の製造方法
JP2009538002A (ja) * 2006-05-19 2009-10-29 インターナショナル・ビジネス・マシーンズ・コーポレーション 圧縮窒化物膜及びその製造方法
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6200911B1 (en) * 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
US6255233B1 (en) * 1998-12-30 2001-07-03 Intel Corporation In-situ silicon nitride and silicon based oxide deposition with graded interface for damascene application
FR2795746B1 (fr) * 1999-07-01 2001-07-27 Commissariat Energie Atomique Procede de depot d'un materiau dielectrique a base de silicium sur du cuivre
US6468927B1 (en) * 2000-05-19 2002-10-22 Applied Materials, Inc. Method of depositing a nitrogen-doped FSG layer
TW584902B (en) * 2000-06-19 2004-04-21 Applied Materials Inc Method of plasma processing silicon nitride using argon, nitrogen and silane gases
US6846737B1 (en) * 2000-08-15 2005-01-25 Intel Corporation Plasma induced depletion of fluorine from surfaces of fluorinated low-k dielectric materials
US6534357B1 (en) * 2000-11-09 2003-03-18 Micron Technology, Inc. Methods for forming conductive structures and structures regarding same
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6879046B2 (en) * 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US6531412B2 (en) * 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP4090766B2 (ja) * 2002-03-19 2008-05-28 富士通株式会社 半導体装置の製造方法
WO2003098678A1 (fr) * 2002-05-16 2003-11-27 Tokyo Electron Limited Procede de traitement de substrat
JP3504940B2 (ja) * 2002-05-17 2004-03-08 沖電気工業株式会社 半導体装置の製造方法
DE10229463B4 (de) * 2002-07-01 2008-12-11 Qimonda Ag Halbleiteranordnung und Verfahren zu ihrer Herstellung
US6934032B1 (en) * 2002-09-30 2005-08-23 Advanced Micro Devices, Inc. Copper oxide monitoring by scatterometry/ellipsometry during nitride or BLOK removal in damascene process
EP1408140A1 (en) * 2002-10-11 2004-04-14 STMicroelectronics S.r.l. A high-density plasma process for depositing a layer of Silicon Nitride
US6833322B2 (en) * 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US20040121146A1 (en) * 2002-12-20 2004-06-24 Xiao-Ming He Composite barrier films and method
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
DE10311312B4 (de) * 2003-03-14 2007-08-16 Infineon Technologies Ag Isolatorstruktur und Verfahren zur Erzeugung von Isolatorstrukturen in einem Halbleitersubstrat
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060075968A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Leak detector and process gas monitor
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7226875B2 (en) * 2004-11-30 2007-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for enhancing FSG film stability
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US7179760B2 (en) * 2005-05-27 2007-02-20 International Buisness Machines Corporation Bilayer cap structure including HDP/bHDP films for conductive metallization and method of making same
US7557043B2 (en) * 2005-06-15 2009-07-07 United Microelectronics Corp. Method of fabricating the stacked structure and damascene process
US7785950B2 (en) * 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
KR100769128B1 (ko) * 2005-12-29 2007-10-22 동부일렉트로닉스 주식회사 Eco셀 그리고, eco셀의 배치 및 루팅방법
CN100446195C (zh) * 2006-08-23 2008-12-24 上海华虹Nec电子有限公司 改善氟硅玻璃填隙性的方法
US8349167B2 (en) 2006-12-14 2013-01-08 Life Technologies Corporation Methods and apparatus for detecting molecular interactions using FET arrays
EP2653861B1 (en) 2006-12-14 2014-08-13 Life Technologies Corporation Method for sequencing a nucleic acid using large-scale FET arrays
US11339430B2 (en) 2007-07-10 2022-05-24 Life Technologies Corporation Methods and apparatus for measuring analytes using large scale FET arrays
US8262900B2 (en) * 2006-12-14 2012-09-11 Life Technologies Corporation Methods and apparatus for measuring analytes using large scale FET arrays
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
KR100933854B1 (ko) * 2008-01-14 2009-12-24 주식회사 하이닉스반도체 반도체 소자의 패턴 형성방법
US20100301398A1 (en) 2009-05-29 2010-12-02 Ion Torrent Systems Incorporated Methods and apparatus for measuring analytes
US20100137143A1 (en) 2008-10-22 2010-06-03 Ion Torrent Systems Incorporated Methods and apparatus for measuring analytes
US20120261274A1 (en) 2009-05-29 2012-10-18 Life Technologies Corporation Methods and apparatus for measuring analytes
US8776573B2 (en) 2009-05-29 2014-07-15 Life Technologies Corporation Methods and apparatus for measuring analytes
TWI400534B (zh) * 2009-07-15 2013-07-01 Chunghwa Picture Tubes Ltd 薄膜電晶體光感測器以及製作氟矽氧碳氫化合物介電層之方法
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US8415176B2 (en) 2010-06-30 2013-04-09 Life Technologies Corporation One-transistor pixel array
CN103080739B (zh) 2010-06-30 2016-12-21 生命科技公司 用于测试isfet阵列的方法和装置
CN103154718B (zh) 2010-06-30 2015-09-23 生命科技公司 感测离子的电荷堆积电路和方法
US11307166B2 (en) 2010-07-01 2022-04-19 Life Technologies Corporation Column ADC
CN103168341B (zh) 2010-07-03 2016-10-05 生命科技公司 具有轻度掺杂的排出装置的化学敏感的传感器
EP2617061B1 (en) 2010-09-15 2021-06-30 Life Technologies Corporation Methods and apparatus for measuring analytes
US9970984B2 (en) 2011-12-01 2018-05-15 Life Technologies Corporation Method and apparatus for identifying defects in a chemical sensor array
US8786331B2 (en) 2012-05-29 2014-07-22 Life Technologies Corporation System for reducing noise in a chemical sensor array
US9080968B2 (en) 2013-01-04 2015-07-14 Life Technologies Corporation Methods and systems for point of use removal of sacrificial material
US9841398B2 (en) 2013-01-08 2017-12-12 Life Technologies Corporation Methods for manufacturing well structures for low-noise chemical sensors
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
KR102104018B1 (ko) * 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US8963216B2 (en) 2013-03-13 2015-02-24 Life Technologies Corporation Chemical sensor with sidewall spacer sensor surface
WO2014149780A1 (en) * 2013-03-15 2014-09-25 Life Technologies Corporation Chemical sensor with consistent sensor surface areas
EP2972281B1 (en) 2013-03-15 2023-07-26 Life Technologies Corporation Chemical device with thin conductive element
US9835585B2 (en) 2013-03-15 2017-12-05 Life Technologies Corporation Chemical sensor with protruded sensor surface
US20140336063A1 (en) 2013-05-09 2014-11-13 Life Technologies Corporation Windowed Sequencing
US10458942B2 (en) 2013-06-10 2019-10-29 Life Technologies Corporation Chemical sensor array having multiple sensors per well
US10077472B2 (en) 2014-12-18 2018-09-18 Life Technologies Corporation High data rate integrated circuit with power management
TWI832669B (zh) 2014-12-18 2024-02-11 美商生命技術公司 具有傳輸器組態的高資料速率積體電路
WO2016100521A1 (en) 2014-12-18 2016-06-23 Life Technologies Corporation Methods and apparatus for measuring analytes using large scale fet arrays
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US11430656B2 (en) * 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0590249A (ja) * 1991-09-30 1993-04-09 Nec Corp 半導体装置の表面保護膜の形成方法
JPH07183097A (ja) * 1993-10-25 1995-07-21 Applied Materials Inc 半導体作製のための、プラズマと、プラズマ点火装置及び誘導結合装置を有するプロセス反応装置を用いたワ−クピ−スの処理方法
JPH07211490A (ja) * 1993-12-17 1995-08-11 Lsi Logic Corp 低圧誘導結合プラズマ点火装置
JPH08213386A (ja) * 1995-02-08 1996-08-20 Fujitsu Ltd 半導体装置の製造方法
JPH1050687A (ja) * 1996-08-01 1998-02-20 Toshiba Corp 薄膜形成装置および薄膜形成方法
JPH10340898A (ja) * 1997-06-05 1998-12-22 Sumitomo Metal Ind Ltd 半導体装置及びその製造方法
JPH11111845A (ja) * 1997-10-03 1999-04-23 Toshiba Corp 半導体装置及びその製造方法
JPH11111712A (ja) * 1997-10-01 1999-04-23 Fujitsu Ltd 低誘電率絶縁膜とその形成方法及びこの膜を用いた半導体装置
JPH11330070A (ja) * 1998-05-14 1999-11-30 Matsushita Electric Ind Co Ltd 絶縁膜の形成方法
JP2000012539A (ja) * 1998-06-17 2000-01-14 Nec Corp 半導体装置の製造方法
JP2000077406A (ja) * 1998-08-31 2000-03-14 Nec Corp 半導体装置の製造方法
JP2001156064A (ja) * 1999-09-01 2001-06-08 Applied Materials Inc Hdp−fsg薄膜へのバリア層の付着力を改善する方法
JP2002141349A (ja) * 2000-08-24 2002-05-17 Applied Materials Inc Hdp−cvdを用いて高いアスペクト比のギャップ充填を達成するためのガス化学サイクリング
JP2008522416A (ja) * 2004-11-29 2008-06-26 アプライド マテリアルズ インコーポレイテッド 改良された過渡的気相堆積のためのガス分配システム

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4436584A (en) * 1983-03-21 1984-03-13 Sperry Corporation Anisotropic plasma etching of semiconductors
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5753975A (en) * 1994-09-01 1998-05-19 Kabushiki Kaisha Toshiba Semiconductor device with improved adhesion between titanium-based metal wiring layer and insulation film
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US6310300B1 (en) * 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
US5858869A (en) * 1997-06-03 1999-01-12 Industrial Technology Research Institute Method for fabricating intermetal dielectric insulation using anisotropic plasma oxides and low dielectric constant polymers
US5876798A (en) * 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
US6165915A (en) * 1999-08-11 2000-12-26 Taiwan Semiconductor Manufacturing Company Forming halogen doped glass dielectric layer with enhanced stability

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0590249A (ja) * 1991-09-30 1993-04-09 Nec Corp 半導体装置の表面保護膜の形成方法
JPH07183097A (ja) * 1993-10-25 1995-07-21 Applied Materials Inc 半導体作製のための、プラズマと、プラズマ点火装置及び誘導結合装置を有するプロセス反応装置を用いたワ−クピ−スの処理方法
JPH07211490A (ja) * 1993-12-17 1995-08-11 Lsi Logic Corp 低圧誘導結合プラズマ点火装置
JPH08213386A (ja) * 1995-02-08 1996-08-20 Fujitsu Ltd 半導体装置の製造方法
JPH1050687A (ja) * 1996-08-01 1998-02-20 Toshiba Corp 薄膜形成装置および薄膜形成方法
JPH10340898A (ja) * 1997-06-05 1998-12-22 Sumitomo Metal Ind Ltd 半導体装置及びその製造方法
JPH11111712A (ja) * 1997-10-01 1999-04-23 Fujitsu Ltd 低誘電率絶縁膜とその形成方法及びこの膜を用いた半導体装置
JPH11111845A (ja) * 1997-10-03 1999-04-23 Toshiba Corp 半導体装置及びその製造方法
JPH11330070A (ja) * 1998-05-14 1999-11-30 Matsushita Electric Ind Co Ltd 絶縁膜の形成方法
JP2000012539A (ja) * 1998-06-17 2000-01-14 Nec Corp 半導体装置の製造方法
JP2000077406A (ja) * 1998-08-31 2000-03-14 Nec Corp 半導体装置の製造方法
JP2001156064A (ja) * 1999-09-01 2001-06-08 Applied Materials Inc Hdp−fsg薄膜へのバリア層の付着力を改善する方法
JP2002141349A (ja) * 2000-08-24 2002-05-17 Applied Materials Inc Hdp−cvdを用いて高いアスペクト比のギャップ充填を達成するためのガス化学サイクリング
JP2008522416A (ja) * 2004-11-29 2008-06-26 アプライド マテリアルズ インコーポレイテッド 改良された過渡的気相堆積のためのガス分配システム

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006041505A (ja) * 2004-07-22 2006-02-09 Hynix Semiconductor Inc 半導体素子のパッシベーション層形成方法
JP2009538002A (ja) * 2006-05-19 2009-10-29 インターナショナル・ビジネス・マシーンズ・コーポレーション 圧縮窒化物膜及びその製造方法
JP2008112964A (ja) * 2006-10-30 2008-05-15 Applied Materials Inc 可変処理ガス分布マスクエッチングプラズマリアクタ
KR101384277B1 (ko) * 2006-10-30 2014-04-11 어플라이드 머티어리얼스, 인코포레이티드 가변 프로세스 가스 분포를 갖는 마스크 에칭 플라즈마반응기
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US10170280B2 (en) 2006-10-30 2019-01-01 Applied Materials, Inc. Plasma reactor having an array of plural individually controlled gas injectors arranged along a circular side wall
JP2009049085A (ja) * 2007-08-15 2009-03-05 Oki Electric Ind Co Ltd 窒化シリコン膜の製造方法

Also Published As

Publication number Publication date
SG93911A1 (en) 2003-01-21
TW518693B (en) 2003-01-21
EP1111664A2 (en) 2001-06-27
EP1111664A3 (en) 2004-06-09
KR20010062663A (ko) 2001-07-07
KR100726517B1 (ko) 2007-06-11
US6372291B1 (en) 2002-04-16
JP4721510B2 (ja) 2011-07-13

Similar Documents

Publication Publication Date Title
JP4721510B2 (ja) 基板上に多層誘電膜を堆積させる方法
US6713390B2 (en) Barrier layer deposition using HDP-CVD
KR100550419B1 (ko) 빠른증착속도로할로겐이도핑된실리콘산화물층을증착하는방법및장치
US8143174B2 (en) Post-deposition treatment to enhance properties of Si-O-C low K films
EP1077477B1 (en) Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
US6635575B1 (en) Methods and apparatus to enhance properties of Si-O-C low K films
US5908672A (en) Method and apparatus for depositing a planarized passivation layer
US6899763B2 (en) Lid cooling mechanism and method for optimized deposition of low-K dielectric using TR methylsilane-ozone based processes
US6511922B2 (en) Methods and apparatus for producing stable low k FSG film for HDP-CVD
US6803325B2 (en) Apparatus for improving barrier layer adhesion to HDP-FSG thin films
US6228781B1 (en) Sequential in-situ heating and deposition of halogen-doped silicon oxide
JP2001148382A (ja) 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
US6289843B1 (en) Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
US6667248B2 (en) Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
EP1050601A1 (en) Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
EP1146142A2 (en) Process for forming fluorosilicate glass layers using high density plasma, for copper damascene integrated circuits

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071203

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100707

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100720

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100921

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101012

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101022

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101027

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110203

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110315

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110405

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140415

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees