KR101384277B1 - 가변 프로세스 가스 분포를 갖는 마스크 에칭 플라즈마반응기 - Google Patents

가변 프로세스 가스 분포를 갖는 마스크 에칭 플라즈마반응기 Download PDF

Info

Publication number
KR101384277B1
KR101384277B1 KR1020070072658A KR20070072658A KR101384277B1 KR 101384277 B1 KR101384277 B1 KR 101384277B1 KR 1020070072658 A KR1020070072658 A KR 1020070072658A KR 20070072658 A KR20070072658 A KR 20070072658A KR 101384277 B1 KR101384277 B1 KR 101384277B1
Authority
KR
South Korea
Prior art keywords
ring
gas
gas flow
mask
valves
Prior art date
Application number
KR1020070072658A
Other languages
English (en)
Other versions
KR20080039196A (ko
Inventor
마드하비 알. 찬드라쵸드
마이클 엔. 그림베르겐
크헤임 케이. 니구옌
리차드 류윙턴
이브라힘 엠. 이브라힘
쉐에바 제이. 파나이일
아제이 쿠마르
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080039196A publication Critical patent/KR20080039196A/ko
Application granted granted Critical
Publication of KR101384277B1 publication Critical patent/KR101384277B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

마스크 또는 웨이퍼와 같은 작업편(workpiece)을 프로세싱하기 위한 플라즈마 반응기는 실린더형 측벽, 측벽 위에 놓이는 천장 및 측벽의 상부 에지에서 지지되며 천장을 지지하는 링을 갖춘 진공 챔버를 포함하며, 링은 외부 표면 및 내부 표면을 포함한다. RF 플라즈마 소스 전력 애플리케이터 및 상기 애플리케이터에 결합되는 RF 소스 전력 발생기는 플라즈마 소스 전력을 공급한다. 복수의 통로가 외부 표면으로부터 내부 표면으로 링을 통해 방사상 방향으로 연장되며, 링의 원주를 따라 이격된다. 프로세스 가스 공급부는 프로세스 가스를 공급한다. 챔버 외측의 외부 가스 흐름 도관 장치는 챔버의 원주 주위에서 연장되며 프로세스 가스 공급부와 결합된다. 챔버의 외측의 복수의 외부 가스 흐름 밸브가 도관을 따라 이격된 각각의 위치들에서 외부 도관과 결합되며, 각각의 밸브들은 (a) 링의 외부 표면의 복수의 통로들 중 각각의 통로와 결합된 제어된 가스 출력 포트 및 (b) 밸브 제어 입력을 갖는다. 가스 밸브 구성 제어기는 각각의 밸브들의 밸브 제어 입력을 제어한다.

Description

가변 프로세스 가스 분포를 갖는 마스크 에칭 플라즈마 반응기{MASK ETCH PLASMA REACTOR WITH VARIABLE PROCESS GAS DISTRIBUTION}
본 발명은 가변 프로세스 가스 분포를 갖는 마스크 에칭 플라즈마 반응기에 관한 것이다.
초대규모집적(ULSI) 회로들을 위한 포토리소그래피 마스크 제조는 반도체 웨이퍼 프로세싱보다 훨씬 더 높은 에칭 균일도를 요구한다. 일반적으로 단일 마스크 패턴은 석영 마스크 상에서 4 제곱 인치의 면적을 점유한다. 마스크 패턴의 이미지는 웨이퍼 상의 단일 다이의 면적(1 제곱 인치)으로 초점이 맞춰진 다음, 웨이퍼에 걸쳐서 스텝핑되어, 각각의 다이에 대해 단일 이미지를 형성한다. 마스크 패턴을 석영 마스크로 에칭하기 이전에, 마스크 패턴은 스캐닝 전자 빔에 의해 기록되며, 이는 시간을 소모하는 프로세스로 단일 마스크의 비용을 극도로 높인다. 마스크 에칭 프로세스는 마스크 표면에 걸쳐서 균일하지 않다. 또한, e-빔 기록된 포토레지스트 패턴은 자체가 불균일하고, 웨이퍼 상에서 45nm 피쳐 크기인 경우, 전체 마스크에 걸쳐서 임계치수(예를 들어, 라인 폭)에서 2-3nm 만큼 큰 편차를 나타낸다. (이러한 편차는 예를 들어, 측정된 모든 라인 폭들의 3σ 분산(variance)이다.) 포토레지스트 임계 치수에서의 이러한 불균일성들은 상이한 마스크 소스들 또는 커스터머들 간에 서로 다를 것이다. 마스크 에칭 프로세스는 1nm 초과만큼 이러한 편차를 증가시킬 수 없으며, 그에 따라 에칭된 마스크 패턴에서의 편차는 3-4nm를 초과할 수 없다. 이러한 엄격한 요구조건들은 웨이퍼 상에 선명한 이미지를 달성하기 위한 석영 마스크 패턴에서의 회절 효과의 이용으로부터 발생한다. 현재의 기술로는 이러한 요구조건들을 충족시키기 어렵다. 22nm 웨이퍼 피쳐 크기들을 수반할 수 있는 미래의 기술에서는 이러한 요구조건을 충족시키는 것이 훨씬 더 어려울 것이다. 이러한 어려움은 에칭 바이어스 현상에 의해 악화되며, 여기서 마스크 에칭 동안 포토레지스트 패턴의 소모는 석영 마스크 상의 에칭된 패턴에서 라인 폭(임계 치수)의 감소를 야기한다. 포토레지스트에 대한 통상적 마스크 물질들(예를 들어, 석영, 크롬, 몰리브덴 실리사이드)의 에칭 선택도가 통상적으로 1 미만이고, 그에 따라 마스크 포토레지스트 패턴이 마스크 에칭 프로세스 동안 에칭되기 때문에, 이러한 어려움들은 마스크 에칭 프로세스에 내재되어 있다.
일부 마스크 패턴들은, 마스크를 통한 웨이퍼의 노출 동안 간섭하는 광빔들의 극도로 미세한 위상 정렬을 달성하는데 있어서 중요한, 정확하게 한정된 깊이만큼 석영 마스크 속으로 주기적인 개구부들을 에칭하는 것을 요구한다. 예를 들어, 한 형태의 위상 이동 마스크에서, 각각의 라인은 얇은 석영 라인들을 갖는 크롬 라인에 의해 한정되며, 상기 얇은 석영 라인은 크롬 라인의 각각의 측면 상에 노출되며, 에칭되지 않은 석영 라인을 통과하는 광에 대한 광의 180도 위상 이동을 제공하는 정확한 깊이로 석영 라인의 한쪽 측면만이 에칭된다. 석영의 에칭 깊이를 정확하게 제어하기 위해, 에칭 프로세스는 석영의 에칭 깊이를 측정하도록 주기적으로 에칭 프로세스를 중단함으로써 엄격히 모니터링되어야 한다. 각각의 이러한 검사는 마스크 에칭 반응기 챔버로부터 마스크를 제거하고, 포토레지스트를 제거하고, 에칭 깊이를 측정한 다음, 경과된 에칭 프로세스 시간을 기초로 하여 목표 깊이에 도달할 때까지의 남은 에칭 프로세스 시간을 추정하고, 새로운 포토레지스트를 증착(deposit; 이하에서 편의상 '증착'이라 한다)하고, 레지스트 상에 마스크 패턴을 e-빔 기록하고, 마스크 에칭 챔버로 마스크를 다시 도입시키고, 그리고 에칭 프로세스를 다시 시작할 것을 요구한다. 원하는 깊이에 도달할 때까지의 남아있는 에칭 시간을 추정하는 것은, 에칭 속도가 안정하고, 균일하게 유지된다는 것으로 가정한 것이므로 신뢰성이 없다. 이러한 성가신 과정의 문제는 낮은 생산성 및 높은 비용뿐만 아니라, 포토레지스트 패턴의 오염 또는 손상에 대한 증가된 가능성을 포함한다. 그러나 정확하게 제어된 에칭 깊이에 대한 요구조건으로 인해, 이러한 문제점을 피해갈 수 있는 다른 방법이 없는 것으로 여겨진다.
임계 치수 편차에서 작은 허용 오차(tolerance)는 마스크 표면에 걸쳐서 극도로 균일한 에칭 속도의 분포를 요구한다. 석영 물질에서 정확한 에칭 깊이를 요구하는 마스크들에는, 2개의 임계 치수들(critical dimensions)이 존재하는데, 하나는 라인 폭(line width)이고 다른 하나는 에칭 깊이이며, 그리고 둘 모두의 형태의 임계 치수에 대한 균일성은, 마스크에 걸쳐서 균일한 에칭 속도 분포를 요구한다. 에칭 속도 분포에서의 불균일성은 웨이퍼 위에 놓여있는 내부 및 외부 코일 안테나들로 구성되는 유도 소스 전력 애플리케이터와 같이, 플라즈마 이온 밀도의 방사상 분포를 변화시킬 수 있는 소스 전력 애플리케이터를 사용함으로써 어느 정도까지 감소될 수 있다. 그러나 이러한 접근법은 대칭적인 불균일성만을, 즉 중심에서 높은(center-high) 또는 중심에서 낮은(center-low) 에칭 속도 분포만을 해결할 수 있다. 실제로, 에칭 속도 분포의 불균일성들은, 예를 들어, 마스크의 한쪽 코너에서의 높은 에칭 속도와 같이 비대칭일 수 있다. 보다 근본적인 한계점은, 마스크 에칭 프로세스가 극도로 중심에서 낮은 분포의 에칭 속도를 갖는 경향이 있어서, 조정 가능한 피쳐인 내부 코일 및 외부 코일을 가지는 그러한 유도성 전력 애플리케이터가 중심에서 낮은 방식(regime)으로부터 벗어나도록 에칭 속도 분포를 변형시킬 수 없다는 것이다.
불균일한 에칭 속도 분포가 갖는 또 다른 문제점은, 에칭 속도 분포가 동일한 설계의 상이한 반응기들 사이에서 광범위하게 변하는 경향이 있고, 캐소드 교체와 같이, 중요 부분품 또는 소모성 부품이 교체될 때마다 동일한 반응기 내에서 에칭 속도 분포가 광범위하게 변할 수 있다는 것이다. 에칭 속도 분포는 교체된 부분품의 작은 특성 편차에 대해 크게 민감한 것으로 보이고, 소모품 교체시에는 예상치 못한 변화가 발생한다.
마스크 또는 웨이퍼와 같은 작업편을 프로세싱하기 위한 플라즈마 반응기는 실린더형 측벽, 측벽 위에 놓이는 천장 및 측벽의 상부 에지에서 지지되며 천장을 지지하는 링을 갖춘 진공 챔버를 포함하며, 상기 링은 외부 표면 및 내부 표면을 포함한다. RF 플라즈마 소스 전력 애플리케이터 및 상기 애플리케이터에 결합되는 RF 소스 전력 발생기는 플라즈마 소스 전력을 공급한다. 복수의 통로가 외부 표면으로부터 내부 표면으로 링을 통해 방사상 방향으로 연장되며, 링의 원주를 따라 이격된다. 프로세스 가스 공급부는 프로세스 가스를 공급한다. 챔버 외측의 외부 가스 흐름 도관 장치는 챔버의 원주 주위에서 연장되며 프로세스 가스 공급부와 결합된다. 챔버의 외측의 복수의 외부 가스 흐름 밸브가 도관을 따라 이격된 각각의 위치들에서 외부 도관과 결합되며, 각각의 밸브들은 (a) 링의 외부 표면의 복수의 통로들 각각의 하나와 결합된 제어된 가스 출력 포트 및 (b) 밸브 제어 입력을 갖는다. 가스 밸브 구성 제어기는 각각의 밸브의 밸브 제어 입력을 제어한다.
본 발명의 예시적인 실시예들이 달성되고 상세히 이해될 수 있도록, 상기에 간략히 요약된 본 발명의 보다 상세한 설명은 첨부된 도면들에 예시된 본 발명의 실시예들의 참조로 이루어질 수 있다. 본 발명을 모호하지 않게 하도록 특정한 주지의 프로세스들이 본원에서 논의되지 않는다는 것이 인식되어야 한다.
이해를 돕기 위해, 도면들에서 공통되는 동일한 부재들을 지정하기 위해 가능한 동일한 참조 번호들이 사용되었다. 일 실시예의 부재들 및 특징들이 추가 언급 없이도 다른 실시예에 유용하게 통합될 수 있다는 것이 고려된다. 그러나, 본 발명이 다른 등가의 유효한 실시예들을 허용할 수 있으므로, 첨부되는 도면들은 본 발명의 예시적인 실시예들만을 예시하고, 따라서 본 발명의 범주에 대한 제한으로 간주되지 않음에 유의해야 한다.
강화된 RF 균일성을 갖는 캐소드 :
본 발명자들은 마스크 에칭 프로세스에서 불균일한 에칭 속도 분포의 한 원인이 마스크 에칭 프로세스가 수행되는 플라즈마 반응기에서 마스크를 유지시키는 지지 받침대 또는 캐소드에 RF 전기 불균일성들이 존재하는 데 있다는 것을 발견했다. RF 바이어스 전력은 마스크 표면에서 플라즈마 이온 에너지를 제어하기 위해 받침대에 인가되는 반면, RF 소스 전력은 예를 들어, 플라즈마 이온들을 생성하기 위해 오버헤드 코일 안테나에 인가된다. RF 바이어스 전력은 이온 에너지에 영향을 미치는 마스크 표면에서의 전기장을 제어한다. 마스크 표면에서의 이온 에너지가 에칭 속도에 영향을 미치기 때문에, 받침대에서 RF 전기 불균일성은 마스크 표면에 걸친 에칭 속도의 분포에 불균일을 일으킨다. 본 발명자들은 받침대에서의 RF 불균일성의 몇 가지 원인들이 존재한다는 것을 발견했다. 하나의 원인은 알루미늄 받침대(캐소드)와 알루미늄 설비 플레이트를 함께 고정하는 티타늄 나사들에 있다. 나사들의 전기적 특성들이 알루미늄 캐소드의 전기적 특성과 상이하기 때문에, 상기 나사들은 받침대의 표면에 걸친(및 따라서 마스크의 표면에 걸친) 전기장 패턴에 노드(nodes)를 생성한다. 또 다른 원인은 캐소드와 설비 플레이트 사이의 전도성(conductivity)의 불균일한 분포에 있다. 설비 플레이트와 캐소드 간의 전기적 전도는 플레이트 및 캐소드의 주변부로 주로 제한된다. 이는 적어도 부분적으로는 플라즈마 프로세싱 동안 진공 압력에 의해 유도된 캐소드의 휨(bowing)으로 인한 것일 수 있다. 이러한 주변부 주위의 전도는 티타늄 나사들의 불균일한 조임 및/또는 플레이트 또는 받침대의 주변부 주위에서의 표면 마무리 편차와 같은 다수의 요인들로 인해 불균일할 수 있다. 본 발명자들은 받침대에 걸쳐 RF 전기 균일성을 향상시키는 수 개의 피쳐를 도입함으로써 이러한 문제들을 해결하였다. 먼저, 알루미늄 캐소드에서 티타늄 나사들의 존재로부터 발생하는 RF 필드의 불균일성들 또는 불연속성들은, 모든 티타늄 나사들의 헤드들을 둘러싸고 캐소드의 상부 표면 주변부 주위에서 연장하는 연속적인 티타늄 링을 제공함으로써 해결된다. 티타늄 나사들의 표면 차이들 또는 불균일한 조임으로 인한 전도성 변화는, 설비 플레이트 및 캐소스의 대면하는 주변부 표면들 상에 도전성(conductive)이 높은 니켈 도금을 제공함으로써, 그리고 설비 플레이트와 캐소드의 주변부들 사이에서 압축되는 RF 개스킷을 설비 플레이트와 캐소드 사이에 도입함으로써 해결된다.
도 1을 참조로, 마스크에서 패턴들을 에칭하기 위한 플라즈마 반응기는 측벽(12) 및 위에 놓이는(overlying) 천장(14)에 의해 둘러싸인 진공 챔버(10)를 포함하며, 챔버 압력을 제어하는 진공 펌프(15)에 의해 배기된다. 챔버(10) 내부에 있는 마스크 지지 받침대(16)는 마스크(18)를 지지한다. 본 명세서에서 이후 개시되는 바와 같이, 통상적으로 마스크는 석영 기판으로 구성되며, 크롬 및 몰리브덴 실리사이드와 같은 추가의 마스크 박막층들을 석영 기판의 상부 표면에 더 포함할 수 있다. 또한, 패턴 형성(pattern-defining) 층이 존재하며, 이는 크롬층으로 형성된 하드마스크 또는 포토레지스트일 수 있다. 다른 형태의 마스크들에서, 석영 기판은 포토레지스트 패턴을 제외하고 위에 놓이는 층들을 갖지 않는다.
플라즈마 소스 전력은 각각의 RF 임피던스 매치 회로들(28, 30)을 통하여 각각의 RF 소스 전력 발생기들(24, 26)에 의해 구동되는 위에 놓이는 내부 및 외부 코일 안테나들(20, 22)에 의해 인가된다. 측벽(12)은 접지와 결합되는 알루미늄 또는 다른 금속일 수 있지만, 통상적으로 천장(14)은 코일 안테나들(20, 22)로부터 챔버(10) 속으로 RF 전력의 유도 결합을 허용하는 절연 물질이다. 프로세스 가스는 가스 패널(36)로부터 가스 매니폴드(34)를 경유하여 측벽(12)의 상부에 있는 균일하게 이격된 주입 노즐들(32)을 통해 도입된다. 가스 패널(36)은 각각의 밸브 또는 질량 흐름 제어기들(40)을 통해 출력 밸브 또는 질량 흐름 제어기(42)와 결합된 상이한 가스 공급부들(38)로 구성될 수 있고, 상기 질량 흐름 제어기는 매니폴드(34)에 결합된다.
마스크 지지 받침대(16)는 금속(예를 들어, 알루미늄) 설비 플레이트(46) 상에서 지지되는 금속(예를 들어, 알루미늄) 캐소드(44)로 구성된다. 캐소드(44)는 설비 플레이트(46)에 있는 공급 및 배출 포트들(미도시)에 의해 공급 및 배기되는 내부 냉각제 또는 가열 유체 흐름 통로들(미도시)을 갖는다. RF 바이어스 전력은 RF 임피던스 매치 회로(50)를 통해 RF 바이어스 전력 발생기(48)에 의해 설비 플레이트에 인가된다. RF 바이어스 전력은 설비 플레이트(46)와 캐소드(44) 사이의 인터페이스를 가로질러 캐소드(44)의 상부 표면으로 전도된다. 캐소드(44)는 사각 석영 마스크 또는 기판(18)이 지지되는 중앙 플래토(central plateau)(44a)를 갖는다. 하기 논의되는 바와 같이, 마스크 주변부의 작은 부분 또는 립(18a)이 플래토(44a) 너머로 짧은 거리 만큼 연장되도록 플래토(44a)가 약간 더 작지만, 플래토 치수들은 일반적으로 마스크(18)의 치수들과 매칭된다. 플래토(44a)를 둘러싸는 받침대 링(52)은 그 링(52)의 약 2/5를 형성하는 커버 링(52a)과 링(52)의 나머지 3/5을 형성하는 캡쳐(capture) 링(52b)으로 (도 2B 또는 도 7에 도시된 바와 같은 웨지 또는 파이 섹션 형태로) 분할된다. 캡쳐 링(52b)은 마스크(18)의 립(18a)이 위치되는 선반(54)을 갖는다. 3개의 리프트 핀들(56)(도 1의 도면에서는 그 중 단지 하나만을 볼 수 있다)이 캡쳐 링(52b)을 들어올리며, 캡쳐 링(52b)은 지지 받침대(16)로부터 마스크(18)를 제거하도록 요구될 때마다 립(18a)에 의해 마스크(18)를 상승시킨다. 받침대 링(52)은, 바이어스 전력 발생기(48)의 주파수에서, 석영 마스크(18)와 알루미늄 플래토(44a)의 조합에 의해 제공되는 RF 임피던스와 매칭되도록 선택된 상이한 전기적 특성들의 물질들로 된 층들(53, 55)로 구성된다. (커버 링(52a) 및 캡쳐 링(52b)은 모두 상이한 층들(53, 55)로 구성된다.) 또한, 캡쳐 링(52)의 상부 표면은 마스크(18)의 상부 표면과 동일 평면을 이루어, 마스크(18)의 에지 너머로 연장되는 크고 균일한 표면이 플라즈마 프로세싱 동안 마스크(18)의 표면에 걸쳐 균일한 전기장 및 시스(sheath) 전압을 조장한다. 통상적으로, 이러한 조건들은, 하부 링 층(55)이 석영이고 상부 링 층(53)이 알루미나와 같은 세라믹인 경우에, 충족된다. 프로세스 제어기(60)는 가스 패널(36), RF 발생기들(24, 26, 48) 및 웨이퍼 핸들링 장치(61)를 제어한다. 웨이퍼 핸들링 장치는 리프트 핀들(56)에 결합된 리프트 서보(62), 로봇 블레이드 암(63), 및 챔버(10)의 측벽(12) 내의 슬릿 밸브(64)를 포함할 수 있다.
균일하게 이격된 일련의 티타늄 나사들(70)은 캐소드(44)와 설비 플레이트(46)를 이들의 주변부를 따라 함께 고정한다. 알루미늄 캐소드/설비 플레이트(44, 46)와 티타늄 나사들(70) 간의 전기적 차이점들로 인해, 나사들(70)은 캐소드(44)의 상부 표면에서 RF 전기장으로 별개의 불균일성들을 도입한다. 캐소드(44)와 설비 플레이트(46)의 마주하는 표면에서의 편차는 캐소드(44)와 설비 플레이트(46) 사이에 이들의 주변부를 따라 전도성의 불균일성을 일으키고, 이는 상응하는 RF 전기장의 불균일성들을 도입한다. 캐소드(44)가 (챔버 진공으로 인해) 플라즈마 프로세싱 동안 그 중심부에서 위로 휘는 경향이 있기 때문에, 캐소드(44)와 설비 플레이트(46) 사이의 주요한 전기적 접촉은 이들의 주변부를 따라 이루어진다. (a) 복수의 티타늄 나사들(70) 사이의 조임(tightness)에서의 편차 및 (b) 표면 특성의 편차들에 대한 캐소드(44)와 설비 플레이트(46) 사이의 전기적 전도성의 민감성을 감소시키기 위해, 니켈과 같이 도전성이 높은 물질의 환형 박막(72)이 캐소드(44)의 하부 표면(44b)의 주변부 상에 증착되는 한편, (예를 들어) 매칭되는 니켈의 환형 박막(74)이 설비 플레이트(46)의 상부 표면(46a)의 주변부 상에 증착된다. 니켈 막들(72, 74)이 서로 정렬되어, 2개의 환형 니켈 박막들(72, 74)이 받침대(44)와 설비 플레이트(46)의 대향하는 접촉 표면들을 구성하여, 이들 사이에 매우 균일한 전기적 전도성의 분포를 제공한다. 균일한 전기적 전도성의 추가의 개선은, 캐소드(44)의 하부 표면의 주변부를 따라 환형 그루브(76)를 제공하고 그리고 그루브(76) 내에 도전성 RF 개스킷(80)을 배치함으로써 실현된다. 선택적으로, 그루브(76)와 정렬되는, 설비 플레이트(46)의 상부 표면 내의 유사한 환형 그루브(78)가 제공될 수 있다. RF 개스킷(80)은 캐소드(44)와 설비 플레이트(46)가 함께 압착되고 나사들(70)이 조여짐에 따라 압축되는 얇은 금속 나선과 같은 적절한 종래의 것일 수 있다. 티타늄 나사들(70)의 헤드들에서 발생되는 경향이 있는 전기장 분포에서의 포인트 불균일성들을 감소 또는 제거하기 위해, 연속하는 티타늄 링(82)은 캐소드(44) 상부 표면의 주변부에 있는 환형 그루브(84)에 배치된다.
도 2A는 마스크 지지 받침대(16) 및 그의 하부에 놓이는 리프트 어셈블리(90)를 도시한다. 리프트 어셈블리(90)는 공기압 액추에이터 또는 리프트 서보(94)에 의해 구동되는 리프트 스파이더(92) 및 상기 리프트 스파이더(92) 상에 위치되는 3개의 리프트 핀들(56)을 포함한다. 리프트 핀들(56)은 (마모로부터 발생하는 오염을 감소시키기 위해) 극도로 부드럽고 마찰이 거의 없는 모션을 위한 볼 베어링들(98)을 포함하는 리프트 벨로우즈(96) 내에서 안내된다. 도 2B는 캡쳐 링(52b)과 마스크(18)를 가지는 캐소드(44)를 상승된 위치에서 도시한다. 마스크가 상승될 때 커버 및 캡쳐 링들(52a, 52b)의 분리에 의해 형성되는 보이드(void)는 로봇 블레이드가 마스크(18)로 액세스할 수 있게 한다.
마스크(18)의 표면에 걸친 극도의 중심에서 낮은(extremely center-low) 에칭 속도 분포의 문제는 캐소드 플래토(44a)의 전기적 특성들(예를 들어, 전기적 유전율)의 분포를 변화시킴으로써 해결된다. 이는 일 실시예에서 플래토(44a)의 상부 표면 상에 중심 삽입물(102) 및 주변 외부 삽입물(104)을 제공함으로써 달성되며, 2개의 삽입물들은 받침대 링(52)과 연속적인 평면 표면을 형성하며, 전기적으로 상이한 물질들로 이루어진다. 예를 들어, 극도의 중심에서 낮은 에칭 속도 분포의 경향을 감소시키기 위해, 중심 삽입물(102)은 도전성 물질(예를 들어, 알루미늄)로 이루어질 수 있는 한편, 외부 삽입물(104)은 절연 물질(예를 들어, 알루미나와 같은 세라믹)로 이루어질 수 있다. 이러한 도전성 버전의 중심 삽입물(102)은 RF 전류에 대해 훨씬 더 낮은 임피던스 경로를 제공하여, 마스크(18)의 중심부에서 이온 에너지 및 에칭 속도를 상승시키는 한편, 절연 외부 삽입물(104)은 보다 높은 임피던스를 제공하고, 이는 마스크(18)의 둘레에서 에칭 속도를 감소시킨다. 이러한 조합은 에칭 속도 분포를 개선시켜, 에칭 속도 분포가 보다 더 거의 균일해지게 한다. 이러한 특징으로, 내부 및 외부 코일 안테나들(20, 22)에 인가되는 상대적인 RF 전력 레벨들을 조절함으로써 에칭 속도 분포의 미세한 조절이 수행될 수 있다. 균일한 에칭 속도 분포를 달성하기 위해 요구되는 플라즈마 이온 밀도의 방사상 분포의 변화는 훨씬 더 작은 양으로 감소되며, 이는 균일한 에칭 속도 분포를 얻기 위한 내부 및 외부 코일들(20, 22) 사이의 RF 전력 분배 능력 범위 이내이다. 도 3은 내부 및 외부 삽입물들(102, 104)의 평면도이다. 대안적인 실시예에서, 삽입물들(102, 104)은 상이한 유전 상수들(전기적 유전율)을 가지는 절연체들일 수 있다. 도 4 및 도 5는, 에칭 속도 분포를 보다 균일하게 하기 위해서 점진적으로 상이한 전기적 특성들의 4개의 동심 링(102, 104, 106, 108)이 이용되는, 본 발명의 개념에 따른 상세한 설명을 나타낸다. 도 6 및 도 7은 캐소드(44)의 RF 전기적 특성 분포의 실시간 조정력(tunability)을 제공하는 대안적인 실시예를 나타낸다. 플런저(plunger)(110)는 캐소드(44)의 중심 내부의 중공형 실린더(114) 내부에서 이동식 알루미늄 플레이트(112)의 축방향 위치를 제어한다. 알루미늄 플레이트(112)는 알루미늄 플래토(44a)의 나머지 부분과 전기적으로 접촉된다. 절연체(예를 들어, 세라믹) 상부막(116)은 캐소드(44)의 상부를 커버할 수 있다. 알루미늄 플레이트(112)가 실린더(114)의 상부에 보다 가까이 압박(push)됨에 따라, 캐소드(44)의 중심 영역을 통한 전기적 임피던스가 감소되고, 그에 따라 마스크(18)의 중심부에서 에칭 속도가 상승한다. 반대로, 알루미늄 플레이트(112)가 실린더(114)에서 마스크(18)로부터 멀리 하향 이동함에 따라, 마스크 중심부에서 에칭 속도는 감소된다. 플런저(110)의 축방향 이동을 제어하는 액추에이터(118)는 불균일성을 보상하기 위해 또는 균일성을 최대화시키기 위해 에칭 속도 분포를 조절하도록 프로세스 제어기(60)(도 1)에 의해 조절될 수 있다.
마스크 후방을 통한 에칭 속도 모니터링 엔드포인트 검출 :
마스크의 에칭 깊이 또는 임계 치수를 측정하기 위한 에칭 프로세스의 주기적 중단들로 인한 높은 제조 비용은 마스크 또는 기판(18)의 후면을 통한 그리고 캐소드(44)를 통한 광학 감지를 사용하여 감소 또는 해소된다. 포토레지스트에 대한 낮은 에칭 선택도로 인해 이러한 주기적 측정을 수행하기 위해서 에칭 프로세스를 중단시킬 필요가 있었다.: 일반적으로 마스크 물질은 포토레지스트보다 더 느리게 에칭된다. 이러한 문제는 통상적으로 마스크 상에 포토레지스트의 두꺼운 층을 증착함으로써 해결되나, 레지스트의 높은 에칭 속도는 포토레지스트 표면을 무작위적으로 불균일하게 또는 거칠게 한다. 이러한 거칠기(roughness)는 포토레지스트를 통과하는 광에 영향을 미쳐서, 임계 치수 또는 에칭 깊이의 임의의 광학적 측정에 노이즈를 도입한다. 따라서, 노이즈가 없는 광학 측정들을 보장하기 위해 각각의 주기적인 측정에 대해 포토레지스트가 일시적으로 제거되어서, 중단된 마스크 에칭 프로세스를 다시 시작하기 이전에 포토레지스트의 재증착 및 포토레지스트에 대한 레티클 패턴의 재기록을 요구한다.
도 8에 도시된 마스크 에칭 플라즈마 반응기는 이러한 어려움들을 회피하며, 캐소드(44) 내에 제공되는 후방 광학 측정 장치를 이용하여 마스크 또는 기판(18)이 마스크 지지 받침대(16) 상에서 적소에 있는 동안 전체 에칭 프로세스 중에 임계 치수의 지속적인 관찰 또는 에칭 깊이의 측정을 가능하게 한다. 후방 측정 장치는, 통상적으로는 석영인 마스크 기판(18)의 광학적 투명성의 장점을 취한다. 이 마스크 기판 위에 증착될 수 있는 박막들(예를 들면, 크롬 또는 몰리브덴 실리사이드)은 불투명할 수 있으나, 마스크(18)의 레티클 패턴을 형성하는 패터닝된 개구부들의 형성은 광학적으로 감지될 수 있다. 이러한 층들에 의해 반사되거나 이러한 층들을 통해 투과된 광 세기의 변화는 캐소드(44)를 통해 마스크 후방에서 관찰될 수 있다. 이러한 관찰은 에칭 프로세스 엔드포인트 검출을 수행하기 위해 사용될 수 있다. 석영 물질을 에칭할 때, 캐소드(44)를 통해 마스크 후방에서 관찰되는 광학적 간섭은 에칭 프로세스 동안 실시간으로 에칭 깊이 측정들을 수행하도록 감지될 수 있다. 한 가지 장점은, 마스크 후방으로부터 감지된 이미지들 또는 광 신호들이 포토레지스트 노이즈에 의해 영향을 받지 않거나, 또는 적어도, 마스크(18)의 상부 표면(포토레지스트 측)으로부터 이러한 측정을 수행하기 위한 시도와 비교하여 매우 적게 영향을 받는다는 것이다.
이러한 목적들을 위해, 도 8의 반응기는 캐소드(44)의 상부 표면 내에 리세스(120)를 포함하며, 상기 리세스는 광학축이 마스크 또는 기판(18)의 후방을 대면하는 렌즈(122)를 수용한다. 렌즈(122)에 비해 직경들이 작은 한 쌍의 광섬유들(124, 126)은 렌즈(122)와 근접하거나 또는 렌즈(122)와 접촉하는 단부들(124a, 126a)을 가지며, 양자는 렌즈(122)의 광학축에서 서로 나란히(next to each other) 정렬된다. 도 8에 도시된 광섬유들(124, 126) 각각은 사실상 작은 광섬유 다발일 수 있다. 광섬유(124)는 광원(128)에 결합되는 그의 다른 단부(124b)를 갖는다. 광원은 마스크(18)가 투명하게 되는 파장의 광, 통상적으로는 석영 마스크의 경우 가시 파장의 광을 방출한다. 간섭 깊이 측정들의 경우, 마스크(18)의 레티클 패턴에서 국소적인 가간섭(local coherence)를 용이하게 하도록 광원(128)의 파장 스펙트럼이 선택된다. 약 45nm의 에칭된 마스크 구조물에서의 주기적 피쳐(또는 1 미크론 미만의 주기적 피쳐 크기)의 경우에, 광원(128)이 가시광 스펙트럼에서 광을 방사하는 경우 이러한 요건이 충족된다. 광섬유(126)는 광 수신기(130)에 결합되는 그의 다른 단부(126b)를 갖는다. 간단한 엔드포인트 검출의 경우에, 광 수신기(130)는 단순히 광 세기를 검출할 수 있다. 임계 치수(예를 들어, 라인 폭) 측정들의 경우에, 광 수신기(130)는, 렌즈(122)의 시야 내에서 에칭된 라인들의 이미지를 감지할 수 있으며, 그러한 이미지로부터 라인 폭을 결정할 수 있다. 에칭 깊이 측정들의 경우, 광 수신기(130)는 간섭 패턴 또는 간섭 프린지들(fringes)을 검출할 수 있으며, 그로부터 에칭 깊이가 결정될 수 있다(즉, 간섭 또는 회절 패턴으로부터 추론되거나 간섭 프린지의 카운팅으로부터 계산된다). 다른 실시예들에서, 광 수신기(130)는 다중 파장 간섭 측정을 수행하기 위한 분광계를 포함할 수 있으며, 그로부터 에칭 깊이가 추론되거나 계산될 수 있다. 이러한 결정들을 위해, 프로세스 제어기(60)는 광 수신기로부터의 광학 신호를 프로세싱할 수 있는 광학 신호 프로세서(132)를 포함한다. 이러한 광학 신호 프로세싱은: 주변 광 세기 변화들로부터 에칭 프로세스 엔드포인트 검출 수행; 광 수신기(130)에 의해 감지된 2차원 이미지들로부터 임계 치수 측정; 간섭 프린지들을 카운팅함으로써 에칭 깊이 계산; 광 수신기(130)가 분광계로 구성되는 경우, 다중 파장 간섭 스펙트럼으로부터 에칭 깊이를 결정하는 것 중 하나를 (특정한 구현예에 따라) 수반할 수 있다. 대안적으로, 이러한 분광계는, 광원(128)이 사용되지 않는 경우, 플라즈마에 의해 방출되고 투명 마스크(18)를 통해 투과되는 광을 사용하여, 웨이퍼 후방으로부터의 광 방출 분광 분석에 의해 에칭 프로세스 엔드포인트 검출을 수행하는데 이용될 수 있다.
프로세스 제어기(60)는 RF 발생기들(24, 26, 48) 및 웨이퍼-핸들링 장치(61)를 포함하는 플라즈마 반응기의 다양한 부재를 제어하기 위해 광학 신호 프로세서(132)로부터 프로세스 엔드포인트 검출 정보(또는 에칭 깊이 측정 정보)에 반응한다. 통상적으로, 프로세스 제어기(60)는, 에칭 프로세스 엔드포인트에 도달될 때 에칭 프로세스를 중단시키며 받침대(16)로부터 마스크(18)가 제거되게 한다.
도 9는 (석영 마스크 표면 상의 크롬 박막이 마스크 레티클 패턴에 따라 에칭되는) 크롬 에칭 프로세스 동안 마스크의 상부(포토레지스트-코팅된)측으로부터 감지된 주변의 반사된 광 세기를 시간 경과에 따라 나타낸 그래프이다. 도 9의 그래프에 도시된 세기의 큰 변동들(swings)은 포토레지스트층의 상부 표면에서의 거칠기에 의해 유도된 노이즈를 나타낸다. 점선은 노이즈 내에 숨겨진 스텝 함수(step function) 신호를 나타내며, 상기 스텝 함수는 크롬 에칭 프로세스 엔드포인트와 일치한다. 도 10은 도 8의 반응기 내의 캐소드(44)를 통해 웨이퍼 후방에서 취한 동일한 측정에 대한 그래프이고, 여기서 광 수신기(130)는 반사된 광 레벨을 감지한다. 포토레지스트-유도 노이즈가 크게 감소되어, 엔드포인트 규정 스텝 함수가 광학 데이터에서 명확히 나타난다. 스텝 함수의 에지는, 에칭 프로세스가 크롬 박막의 하부에 도달할 때 반사된 광 세기가 강하하는 변이 지점을 나타내며, 이 지점에서 크롬의 반사 표면적은 갑자기 감소된다.
도 11 및 도 12는 시간에 따른(또는 등가적으로 공간에 따른) 광 세기 그래프들이며, 도 12에서, 광 수신기(130)에 의해 감지된 바와 같이, 광 세기의 주기적 피크가 간섭 프린지에 대응하고 그의 간격은 투명한 석영 마스크 기판(18)에서 에칭된 거의 주기적으로 이격되어 있는 피쳐들의 상이한 표면들 간의 두께 차 또는 에칭 깊이를 결정한다. 도 11은 간섭 프린지 검출을 방해하는 포토레지스트-유도된 큰(heavy) 노이즈 성분과 함께, 마스크의 상부측으로부터 포토레지스트를 통해 감지된 세기를 나타낸다. 도 12는 포토레지스트-유도된 노이즈가 사실상 없는, 도 8의 광 수신기(130)에 의해 마스크 후방을 통해 감지된 세기를 나타낸다.
도 13은 광 수신기(130)가 분광계로 구성되고 광원(128)이 파장들의 스펙트럼을 생성하는 경우에 있어서 파장에 따른 광 세기를 나타내는 그래프이다. 도 13의 그래프의 세기 스펙트럼의 거동은 투명 마스크(18)에서 주기적으로 이격된 서브-미크론 피쳐들의 상이한 깊이의 표면들로부터 반사된 광 사이에 간섭 효과들이 야기되는 통상적인 상태이다. 더 낮은(lower) 파장에서, 피크들은 상당히 주기적이며 균일하게 이격되며, 뚜렷한 광학 효과는 간섭이다. 더 높은 파장들에서, 마스크(18) 내의 주기적 피쳐들 사이의 국소적 가간섭이 강하지 않아서, 파장 증가에 따라 회절 효과들이 점점 중요해지며, 그에 따라 도 13에 도시된 것처럼 더 높은 파장에서의 세기 거동이 덜 균일하게 이격되며 더 복잡하게 된다. 도 13에서 피크들의 간격은, 특히 더 낮은 파장들에서, 에칭 깊이의 함수이고, 에칭 깊이는 피크-대-피크 간격으로부터 추론될 수 있다.
도 14는, 광 수신기(130)가 주변 광 세기 검출기이고 그리고 광학 신호 프로세서(132)가, 도 10의 엔드포인트 검출 그래프에 대응하는, 전체 반사된 광 세기에서의 큰 굴절(스텝 함수)을 찾도록 프로그래밍된, 도 8의 반응기의 실시예를 나타낸다. 본 실시예에서 광원(128)은 임의의 적절한 광원일 수 있다. 대안적으로, 광원(128)은 생략될 수 있으며, 그에 따라 광 센서(130)는 단순하게 투명 마스크 또는 기판(18)을 통해 투과된 플라즈마로부터의 광에 응답한다.
도 15는, 광 수신기(130)가 간섭 프린지들을 분해(resolve)하도록 렌즈(122)에 의해 충분히 포커싱되는 간섭 프린지 검출기이고, 광학 신호 프로세서(132)가 투명 석영 마스크(18)의 에칭 깊이를 계산하기 위해 (예를 들어, 도 12에 도시된 형태의 시간에 대한 세기의 데이터로부터) 간섭 프린지를 카운팅하도록 프로그래밍되는, 도 8의 반응기의 실시예를 나타낸다. 이러한 계산은, 메모리(202)에 저장된 사용자-지정 목표 깊이와 로직(200)에 의해 비교되는 사실상 순간적인 에칭 깊이를 산출한다. 로직(200)은 저장된 깊이 값 및 측정된 깊이 값 간의 매치를 검출하기 위해 통상적인 숫자 매치 또는 최소화 루틴을 이용할 수 있다. 매치는 로직(200)이 프로세스 제어기(60)에 에칭 엔드포인트를 플래그로 알리게(flag) 한다.
도 16은, 투명 석영 마스크 또는 기판(18)의 에칭 깊이를 측정 또는 결정하기 위해 도 13의 간섭 분광 기술을 이용하는, 도 8의 반응기의 실시예를 나타낸다. 이 경우, 광원(128)은 (수백 나노미터 또는 그 미만 정도의 주기적 마스크 피쳐 크기에 대해) 가시 범위에 있는 다중 파장들 또는 스펙트럼을 방출한다. 광 수신기(130)는 분광계이다. 조합 신호 조절기(conditioner)와 아날로그-대-디지털 변환기(220)는 분광계(130)에 의해 수집된 스펙트럼 정보(도 13의 그래프에 대응)를 광학 신호 프로세서(132)가 핸들링할 수 있는 디지털 데이터로 변환한다. 엔드포인트 검출을 수행할 수 있는 하나의 모드는, 앞서 언급된 바와 같이, 도 13에 의해 표시된 데이터의 더 낮은 파장 범위에서 주기적 피크들 사이의 간격으로부터 에칭 깊이를 계산하는 것이다. 비교 로직(200)은, 에칭 프로세스 엔드포인트에 도달되었는지를 결정하기 위해 메모리(202)에 저장된 사용자-지정 목표 깊이와 순간적으로 측정된 에칭 깊이를 비교할 수 있다. 또 다른 모드에서, 비교 로직(200)은, 분광계(130)의 순간적 출력을 나타내는 디지털 방식으로 표시된 파장 스펙트럼(도 13의 그래프에 대응)을 원하는 에칭 깊이에 대응하는 공지된 스펙트럼과 비교할 수 있을 정도로 충분히 견실(robust)하다. 이러한 공지된 스펙트럼은 메모리(202)에 저장될 수 있다. 비교 로직(200)에 의해 검출되는 측정된 스펙트럼과 저장된 스펙트럼 간의 매치 또는 대략적 매치는 에칭 프로세스 엔드포인트 플래그가 프로세스 제어기(60)로 전송되게 한다.
도 17은, 광 수신기(130)가 광 방출 분광 측정(OES)을 수행하기 위해 챔버에서 플라즈마에 의해 방출된 광학적 방사선과 방출 선들을 구별할 수 있는 광 방출 분광계인, 도 8의 반응기의 실시예를 나타낸다. 프로세서(132)는 에칭되는 층의 물질을 나타내는 화학 종들에 대응하는 선택된 광학 선들의 강도를 추적(또는 소실을 검출)하도록 프로그래밍된 OES 프로세서이다. 미리 결정된 전이시에(예를 들어, 크롬 에칭 프로세스 동안 OES 스펙트럼에서 크롬 파장선의 소실 시에), 프로세서(132)는 프로세스 제어기(60)로 에칭 프로세스 엔드포인트 검출 플래그를 전송한다.
도 18은, 캐소드(44)의 표면 내의 각각의 이격된 리세스들(231, 233) 내에 한 쌍의 렌즈(230, 232)를 갖도록 본 발명자들이 구성한 실시예를 도시하며, 상기 렌즈(230, 232)는 간섭 프린지들이 분해되도록 포커싱되며, 포커싱된 광은 각각의 렌즈(230, 232)와 대면하거나 접촉하는 각각의 광섬유들(234, 236)에 의해 전달된다. 광섬유들(234, 236)은 (프린지 검출기 또는 분광계 중 어느 하나일 수 있는) 간섭 검출기(238)와 결합되며, 상기 검출기(238)는 프로세스 제어기(60)와 결합되는 출력을 갖는다. 렌즈(230, 232)는 광섬유(242, 244)를 통해 광원(240)으로부터 광을 수신한다. 이러한 광은 마스크(18)의 상부 표면으로부터 렌즈(230, 232)로 다시 반사되며, 광섬유(234, 236)에 의해 검출기(238)로 전달된다. 또한, 도 18의 실시예는 광섬유(252)를 통해 OES 분광계(254)의 입력에 결합되는 제 3 렌즈(250)를 수용하는 캐소드 표면 내의 제 3 리세스(249)를 갖는다. OES 프로세서(256)는 엔드포인트 검출을 수행하기 위해 OES 분광계(254)의 출력을 프로세스하며, 프로세스 제어기(60)로 결과들을 전송한다. 도 18의 실시예의 캐소드(44)는, 각각의 렌즈(230, 232, 250)를 수용하는 3개의 리세스들(231, 233, 249)을 나타내는 도 19에 도시된다. 도 20은 렌즈(230, 232, 250)를 지지하는 광학 장치(미도시)를 설비 플레이트(46) 내에 수용하기 위한 대응하는 홀들(260, 261, 262)을 나타낸다. 도 21은 받침대(16) 내부의 렌즈에 대한 광섬유들의 결합을 나타내는 단면도이다.
도 16, 도 17 및 도 18의 반응기들이 분광계들(130(도 16 및 도 17) 및 254(도 18))을 이용하는 것으로 개시되었지만, 분광계(130 또는 254)는 미리 결정된 파장들에 대해 조정된(tuned) 하나 또는 둘 이상의 광 파장 필터들로 대체될 수 있다. 이러한 각각의 광 파장 필터는 신호 진폭을 강화시키기 위해 포토멀티플라이어(photomultiplier)와 조합될 수 있다.
후방 엔드포인트-검출형 마스크 에칭 프로세스들 :
도 22A 및 도 22B는 마스크의 석영 물질의 레티클 패턴을 에칭하는 프로세스를 나타낸다. 도 22A에서, 석영 마스크 기판(210)은 포토레지스트층(212)에 형성된 개구부들(216) 및 이격된 라인들(214)의 주기적 구조물을 가지는 포토레지스트층(212)으로 커버된다. 도 15 또는 도 16의 반응기에서, CHF3+CF4+Ar의 석영-에칭 프로세스 가스가 챔버(10)로 주입되며, RF 발생기들(24, 26 및 48)에 의해 전력이 인가되며, 석영 물질이 포토레지스트층(212)에 형성된 개구부들(216) 내에서 에칭된다. 석영의 에칭 깊이는 에칭된 상부 표면으로부터 반사된 광(218)과 석영 기판(210)의 에칭되지 않은 상부 표면들로부터 반사된 광(219) 사이의 간섭에 의해 연속적으로 측정된다. 에칭 프로세스는 원하는 에칭 깊이에 도달되자 마자 중단된다(도 22A). 그 다음, 원하는 마스크가 생성되도록 포토레지스트가 제거된다(도 22B).
도 23A 내지 도 23E는, 하부 석영 마스크 기판(210), 몰리브덴 실리사이드층(260)(몰리브덴 옥시-실리콘 질화물 함유), 크롬층(262), 크롬 산화물 반사-방지 코팅(264) 및 포토레지스트층(266)으로 구성되며, 개구부들(268)이 상기 포토레지스트층(266)에 형성된(도 23A), 3층 마스크 구조물을 에칭하는 프로세스를 나타낸다. 도 23B의 단계에서, 크롬층(262) 및 반사-방지 코팅(264)은, Cl2+O2+CF4와 같은 크롬 에칭 프로세스 가스를 사용하여, OES 엔드포인트 검출(도 17의 챔버)을 행하거나 또는 간단한 반사 엔드포인트 검출(도 14의 챔버)을 행하는 플라즈마 반응기 챔버에서 에칭된다. 포토레지스트층(266)이 제거된다(도 23C). 그 다음, 도 23D에 도시된 것처럼, 몰리브덴 실리사이드층(260)이 SF6+Cl2와 같은 몰리브덴 실리사이드의 에천트인 프로세스 가스를 사용하여, 및 하드 마스크로서 크롬층(262)을 사용하여 에칭된다. 이 단계는 도 14 또는 도 17의 챔버와 같이, 간단한 주변 반사에 의한 엔드포인트 검출 또는 OES 엔드포인트 검출에 의한 엔드포인트 검출을 행하는 플라즈마 반응기에서 실시된다. 도 23E에서, 크롬층(262) 및 크롬 산화물 반사-방지 코팅(264)은 CH3+CF4+Ar과 같은 크롬 에칭 프로세스 가스를 사용하여 제거된다. 이 단계는 에칭 깊이 측정 없이 간단한 엔드포인트 검출을 수행하는 도 14 또는 도 17의 반응기를 사용하여 실시될 수 있다. 이는 레티클 패턴을 형성하는 몰리브덴 실리사이드로 이루어진 상부에 놓인 층을 갖는 석영 마스크 기판을 남긴다.
도 24A 내지 도 24E는 노출된 석영의 주기적 공간들에 인접한 투명 석영 마스크 상의 주기적인 크롬 라인들로 이루어진 바이너리 마스크를 제조하는 프로세스를 나타내며, 노출된 석영 공간들 중 교번하는 공간들(alternate ones)은 투과된 광이 원하는 각도(예를 들어, 180도)만큼 위상-시프트되는 깊이까지 에칭된다. 도 24A는 석영 마스크 기판(300), 크롬층(302), 크롬 산화물 반사-방지 코팅(304) 및 포토레지스트층(306)으로 이루어진 초기 구조물을 나타낸다. 도 24B의 단계에서, 크롬 및 크롬 산화물층(302, 304)은 도 14 또는 도 17의 챔버와 같은 반응기 챔버에서 Cl2+O2+CF4의 프로세스 가스로 에칭된다. 도 24C의 단계에서, 포토레지스트층(306)이 제거되고, 그 후에 석영 마스크 기판(300)의 노출된 부분들이 도 24D에 도시된 것처럼 CHF3+CF4+Ar의 석영-에칭 프로세스 가스로 에칭된다. 도 24D의 석영 에칭 단계는 도 15 또는 도 16의 챔버와 같이, 석영 마스크 기판(300)의 에칭 깊이를 감지 또는 모니터링할 수 있는 반응기 챔버에서 수행된다. 에칭 프로세스 동안, 순간적인 에칭 깊이가 연속적으로 모니터링되고, 마스크(300) 상에서 목표 에칭 깊이에 도달하자마자 에칭 프로세스는 중단된다. 최종 결과가 도 24E에 도시된다.
마스크 표면에 걸친 에칭 속도 분포의 연속적인 모니터링 :
도 25 및 도 26은 캐소드(44)의 상부 표면에서 후방 에칭 깊이 감지 부재들(렌즈 및 광섬유들)의 행렬(matrix) 갖춘 도 1의 웨이퍼 지지 받침대(16)의 실시예를 나타내며, 이는 에칭 프로세스를 중단시키지 않고 또는 마스크 기판을 달리 방해하지 않고, 에칭 프로세스 동안 마스크 또는 기판의 전체 표면에 걸친 에칭 속도 분포 또는 에칭 깊이 분포의 순간적 이미지 또는 샘플을 연속적으로 제공한다. 알루미늄 플래토(44a)는 그의 상부 표면에 개구부들(320)의 행렬을 가지며, 각각의 개구부는 마스크 기판(300)의 후방을 대면하는 렌즈(322)를 유지시킨다. 광원(324)은 각각의 렌즈(322)에 결합된 출력 광섬유들(326)을 통해 광을 제공한다. 렌즈(322)는 간섭 프린지들을 분해하도록 충분한 포커싱을 제공한다. 프린지 카운팅을 용이하게 하는 센서 또는 분광계일 수 있는 간섭 검출기(328)는 각각의 렌즈(322)에 결합된 입력 광섬유들(330)과 결합된다. 스위치 또는 멀티플렉서(332)는 각각의 입력 광섬유들(330)로부터 순차적으로 검출기(328)로 광을 끌어들인다. 여기에는 도 25 및 도 26의 장치가 동작할 수 있는 3가지 모드들이 존재한다. 제 1 모드에서, 렌즈(322) 들 중 주어진 하나의 렌즈의 시야에서 에칭 깊이는 간섭 프린지들 사이의 간격으로부터 계산된다. 제 2 모드에서, 검출기(328)는 분광계이며, 렌즈(322)들 중의 주어진 하나의 렌즈의 시야에서 에칭 깊이는 다중 파장 간섭 스펙트럼(도 13에 대응)의 더 낮은 파장 피크 간격으로부터 계산된다. 제 3 모드에서, 다중 파장 간섭 스펙트럼은 주어진 순간적 시간에 검출되며, 대응 에칭 깊이를 알고 있는 스펙트럼들의 라이브러리(340)와 비교된다. 에칭 속도 분포는 에칭 깊이 및 경과된 시간으로부터 계산된다. 이러한 분포는 프로세스의 에칭 불균일성을 기록하며, 프로세스 제어기(132)로 공급된다. 제어기(132)는 반응기의 조정 가능한 피쳐들을 조절함으로써 에칭 속도 분포의 불균일성을 감소시키도록 응답할 수 있다.
도 25 및 도 26의 실시예가 플래토(44a)의 상부 표면에 에칭 깊이 센서들 또는 렌즈들(322)의 3×3 행렬을 갖는 것으로 도시되었으나, 이러한 센서들의 행렬에서 임의의 수의 행 및 열들이 사용될 수 있고, 그에 따라 행렬은 n×m 행렬이 되며, 여기서 m 및 n은 적절한 정수들이다.
일 실시예에서, 프로세스 제어기(132)는 에칭 속도 분포가 중심에서 높거나 중심에서 낮은지를 (분광계 또는 센서(130)에 의해 공급되는 에칭 속도 분포 정보로부터) 추론하도록 프로그래밍될 수 있다. 프로세스 제어기(60)는 불균일성을 감소시키기 위해 반응기의 소정의 조정 가능한 피쳐들을 조절함으로써 이러한 정보에 응답할 수 있다. 예를 들어, 프로세스 제어기(60)는 내부 코일(20)과 외부 코일(22) 사이에서의 RF 전력 배분을 변경할 수 있다. 대안적으로 또는 부가적으로, 프로세스 제어기(60)는 도 6 및 도 7의 반응기 내의 이동식 알루미늄 플레이트(112)의 높이를 변경할 수 있다. 플래토(44a) 내의 에칭 깊이 감지 부재들의 어레이 또는 행렬로부터의 피드백으로 인해, 프로세스 제어기(60)가 반응기 조정 가능 부재들의 지속적인 시행착오 조절에 의해 에칭 속도 분포의 균일성을 개선할 수 있다.
실시간 구성 가능 프로세스 가스 분포 :
도 27 및 도 28은 개별적으로 제어 가능한 가스 주입 오리피스들 또는 노즐들(32)의 어레이를 가지는 도 1의 플라즈마 반응기의 실시예를 나타낸다. 상이한 노즐들(32)을 개별적으로 제어함으로써, 챔버(10) 내의 가스 분포는 작업편 또는 마스크(18)에 걸친 에칭 속도의 불균일한 분포를 교정하도록 변경될 수 있다. 도시된 실시예에서, 가스 주입 노즐들(32)의 어레이는 천장(14) 부근의 측벽(12) 상에 위치된다. 이를 위해, 반응기는 천장(14)을 구성하는 하부 표면을 가지는 제거 가능한 리드(342)와 측벽(12)의 상부 사이에 유지되는 상부 링(338)을 포함한다. 상부 링(338)의 하부 표면 내의 외부 숄더(344)는 측벽(12)의 상부 표면에 위치된다. 링의 상부 표면 상의 내부 숄더(346)는 리드(342)의 에지를 수용한다. 외부 숄더(348)는 링(338)의 내부 숄더(346)에 위치되는 리드(342)의 하부 표면에 제공된다. 가스 주입 오리피스들 또는 노즐들(32)은 링(338)의 수직 내부 표면(349)에 형성된다. 주입 노즐들(32) 각각으로의 가스 흐름은 개별 밸브(350)에 의해 개별적으로 제어되며, 노즐들(32) 각각에 대해 하나의 밸브(350)가 존재한다. 가스 패널(36)로부터 공급되는 프로세스 가스는 링(338)에 형성된 입력 포트(354)와 결합되는 가스 공급 라인(352)을 통해 흐른다. 링(338) 상에 형성된 가스 공급 출구들(356)은 입력 포트(354)에서 수용되는 프로세스 가스를 출력한다. 일련의 분리 가능한 가스 흐름 라인들(358)은, 각각의 가스 공급 출구들 또는 포트들(356)로부터 대응하는 밸브들(350)의 세트로 프로세스 가스를 소통시키는 링(338)의 둘레 외측에 직렬 연결부들을 형성한다.
바람직한 실시예에서, 각각의 밸브(350)는 공기압식으로(pneumatically) 제어되며, 입력 플로우-쓰루(flow-through) 포트(350a) 및 출력 플로우-쓰루 포트(350b), 제어된 가스 출구 포트(350c) 및 공기압 제어 입력 포트(350d)를 갖는다. 출구 포트(350c)는 제어된 프로세스 가스 유동을 노즐들(32) 중 대응하는 하나의 노즐로 제공한다. 프로세스 가스는 입력 플로우-쓰루 포트(350a)로부터 출력 플로우-쓰루 포트(350b)로 자유롭게 흐른다. 제어 입력 포트(350d)에서의 압축된 공기압은 플로우-쓰루 포트들(350a, 350b)을 통과하는 임의의 프로세스 가스가 가스 출구 포트(350c)로 전환되었는지를 결정한다. 이러한 공기압식으로 제어된 밸브들은 잘 알려져 있고, 따라서, 이들의 내부 구조를 본 명세서에서 개시할 필요는 없을 것이다. 가스 흐름 라인들(358-1 및 358-2)은 가스 공급 출구들(356-1, 356-2)로부터 밸브들(350-1, 350-2)의 입력 플로우-쓰루 포트들(350a)에 연결된다. 나머지 가스 흐름 라인들(358) 각각은 하나의 밸브(350)의 출력 플로우-쓰루 포트(350a)로부터 연속적인 밸브(350)의 입력 플로우-쓰루 포트(350b)에 연결된다. 따라서, 도 28의 도면의 좌측에 있는 일련의 밸브들(350)을 통한 가스 흐름은 반시계방향인 반면, 도 28의 도면의 우측에 있는 일련의 밸브들(350)을 통한 가스 흐름은 시계방향이다.
각각의 출력 포트(356)로부터 그에 연결된 일련의 밸브들(350)로의 가스 흐름은 일련의 밸브 내의 임의의 중간 밸브(350)에 의해 차단되지 않는다. 각각의 밸브(350)는 대응하는 가스 주입 오리피스(32)로 가스 흐름을 제공하기 위해 임의의 다른 밸브들(350)을 턴온 또는 턴오프시키지 않고도 턴 "온" 될 수 있고, 그리고 해당 주입 오리피스로의 가스 흐름을 중단시키기 위해 턴 "오프"될 수 있다. 밸브 구성 프로세서(360)는 모든 밸브들(350)을 제어하며, 밸브 제어 링크들(362)을 통해 임의의 조합의 밸브들(350)을 턴온 또는 턴오프시킬 수 있다. 앞서 언급된 바와 같이, 바람직한 실시예에서, 코일 안테나들(20, 22) 부근에 전기 도체들이 존재하는 것을 방지하기 위해서 밸브들(350)은 공기압 밸브들이 되고, 제어 링크들(362)은 공기압(공기) 튜브들이 된다. 도 28의 실시예에서, 압축기(364)는, 각각의 공기압 밸브들(350)의 공기압 제어 입력들(350a)에 대한 가압 공기의 인가를 제어하는 솔레노이드(즉, 전기적으로 제어되는) 밸브들(365)의 어레이로 공기를 가압하여 공급한다. 밸브 구성 프로세서(360)는 코일 안테나들(20, 22)로부터 떨어져 있는 전기적 링크들을 통해 솔레노이드 밸브들(365)을 제어한다.
도 29는, 밸브들(350)이 공기압식으로 제어되기보다는 각각 전기적으로 제어되는 도 28 실시예의 변형을 나타낸다. 도 29에서, 각각의 제어 링크들(362)은 제어기(360)로부터 대응하는 밸브(350)들 중 하나의 밸브로 직접 연장되는 전기 라인이며, 공기 압축기(364) 및 압축 공기 솔레노이드 밸브들(365)의 어레이는 생략된다.
다시 도 27 및 도 28을 참조하면, 각각의 오리피스(32)는 링(338)을 통한 방사상 실린더형 통로(366)로 형성된다. 중공의 실린더형 슬리브(368)는 통로(366) 내에 수용되고 슬리브(368)의 팁(368a)은 가스 주입 오리피스를 형성한다. 팁(368a)에서 주입 오리피스 직경은 예를 들어, .030 인치 정도의 직경을 갖는다. 각각의 슬리브(368)는 세라믹 물질로 형성될 수 있고, 제거 가능할 수 있다. 각각의 밸브(350)의 제어된 가스 출구 포트(350c)는 짧은 가스 공급 라인(370)을 통해 대응하는 방사상 통로(366)의 외부측 단부와 연결된다. 전체 가스 분배 어셈블리는 모듈형이며, 짧은 가스 공급 라인(370) 및 외부 가스 공급 라인(358)의 각각의 연결(또는 분리)에 의해 신속하게 해체되며, 슬리브들(368)은 홀들(366)로부터 개별적으로 제거 가능하다. 이런 방식으로, 링(338) 상의 어셈블리 지지체 및 가스 분배 부품들은, 예를 들어, 링(338)과 같은, 반응기의 보다 비싼 부품들의 제거 또는 교체를 요구하지 않고도, 개별적으로 쉽게 교체된다.
도 30A 내지 도 30D는, 상이한 밸브 구성에 대해 도 27 및 도 28의 반응기에서 수행되는 에칭 프로세스의 정해진 시간 기간에 얻어지는 마스크(18)에 걸친 에칭 깊이 분포의 그래프들이다. 도 30A의 에칭 분포는 모든 밸브(350)가 개방되었을 때 얻어졌으며, 일반적으로 마스크 표면에 걸쳐 0.51%의 높은 불균일성 또는 편차를 가지는 중심에서 낮은 에칭 분포이다. 도 30B의 분포는 한 쌍의 인접한 밸브들(350a, 350b)이 폐쇄되고, 나머지 밸브들(350)이 개방된 경우 얻어진 것으로, 단지 0.38%의 불균일성 또는 편차를 가지는 보다 거의 균일한 분포이다. 도 30C는 모든 밸브들(350)이 개방된 상태로 다시 밸브 구성을 복귀시킴으로써 획득되었다. 도 30C의 분포는 보다 중심에서 낮다. 도 30D의 분포는 상이한 쌍의 인접한 밸브들(350c, 350d)을 폐쇄시킴으로써 획득되었다. 결과적인 분포는 단지 0.40%의 편차를 갖고, 보다 균일하고 보다 덜 중심에서 낮았다(less center-low).
도 31은, 가스 주입 노즐들(32)이 링(338) 내에서 지그-재그로 또는 "W" 패턴으로 배치되는 대안적인 실시예를 나타낸다. 각각의 노즐은 이전 실시예들에서와 같이 독립적으로 제어된다. 주입 패턴은 노즐들의 하부 로우(row; 32b)만을 또는 상부 로우(32a)만을 활성화시킴으로써 천장에 대해 이동될 수 있다. 노즐들 간의 거리는 단지 선택된 노즐들(32)만을 (예를 들어, 세번째 노즐마다 또는 네번째 노즐마다) 활성화시킴으로써 변경될 수 있다. 도 32는 노즐들(32)이 상이한 방향들로 분사되도록 배열될 수 있는 방법을 나타내는 링(338)의 일부분의 단면도이다. 가스 분포의 큰 변화는, 예를 들어, 특정 방향으로 배향된 이러한 노즐들(32)만을 턴온시키는 밸브 구성 제어기(360)에 의해 얻어질 수 있다. 예를 들어, 도 32의 도면에서 우측을 향해 각진(angled) 모든 노즐들(32c)은, 다른 모든 노즐들을 제외하고, 동시적으로 턴온될 수 있다. 큰 변화 또는 교정은, 예를 들어, 우측으로 각진 모든 노즐들(32c)을 포함하는 다른 모든 노즐들을 턴오프시키면서 좌측을 향해 각진 모든 노즐들(32d)을 턴온 시킴으로써 달성될 수 있다.
후방 에칭 깊이 측정 센서들의 어레이로부터의 피드백으로 조정 가능한 반응기 부재 제어 :
이제 도 33 및 도 34를 참조하면, 마스크 에칭 플라즈마 반응기의 조정 가능한 부재들의 피드백 제어는 도 25 및 도 26의 후방 에칭 깊이 센서들의 2차원 어레이의 출력을 이용하여 제공된다. 조정 가능한 부재 또는 부재들은 도 27 및 도 28의 개별적으로 제어되는 가스 주입 노즐들(32)의 어레이를 포함할 수 있다. 대안적으로, 또는 부가적으로, 이러한 피드백 루프에서 제어되는 조정 가능한 부재는 도 6 및 도 7의 반응기에서 이동식 알루미늄 플레이트(112)의 높이 또는 내부 및 외부 코일들(20, 22) 간의 RF 전력 배분을 포함할 수 있다.
도 25 및 도 26의 에칭 깊이 감지 부재들(130)의 어레이 또는 행렬로부터의 피드백으로 인해 프로세스 제어기(60)는 반응기 조정 가능한 부재들의 연속적인 시행착오 조절들에 의해 에칭 속도 분포의 균일성을 개선할 수 있다. 도 33에서, 피드백 루프는 도 25 및 도 26의 후방 에칭 깊이 센서들(130)의 어레이(400)로 시작된다. 프로세스 제어기(60)는, 마스크(18) 상의 에칭 속도의 불균일성의 크기들 및 위치들을 추론하고 이러한 불균일성을 감소 또는 제거할 수 있는 반응기의 특정한 조정 가능한 부재의 가장 가능성 높은 변화를 추정하기 위해, 마스크(18)에 걸친 순간적인 에칭 깊이 측정들의 이미지를 이용하도록 프로그래밍된다. 이러한 정보는 프로세서(60)에 의해 반응기의 조정 가능한 부재들 중 임의의 하나 또는 일부 또는 전체로 전송될 명령(또는 명령들)으로 변환된다. 따라서, 도 33은 프로세스 제어기(60)로부터 하기의 조정 가능한 부재들로의 출력 신호 경로들을 나타내며, 조정 가능한 부재들 중 임의의 하나 또는 모두는 반응기에 존재할 수 있으며, 상기 조정 가능한 부재들은: (내부 및 외부 RF 전력 배분을 위한) 내부 및 외부 안테나 RF 전력 발생기들(24, 26); 이동식 알루미늄 플레이트(112)에 대한 액추에이터(110); 제어 가능한 노즐들(32)의 어레이의 노즐 어레이 제어기(360)이다.
피드백 루프는, 마스크(18)에 걸친 에칭 속도 분포의 "이미지"로부터 프로세서(60)에 의해 인지되는 불균일성들을 감소시킴으로써 마스크(18)에 걸친 에칭 속도 분포 균일성이 개선되도록 전체 마스크 에칭 프로세스 동안 연속적으로 동작될 수 있다. 피드백은 시행착오 교정들을 수행하기 위한 프로세서(60) 내의 소프트웨어에 의해 관리될 수 있다. 대안적으로, 프로세서(60)에 있는 소프트웨어는 프로세서(60)가 에칭 속도 분포의 불균일성들을 인지하도록 보다 지능적으로 응답할 수 있게 하는 상업적으로 이용 가능한 신경망 훈련 및 피드백 학습 기술을 통합할 수 있다. 이러한 소프트웨어 기술들이 본 발명의 부분을 형성하는 것은 아니다.
일 실시예에서, 조정 가능한 부재(또는 부재들)로의 피드백 명령들은 에칭 깊이 센서들의 어레이 사이의 편차가 감소되도록 생성될 수 있다. 또 다른 실시예에서, 피드백은 특정한 불균일성을 해결하도록 선택될 수 있다. 예를 들어, 센서들(130)의 어레이에 의해 감지되는 에칭 속도 분포는 마스크(18)의 일사분면(one quadrant) 또는 코너에서 매우 높을 수 있고, 이러한 경우에, 그러한 일사분면에서의 가스 흐름을 제한된(시험) 양만큼 감소시키도록 밸브 구성 프로세서가 명령을 받는다. 이러한 방식이 후방 센서들(130)의 어레이로부터 얻어진 에칭 속도 분포의 후속 이미지들에 따른 제한된 성취 결과를 얻는다면, 이러한 가스 흐름 분포의 조절이 증가될 수 있다. 이러한 조절들 및 교정들의 주기는, 에칭 속도 분포 균일성이 추가적으로 개선되지 않을 때까지 지속될 수 있다.
다른 불균일성들은 첫 번째 것이 교정된 이후 유사한 방식으로 핸들링될 수 있다. 예를 들어, 다른 위치에서 에칭 속도가 극도로 높을 수 있으며, 이러한 경우에, 상기 위치로의 가스 흐름 감소가 후방 센서들(130)의 어레이로부터의 에칭 속도 분포 "이미지"의 많은 수의 샘플들에 걸쳐 이러한 불균일성의 일부 감소를 가져오는 한, 상기 위치로의 가스 흐름이 감소된다.
에칭 속도 불균일성들이 대칭적(예를 들어, 중심에서 높거나 중심에서 낮은 분포)인 경우, 알루미늄 플레이트(112)의 높이 또는 내부 코일(20)과 외부 코일(22) 사이의 RF 전력 배분과 같은 대칭적 조정 가능한 부재들이 프로세서(60)에 의해 사용될 수 있고, 그에 따라 피드백 제어 루프를 이용하여 불균일성을 감소시킬 수 있다. 예를 들어, 알루미늄 플레이트(112)를 상승시키거나 또는 (외부 코일(22)에 대해서 상대적으로) 내부 코일(20)에 대한 RF 전력의 배분을 증가시키는 것 중 하나(또는 둘 다)에 의해 마스크(18)의 중앙에서 에칭 속도를 증가시키는 프로세서(60)에 의해서, 중심에서 낮은 에칭 속도 분포의 불균일성이 감소될 수 있을 것이다. 피드백 루프에서, 이러한 변화는 초기에는 작을 수 있고, 후방 센서들(130)의 어레이로부터의 에칭 분포 이미지의 균일성이 개선됨에 따라, 알루미늄 플레이트의 위치 및/또는 내부 코일(20)에 대한 전력의 배분이 더 증가될 수 있다. 이러한 주기는 추가의 개선이 관찰되지 않을 때까지 지속될 수 있다. 전술한 모든 기술들은 프로세스 제어기(60)에 의해 실행되는 소프트웨어에 내장될 수 있다.
도 35는, 도 33 및 도 34의 실시예에서 프로세스 제어기(60)에 의해 수행되는 피드백 주기의 하나의 가능한 예를 나타낸다. 먼저, 프로세서(60)는 후방 센서들(130)의 어레이로부터 마스크 표면에 걸친 에칭 속도의 가장 최근의 2차원 이미지를 얻는다(도 35의 블록(380)). 이러한 이미지로부터, 프로세서(60)는 에칭 속도 분포의 불균일성 패턴을 추정하고(블록(382)), 불균일성을 감소시킬 수 있는 옵션들의 리스트로부터 반응기의 조정 가능한 부재들 중 하나에 대한 조절을 선택한다(블록(384)). 이러한 조절을 행한 후에(블록(386)), 프로세서(60)는 가장 최근의 에칭 속도 분포 이미지를 얻고(블록(388)), 이를 조절 이전에 취한 이전 이미지와 비교한다. 개선이 이루어진 경우(불균일성이 감소), 프로세서(60)는 동일한 주기를 반복하여, 동일한 성공적인 조절을 보다 증가시키는 결과를 초래할 것이다. 개선이 이루어지지 않은 경우(블록(390)의 '아니오' 가지(branch)), 선택된 조절은 옵션들의 리스트로부터 제거되며(블록(392)), 그리고 블록(384)의 단계로 복귀됨으로써 다른 조절이 선택된다.
이상은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예 및 추가적인 실시예들이 하기되는 청구범위에 의해 결정되는 본 발명의 기본 범주를 이탈하지 않고 안출될 수 있을 것이다.
도 1은 마스크 에칭 프로세스를 수행하는 플라즈마 반응기를 나타낸다.
도 2A는 도 1의 반응기의 하부 부분을 나타낸다.
도 2B는 상승된 위치에서 도 1의 반응기의 마스크 지지 받침대를 나타낸다.
도 3은 도 1의 반응기의 캐소드의 평면도이다.
도 4 및 도 5는 캐소드의 대안적인 일 실시예의 평면도 및 측면도이다.
도 6 및 도 7은 캐소드의 대안적인 또 다른 실시예의 평면도 및 측면도이다.
도 8은 후방 엔드포인트 검출 장치를 가지는 플라즈마 반응기의 개략도이다.
도 9 및 도 10은 각각 마스크의 전방 및 후방으로부터 얻어진 광학적 엔드포인트 검출 신호의 그래프들이다.
도 11 및 도 12는 각각 마스크의 전방 및 후방으로부터 얻어진 간섭 플린지 광학 신호의 그래프이다.
도 13은 도 8의 반응기의 일 실시예에서 얻어진 다중 파장 간섭 스펙트럼 신호의 그래프이다.
도 14는 도 10에 대응하는 전체 반사된 광 세기에 기초한 후방 엔드포인트 검출을 수반하는 도 8의 반응기의 실시예를 나타낸다.
도 15는 도 12에 대응하는 간섭 플린지 카운팅에 기초한 후방 엔드포인트 검출을 수반하는 도 8의 반응기의 실시예를 나타낸다.
도 16은 다중 파장 간섭 분광계에 기초한 후방 엔드포인트 검출을 수반하는 도 8의 반응기의 실시예를 나타낸다.
도 17은 OES(optical emission spectrometry)에 기초한 후방 엔드포인트 검출을 수반하는 도 8의 반응기의 실시예를 나타낸다.
도 18은 OES 및 간섭-기반 후방 엔드포인트 검출 모두를 가지는 작동예를 나타낸다.
도 19 및 도 20은 각각 도 18의 실시예의 캐소드 및 설비 플레이트의 사시도이다.
도 21은 도 19의 캐소드의 단면도이다.
도 22A 및 도 22B는 후방 엔드포인트 검출을 이용하는 석영 마스크 에칭 프로세스에서의 순차적 단계들을 나타낸다.
도 23A, 도 23B, 도 23C, 도 23D 및 도 23E는 후방 엔드포인트 검출을 사용하는 크롬-몰리실리사이드-석영 마스크 에칭 프로세스에서의 순차적 단계들을 나타낸다.
도 24A, 도 24B, 도 24C, 도 24D 및 도 24E는 후방 엔드포인트 검출을 사용하는 크롬-석영 마스크 에칭 프로세스에서의 순차적 단계들을 나타낸다.
도 25 및 도 26은 각각, 마스크 후방으로부터 실시간 에칭 속도 분포가 연속적으로 측정되는 실시예의 측면도 및 평면도이다.
도 27 및 도 28은 각각, 개별적으로 제어 가능한 가스 주입 노즐들의 어레이를 갖는 실시예의 사시도 및 평면도이다.
도 29는 공기압식 밸브들을 사용하는 도 27 및 도 28의 실시예의 구현예의 평면도이다.
도 30A 내지 도 30D는 활성화된 도 27 및 도 28의 밸브들의 어레이 중의 상이한 어레이들을 이용하여 얻어진 마스크에 걸친 에칭 깊이 분포의 그래프들이다.
도 31은 도 27 및 도 28의 반응기의 대안적인 실시예를 나타낸다.
도 32는 도 27 및 도 28의 반응기의 또 다른 대안적인 실시예를 나타낸다.
도 33 및 도 34는, 각각, 에칭 속도 분포의 순간적인 2차원 이미지들에 기초하여 반응기의 조정 가능한 부재들의 실시간 피드백 제어를 수행할 수 있는 플라즈마 반응기의 블록도 및 사시도이다.
도 35는 도 33 및 도 34의 반응기에서 수행될 수 있는 피드백 제어 프로세스의 블록도이다.

Claims (20)

  1. 플라즈마 반응기로서,
    실린더형 측벽, 상기 측벽 위에 놓이는 천장(ceiling), 및 상기 측벽의 상부 에지상에 지지되고 상기 천장을 지지하며 외부 표면과 내부 표면을 포함하는 링을 포함하는 진공 챔버;
    RF 플라즈마 소스 전력 애플리케이터 및 상기 애플리케이터에 결합되는 RF 소스 전력 발생기;
    상기 외부 표면으로부터 상기 내부 표면으로 상기 링을 통해 방사상 방향으로 연장되며, 상기 링의 원주(circumference)를 따라 이격된 복수의 통로들;
    프로세스 가스 공급부;
    상기 챔버 및 상기 링의 외측에 있고, 상기 챔버 및 상기 링으로부터 이격되며, 상기 챔버의 원주 주위에서 끝과 끝이 이어지도록 배열되는 연속된 탈착가능한 가스 흐름 라인들 및 상기 프로세스 가스 공급부와 연속된 상기 가스 흐름 라인들 사이에 결합된 하나의 가스 공급 라인;
    상기 챔버의 외측에 있고 상기 원주에 대하여 이격된 각각의 위치들에서 상기 가스 흐름 라인들 중 연속된 가스 흐름 라인들 사이에 결합된 복수의 외부 가스 흐름 밸브들 ― 각각의 외부 가스 흐름 밸브는 (a) 상기 링의 외부 표면에서 상기 복수의 통로들의 각각의 통로와 개별적으로 결합되는 제어된 가스 출력 포트, (b) 상기 제어된 가스 출력 포트를 통해 가스 흐름을 통제하는 밸브 제어 입력부, (c) 대응하는 가스 흐름 라인들의 쌍 중 첫 번째 가스 흐름 라인에 연결되는 입력 플로우-쓰루(flow-through) 포트, (d) 상기 대응하는 가스 흐름 라인들의 쌍 중 다른 가스 흐름 라인에 연결되는 출력 플로우-쓰루 포트, (e) 상기 입력 플로우-쓰루 포트 및 출력 플로우-쓰루 포트 사이의 차단해제 가능한 플로우-쓰루 통로를 가지고, 상기 가스 흐름 라인들 각각은 상기 밸브로부터 상기 가스 흐름 라인 각각이 연결되는 것으로 개별적으로 분리가능함;
    상기 천장을 향하고, 상기 링과 평행하고, 상기 링과 동심(concentric)을 이루며, 작업편(workpiece)을 지지하기 위한 지지 표면을 가지는 상기 챔버 내의 작업편 지지부; 및
    상기 밸브들 각각의 밸브 제어 입력부를 제어하는 가스 밸브 구성 제어기를 포함하는,
    플라즈마 반응기.
  2. 제 1 항에 있어서,
    상기 외부 가스 흐름 밸브들은 상기 챔버로부터 개별적으로 제거 가능하며, 상기 챔버와 개별적으로 재연결 가능한, 플라즈마 반응기.
  3. 제 1 항에 있어서,
    상기 외부 가스 흐름 밸브들 각각은 공기압식으로 제어되는 밸브이고, 상기 반응기는:
    가압 공기 소스 ;
    상기 가압 공기 소스와 상기 외부 가스 흐름 밸브들 각각의 밸브 제어 입력부들 사이에 결합되는 복수의 전기적으로 제어 가능한 공기 밸브들;
    상기 제어기와 상기 가스 흐름 밸브들 각각의 상기 밸브 제어 입력부들 사이의 개별적인 신호 경로들;
    을 더 포함하는, 플라즈마 반응기.
  4. 제 1 항에 있어서,
    상기 플라즈마 반응기는 상기 링의 상기 복수의 통로들 각각의 내부에 복수의 중공(hollow) 슬리브들을 더 포함하며,
    상기 슬리브들 각각은 상기 링의 상기 외부 표면에서 가스 수용 단부 및 상기 링의 상기 내부 표면에서 가스 출력 단부를 가지며,
    상기 슬리브들 각각의 상기 가스 출력 단부는 가스 주입 오리피스(gas injection orifice)를 형성하는, 플라즈마 반응기.
  5. 제 4 항에 있어서,
    상기 슬리브들은 상기 통로들로부터 개별적으로 제거 가능하고 상기 통로들에 개별적으로 재삽입 가능하며, 상기 외부 가스 흐름 밸브들은 상기 챔버로부터 개별적으로 제거 가능하고 상기 챔버에 개별적으로 재연결 가능한, 플라즈마 반응기.
  6. 제 5 항에 있어서,
    상기 슬리브들은 각각 세라믹 물질로 형성되는, 플라즈마 반응기.
  7. 제 1 항에 있어서,
    상기 링은:
    상기 실린더형 측벽의 상기 상부 에지를 수용하기 위한 외부 대면 숄더; 및
    상기 천장의 둘레 에지를 수용하기 위한 내부 대면 숄더;
    를 포함하는, 플라즈마 반응기.
  8. 제 1 항에 있어서,
    상기 링의 상기 내부 표면은 실린더형이고 상기 천장으로부터 축방향으로 연장되며, 상기 통로들은 상기 내부 표면에서 홀들(holes)로서 종결되고, 상기 홀들은 상기 내부 표면의 원주를 따라 균일하게 이격되는, 플라즈마 반응기.
  9. 제 8 항에 있어서,
    상기 홀들은 상기 내부 표면 상의 각각의 축방향 위치들에서 복수의 균일하게 이격된 홀들의 세트들을 포함하는, 플라즈마 반응기.
  10. 제 8 항에 있어서,
    상기 홀들은 상기 내부 표면을 따라 분포된 복수의 홀들의 세트들을 포함하며, 상기 홀들의 세트들 각각은 상기 내부 표면에 대해 개별적인 각도로 배향되는, 플라즈마 반응기.
  11. 삭제
  12. 삭제
  13. 제 1 항에 있어서,
    상기 링은 가스 매니폴드를 포함하고, 상기 가스 매니폴드는:
    상기 프로세스 가스 공급부로부터의 가스를 수용하기 위한 가스 공급 포트; 및
    상기 링의 상기 원주를 따라 대향하는 회전 방향들로 배향된 가스 출구(outlet)들의 쌍;
    을 포함하며,
    상기 연속된 가스 흐름 라인들은:
    상기 가스 출구들의 쌍 중의 하나와 결합되는 입력을 가지며, 제 1 회전 방향을 따라 상기 링의 상기 원주의 첫 번째 절반 부분 주위에서 연장되는 가스 흐름 라인들의 제 1 세트; 및
    상기 가스 출구들의 쌍 중의 다른 하나와 결합되는 입력을 가지며, 상기 제 1 회전 방향과 반대되는 회전 방향을 따라 상기 링의 상기 원주의 두 번째 절반 부분 주위에서 연장되는 가스 흐름 라인들의 제 2 세트;
    를 포함하는, 플라즈마 반응기.
  14. 제 13 항에 있어서,
    상기 프로세스 가스 공급부와 상기 링의 상기 가스 매니폴드의 상기 가스 공급 포트 사이에 결합되는 가스 공급 라인을 더 포함하는, 플라즈마 반응기.
  15. 삭제
  16. 삭제
  17. 제 13 항에 있어서,
    상기 가스 흐름 라인들의 제 1 세트는 상기 링의 상기 원주의 절반부 주위에서 연장되고, 상기 가스 흐름 도관 라인들의 제 2 세트는 상기 링의 상기 원주의 다른 절반부 주위에서 연장되는, 플라즈마 반응기.
  18. 제 1 항에 있어서,
    상기 제어기는, 상기 가스 흐름 밸브들 각각을 다른 밸브들과 독립적으로 제어할 수 있도록 상기 가스 흐름 밸브들 각각의 상기 제어 입력부들에 개별적으로 연결되는, 플라즈마 반응기.
  19. 제 1 항에 있어서,
    상기 가스 흐름 밸브들은 ON 상태 및 OFF 상태로 제어가능한,
    플라즈마 반응기.
  20. 제 1 항에 있어서,
    상기 가스 흐름 밸브들은 0 의 유속과 최대 유속 사이의 상이한 가스 유속들로 제어가능한,
    플라즈마 반응기.
KR1020070072658A 2006-10-30 2007-07-20 가변 프로세스 가스 분포를 갖는 마스크 에칭 플라즈마반응기 KR101384277B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/589,426 2006-10-30
US11/589,426 US7976671B2 (en) 2006-10-30 2006-10-30 Mask etch plasma reactor with variable process gas distribution

Publications (2)

Publication Number Publication Date
KR20080039196A KR20080039196A (ko) 2008-05-07
KR101384277B1 true KR101384277B1 (ko) 2014-04-11

Family

ID=38875008

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070072658A KR101384277B1 (ko) 2006-10-30 2007-07-20 가변 프로세스 가스 분포를 갖는 마스크 에칭 플라즈마반응기

Country Status (6)

Country Link
US (1) US7976671B2 (ko)
EP (1) EP1918968A1 (ko)
JP (1) JP5728149B2 (ko)
KR (1) KR101384277B1 (ko)
CN (1) CN101174097B (ko)
TW (1) TWI365496B (ko)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7981307B2 (en) * 2007-10-02 2011-07-19 Lam Research Corporation Method and apparatus for shaping gas profile near bevel edge
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
EP2347030B1 (en) * 2008-10-08 2018-05-16 Abcd Technology Sarl Vapor phase deposition system
US8360003B2 (en) * 2009-07-13 2013-01-29 Applied Materials, Inc. Plasma reactor with uniform process rate distribution by improved RF ground return path
KR200478069Y1 (ko) 2009-09-10 2015-08-24 램 리써치 코포레이션 플라즈마 처리 장치의 교체가능한 상부 체임버 부품
US20120073752A1 (en) * 2010-09-24 2012-03-29 Memc Electronic Materials, Inc. Adapter Ring For Silicon Electrode
WO2012109104A2 (en) * 2011-02-09 2012-08-16 Applied Materials, Inc. Uniformity tuning capable esc grounding kit for rf pvd chamber
JP5723678B2 (ja) * 2011-05-31 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置及びそのガス供給方法
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
KR101389007B1 (ko) * 2012-11-27 2014-04-24 에이피시스템 주식회사 건식 식각 장치 및 이를 이용한 건식 식각 방법
KR102152858B1 (ko) * 2013-03-12 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
WO2014149200A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
CN105529237B (zh) * 2014-10-23 2018-05-01 中微半导体设备(上海)有限公司 气体导流环、气体供应装置及等离子体处理装置
US10354842B2 (en) * 2015-11-13 2019-07-16 Lam Research Corporation Pneumatic exhaust system
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10818564B2 (en) * 2016-03-11 2020-10-27 Applied Materials, Inc. Wafer processing tool having a micro sensor
CN107993914B (zh) * 2016-10-26 2019-09-06 中微半导体设备(上海)股份有限公司 气体流量调节装置及其调节方法
JP6820717B2 (ja) * 2016-10-28 2021-01-27 株式会社日立ハイテク プラズマ処理装置
US10725484B2 (en) * 2018-09-07 2020-07-28 Mks Instruments, Inc. Method and apparatus for pulse gas delivery using an external pressure trigger
CN112928008B (zh) * 2019-12-06 2023-03-24 中微半导体设备(上海)股份有限公司 气体供应系统及其气体输送方法、等离子体处理装置
CN114420524B (zh) * 2020-10-28 2023-10-31 中微半导体设备(上海)股份有限公司 气流调节装置和方法及应用该装置的等离子体处理装置
CN113113283A (zh) * 2021-04-08 2021-07-13 中国科学院光电技术研究所 一种基于进气分布控制的等离子体密度分布调控方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0582507A (ja) * 1991-09-18 1993-04-02 Applied Materials Japan Kk 液体気化バルブ
JP2001085414A (ja) * 1999-09-17 2001-03-30 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP2001267315A (ja) * 1999-12-23 2001-09-28 Applied Materials Inc 高密度プラズマリアクタにおける窒化ケイ素のインサイチュ(insitu)堆積及び集積化
JP2005248327A (ja) * 2004-03-04 2005-09-15 Samsung Sdi Co Ltd 誘導結合プラズマ化学気相蒸着装置

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4558845A (en) * 1982-09-22 1985-12-17 Hunkapiller Michael W Zero dead volume valve
CH675459A5 (ko) * 1988-03-09 1990-09-28 Sulzer Ag
JPH0784662B2 (ja) * 1989-12-12 1995-09-13 アプライドマテリアルズジャパン株式会社 化学的気相成長方法とその装置
JP3381916B2 (ja) * 1990-01-04 2003-03-04 マトソン テクノロジー,インコーポレイテッド 低周波誘導型高周波プラズマ反応装置
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
JPH05136098A (ja) 1991-11-15 1993-06-01 Seiko Epson Corp 半導体装置の製造装置及び半導体装置の製造方法
JP3124376B2 (ja) * 1992-06-17 2001-01-15 株式会社東芝 化合物半導体の気相成長装置
US6557593B2 (en) * 1993-04-28 2003-05-06 Advanced Technology Materials, Inc. Refillable ampule and method re same
JP3124204B2 (ja) 1994-02-28 2001-01-15 株式会社東芝 プラズマ処理装置
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
JPH08316279A (ja) 1995-02-14 1996-11-29 Internatl Business Mach Corp <Ibm> 半導体基体の厚さ測定方法及びその測定装置
EP0735565B1 (en) 1995-03-31 1999-06-02 International Business Machines Corporation Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
KR0147634B1 (ko) * 1995-04-25 1998-11-02 김광호 에어밸브 구동시스템
US5702530A (en) * 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5876119A (en) * 1995-12-19 1999-03-02 Applied Materials, Inc. In-situ substrate temperature measurement scheme in plasma reactor
US6014137A (en) * 1996-02-27 2000-01-11 Multimedia Adventures Electronic kiosk authoring system
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5879128A (en) 1996-07-24 1999-03-09 Applied Materials, Inc. Lift pin and support pin apparatus for a processing chamber
JPH10298787A (ja) 1997-04-25 1998-11-10 Shibaura Eng Works Co Ltd ドライエッチング装置
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6185839B1 (en) 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6217937B1 (en) * 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
JP2000200783A (ja) 1999-01-06 2000-07-18 Hitachi Ltd プラズマ処理装置および方法
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6388382B1 (en) 1999-03-09 2002-05-14 Hitachi, Ltd. Plasma processing apparatus and method
US7537672B1 (en) * 1999-05-06 2009-05-26 Tokyo Electron Limited Apparatus for plasma processing
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
JP3774094B2 (ja) 1999-12-02 2006-05-10 株式会社日立製作所 膜厚、加工深さ測定装置及び成膜加工方法
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
US6363882B1 (en) 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
WO2001052302A1 (en) * 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US6353210B1 (en) * 2000-04-11 2002-03-05 Applied Materials Inc. Correction of wafer temperature drift in a plasma reactor based upon continuous wafer temperature measurements using and in-situ wafer temperature optical probe
TWI228747B (en) * 2000-05-17 2005-03-01 Tokyo Electron Ltd Processing apparatus and the maintenance method, assembling mechanism and method of processing apparatus parts, and lock mechanism and the lock method
TW492106B (en) * 2000-06-20 2002-06-21 Hitachi Ltd Inspection method for thickness of film to be processed using luminous beam-splitter and method of film processing
US6458495B1 (en) 2000-06-30 2002-10-01 Intel Corporation Transmission and phase balance for phase-shifting mask
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6896737B1 (en) * 2000-08-28 2005-05-24 Micron Technology, Inc. Gas delivery device for improved deposition of dielectric material
JP2002129337A (ja) * 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
US20020101167A1 (en) * 2000-12-22 2002-08-01 Applied Materials, Inc. Capacitively coupled reactive ion etch plasma reactor with overhead high density plasma source for chamber dry cleaning
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
JP2002246368A (ja) 2001-02-14 2002-08-30 Anelva Corp ウェハー表面径方向均一プラズマを用いるウェハー処理システム
US6902622B2 (en) * 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US20040062874A1 (en) * 2002-08-14 2004-04-01 Kim Yong Bae Nozzle assembly, system and method for wet processing a semiconductor wafer
US6828241B2 (en) * 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6806653B2 (en) * 2002-01-31 2004-10-19 Tokyo Electron Limited Method and structure to segment RF coupling to silicon electrode
JP2003231414A (ja) * 2002-02-08 2003-08-19 Akiyoshi Sugimoto 自動車
WO2003089682A1 (en) * 2002-04-19 2003-10-30 Mattson Technology, Inc. System for depositing a film onto a substrate using a low vapor pressure gas precursor
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
KR100739890B1 (ko) * 2003-05-02 2007-07-13 동경 엘렉트론 주식회사 처리가스도입기구 및 플라즈마 처리장치
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
KR100587669B1 (ko) 2003-10-29 2006-06-08 삼성전자주식회사 반도체 장치에서의 저항 소자 형성방법.
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
JP3957705B2 (ja) * 2004-05-28 2007-08-15 株式会社半導体理工学研究センター プラズマ処理装置
US20060042754A1 (en) 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US20060191638A1 (en) * 2005-02-28 2006-08-31 International Business Machines Corporation Etching apparatus for semiconductor fabrication
KR101153161B1 (ko) * 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
KR100694666B1 (ko) * 2005-08-24 2007-03-13 삼성전자주식회사 원자층 증착 챔버의 에어 밸브 장치
US7460251B2 (en) 2005-10-05 2008-12-02 Taiwan Semiconductor Manufacturing Co. Dimension monitoring method and system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0582507A (ja) * 1991-09-18 1993-04-02 Applied Materials Japan Kk 液体気化バルブ
JP2001085414A (ja) * 1999-09-17 2001-03-30 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP2001267315A (ja) * 1999-12-23 2001-09-28 Applied Materials Inc 高密度プラズマリアクタにおける窒化ケイ素のインサイチュ(insitu)堆積及び集積化
JP2005248327A (ja) * 2004-03-04 2005-09-15 Samsung Sdi Co Ltd 誘導結合プラズマ化学気相蒸着装置

Also Published As

Publication number Publication date
TW200820341A (en) 2008-05-01
TWI365496B (en) 2012-06-01
JP2008112964A (ja) 2008-05-15
CN101174097A (zh) 2008-05-07
US20080102202A1 (en) 2008-05-01
US7976671B2 (en) 2011-07-12
CN101174097B (zh) 2012-09-26
EP1918968A1 (en) 2008-05-07
JP5728149B2 (ja) 2015-06-03
KR20080039196A (ko) 2008-05-07

Similar Documents

Publication Publication Date Title
KR101384277B1 (ko) 가변 프로세스 가스 분포를 갖는 마스크 에칭 플라즈마반응기
US10170280B2 (en) Plasma reactor having an array of plural individually controlled gas injectors arranged along a circular side wall
KR101343948B1 (ko) 에칭 속도의 균일한 분포를 제공하는 캐소드를 갖춘 마스크에칭 플라즈마 반응기
US8017029B2 (en) Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
JP5584388B2 (ja) 裏面光学センサ及びエッチング分布の多周波数制御を備えたマスクエッチングプラズマリアクタ
US11384433B2 (en) Gas injection module, substrate processing apparatus, and method of fabricating semiconductor device using the same
US7967930B2 (en) Plasma reactor for processing a workpiece and having a tunable cathode
TWI428982B (zh) 可切換中性射束源
US20130109112A1 (en) Etch rate detection for photomask etching
US8012366B2 (en) Process for etching a transparent workpiece including backside endpoint detection steps
JP3138693U (ja) ノズルを備えたプラズマリアクタ及び可変プロセスガス分配
US20080099437A1 (en) Plasma reactor for processing a transparent workpiece with backside process endpoint detection
KR200461689Y1 (ko) 노즐들 및 가변 가능한 공정 가스 분배를 구비한 플라즈마반응기
JP3138694U (ja) カソードリフトピンアセンブリを備えたマスクエッチプラズマリアクタ
KR200461690Y1 (ko) 캐소드 리프트 핀 어셈블리를 구비한 마스크 식각 플라즈마반응기
CN201348719Y (zh) 一种用于等离子体反应室的升降销

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190401

Year of fee payment: 6