JP3381916B2 - 低周波誘導型高周波プラズマ反応装置 - Google Patents

低周波誘導型高周波プラズマ反応装置

Info

Publication number
JP3381916B2
JP3381916B2 JP50409991A JP50409991A JP3381916B2 JP 3381916 B2 JP3381916 B2 JP 3381916B2 JP 50409991 A JP50409991 A JP 50409991A JP 50409991 A JP50409991 A JP 50409991A JP 3381916 B2 JP3381916 B2 JP 3381916B2
Authority
JP
Japan
Prior art keywords
plasma
reaction chamber
faraday shield
semiconductor substrate
plasma reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP50409991A
Other languages
English (en)
Other versions
JPH05502971A (ja
Inventor
エドワード サバス スティーブン
Original Assignee
マトソン テクノロジー,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マトソン テクノロジー,インコーポレイテッド filed Critical マトソン テクノロジー,インコーポレイテッド
Publication of JPH05502971A publication Critical patent/JPH05502971A/ja
Application granted granted Critical
Publication of JP3381916B2 publication Critical patent/JP3381916B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)

Description

【発明の詳細な説明】 技術分野 本発明は一般にウエハ加工システムに関し、特にプラ
ズマが主として誘導結合された電力によって発生するウ
エハ加工プロセス用のプラズマ反応装置に関する。
尚、図面において、参照番号の最初の数字は、その参
照番号によって示された構成要素(部材)が表れている
最初の図面を示している。
背景技術 プラズマエッチングや蒸着は、異方性があり、化学的
に選択性があり、しかも熱力学的平衡から離れた条件下
で加工を行うことができるので、回路製作におけるプラ
ズマエッチングや蒸着は、魅力的な方法である。異方性
プロセスはマスキング層の縁からほぼ垂直に延びた側壁
を有する集積回路パターンの作製を可能とする。このこ
とは、エッチング深さ、パターン幅及びパターン間隔が
全て同等である現在及び将来のULSI装置においては重要
である。
図1には、典型的なウエハ加工用のプラズマ反応装置
10が示されている。この反応装置には、プラズマ反応室
12を囲む絶縁被覆された金属壁11が設けられている。壁
11は接地されており、プラズマ電極の一方の側として機
能する。ガス供給源13から反応室12にガスが供給されて
おり、そのガスは、プラズマプロセスに適切な低圧状態
を維持するために、当該反応装置からガスを強制排気す
る排気システム14によって排気される。
第2の電極16に接続された高周波電源15は、反応室12
内のプラズマに静電的に電力を供給する。加工のため、
ウエハ17は電極16上又はその近傍に配置される。ウエハ
17は、スリットバルブ18のようなポートを介して反応室
12内に搬入され、又、反応室12から搬出される。
プラズマ反応装置には、13.56MHzの高周波電源(RF電
源)が広範囲に用いられている。というのも、この周波
数は、ISM基準周波数(ISMとは、工業、科学、医療の分
野を意味する)であるからであり、ISM基準周波数の政
府規制放射限度は、非ISM周波数、特に通信帯域の周波
数における場合よりも、規制が緩やかだからである。こ
のISM基準のために、その周波数で利用される設備が多
いため、更に13.56MHzの全世界的な使用が助長されてい
る。他のISM基準周波数は、27.12MHzと40.68MHzであ
り、これらは13.56MHzのISM基準周波数の第1次、及び
第2次ハーモニクスである。
プラズマは質的に異なった二つの部位、即ち、準中性
で等電位の伝導性プラズマ体19とプラズマシース(plas
ma sheath)と呼ばれている境界層110とからなってい
る。プラズマ体は、ラジカルや安定中性分子はもちろ
ん、ほぼ同じ濃度の負電荷及び正電荷を帯びた分子から
なっている。反応室に供給された高周波電力は、自由電
子にエネルギーを供給する。そして、これら自由電子の
多くに十分なエネルギーを伝え、その結果、この電子が
ガス分子と衝突することによってイオンが生成される。
プラズマシースとは、空間ポテンシャル(即ち、電界強
度)の勾配が大きく、かつ、電子が不足した伝導性の低
い領域である。かかるプラズマシースは、プラズマ体
と、プラズマ反応室の壁や電極のような界面との間に生
成する。
電極が高周波電源に静電的に結合されると、この電極
における電圧の負極側の直流成分Vdc(即ち、直流バイ
アス)が生じる(例えば、H.S.バトラー及びG.S.キング
流体物理学,6巻,1348頁(1963年)を参照)。このバイ
アスは、不均衡な電子及びイオンの移動性と、電極及び
壁面におけるシースキャパシタンス(静電容量)の不均
等の結果である。シースキャパシタンスの大きさは、プ
ラズマ室の形状及び該室内における電極と壁との相対面
積と同様に、プラズマ濃度の関数となる。電極における
数百ボルト程度のシース電圧が一般に作られている(例
えば、J.コバーン及びE.ケイ、高周波ダイオードグロー
放電スパッタリングにおける基質の正イオン衝撃 応用
物理誌,43巻4965頁(1972年)を参照のこと)。
パワー供給された電極におけるシース電位の直流成分
は、イオンをその電極に対してほぼ垂直方向に、より高
いエネルギー状態まで加速するのに有益である。それ
故、プラズマエッチングプロセスにおいては、陽イオン
の束がウエハ面に対してほぼ垂方に投射されるように、
エッチングされるべきウエハ17が当該電極上又は僅かに
その上方に配置される。これにより、ウエハの非保護域
のほぼ垂直なエッチングを可能としている。商業的エッ
チングプロセスに要求されるエッチング速度(以下、
「エッチレート」という)を生み出すには、幾つかのプ
ロセス(シリカ(SiO2)のエッチング等)において、こ
のような高いシース電圧(及び高い放電電圧)が不可欠
である。
最新のMOS集積回路におけるトランジスタ速度仕様と
高集積度は、浅い接合を用いることと、数千オングスト
ロームの厚さのポリシリコンゲート下における薄い(10
ナノメートル程度)のゲート酸化物を要求する。残念な
ことに、そのようなIC構造は、図1の従来のプラズマエ
ッチング装置におけるような高エネルギー(100電子ボ
ルトを超えるエネルギー)イオンによる衝撃に敏感であ
るため、ゲートを形成するポリシリコン層のエッチング
工程の間、ゲート酸化物の損傷を避けるのが難しくなっ
ている。イオンエネルギーと関連するシース電圧の減少
に伴って、ウエハ損傷は減少するので、より少ない放電
パワーレベルと放電電圧において操作することは有利と
なろう。しかしながら、13.56MHzの静電結合電力では、
電圧の低下は、多くのプロセスにおけるエッチレートを
比例的に低下させる結果となり、そのためにプロセスの
効率を大きく低下させる。
シリカ及びある種の珪素のエッチングプロセスにおけ
るエッチレートは、プラズマからウエハに伝達されるイ
オン衝撃電力密度の関数である。この電力は電極のシー
ス電圧とウエハのイオン流密度との積に等しいので、低
減されたシース電圧においてほぼ一定したエッチレート
を維持するためには、ウエハのイオン流密度は増大され
ねばならない。このことは、ウエハ近くのプラズマイオ
ン密度を増やすことを要求する。残念ながら、従来のプ
ラズマエッチング装置では、電極のシース電圧と電極近
くのイオン密度とは相互に比例的であり、かつ、それら
は電極に印加される高周波電圧の振幅に単調増加な関数
である。
このように、高周波信号の電圧を低くすることによっ
てシース電圧が減少されるならば、ウエハにおけるイオ
ンビームの電流密度もまた減少し、それによって、シー
ス電圧又はイオン電流における場合よりもエッチレート
における更なる割合の減少を生じさせる。それ故、商業
的に十分なエッチレートを有するソフトエッチングプロ
セス(ウエハにおける低いシース電圧を有するエッチン
グプロセス)が実行されるためには、ウエハのシース電
圧とイオン密度とを独立して調節可能であることが有利
となろう。
ウエハ近くのプラズマイオン密度を高めることでエッ
チレートを増大させる1つの方法は、磁石を利用してウ
エハの近傍に電子をトラップする磁気的な閉じ込め場を
作り、それにより、ウエハにおけるイオン生成率と関連
する密度を増やすことである。磁気的な閉じ込め場は、
磁力線の周りの螺旋軌道に沿って活性電子をうず巻き状
に進ませることにより、活性電子を閉じ込めている。
あいにく、例えば「磁気強化された」プラズマエッチ
ングシステムの磁気的な閉じ込め場の不均一性によっ
て、ウエハ表面でのエッチレートの均一性が減じられて
いる。シース内及びその近傍の電場によるE(電場)×
B(磁場)ドリフトはまた、そのようなシステムにおけ
るエッチレートの均一性を減少させる。かかるシステム
におけるウエハ表面上の均一性を改善するために、ウエ
ハは、電極面に垂直かつその面の中心となる軸線の周り
に回転される。これは、ウエハ上の改善された平均均一
性を有する円筒対称な時平均場をウエハに生じさせ、そ
れにより、エッチングの均一性の向上が図られる。しか
しながら、かかる回転は、微粒子を生じさせて汚染を増
大させる好ましからぬ機械的な動きをプラズマ室内に生
じさせる。
低いイオン衝撃エネルギーでの許容できる程度のエッ
チレートを生じさせる可能性のあるもう一つの技術は、
最近開発された電子サイクロトロン共鳴プラズマ生成法
である。この技術には、ウエハのクリーニグ、エッチン
グ、及び蒸着プロセスに対する適用事例がある。この技
術においては、マイクロ波電源と磁気的な閉じ込め構造
を用いてプラズマが生成される。残念ながら、エッチン
グあるいは化学蒸着法に適用された場合、この方法は、
高レベルで微粒子を生成し、放射方向へのエッチレート
の均一性が低く、しかも低効率である。
ラジカルの生成に振り向けられるエネルギーの割合
は、約0.13パスカルを超えると急激に増大するので、こ
のシステムの圧力はそのレベル以下に保たなければなら
ない。これは、(1):非常に高速の排気速度(毎秒3,
000リットル以上であって、これは普通のタイプの10倍
の体積である)を有し、かつこのプロセスに要求される
極低圧(0.013〜0.13パスカル)を生み出す真空ポンプ
システムと、(2):時として大きな電磁石を含む巨大
な磁気的閉じ込めシステムと、を含んでなる高価な装置
を必要とする。
更に、イオン密度を高める別の技術は、ウエハ上少な
くとも10センチメートルの領域にイオンを発生させるマ
イクロ波プラズマ発生装置を使用するものである。これ
らイオンは、ウエハ上の空間に流れ込み、ウエハのイオ
ン密度に貢献する。しかしながら、この方法は、多量の
自由ラジカルを生成させる傾向にあり、1平方センチメ
ートル当たりほんの数ミリアンペアのイオン流密度をウ
エハに発生させるだけである。
ジョセフ・フレジンガー及びホースト・W.ローブによ
る「融合炉用の中性分子注入器RIG」原子核エネルギー
・核技術(Atomkernenergie−Kerntechnik),44巻(198
4年)No.1,81〜86頁では、粒子の中性ビームを発生させ
て、トカマク融合炉のエネルギー生成における均衡点を
設けるために必要とされている追加のパワー量を供給し
ている。このビームは、誘導結合電力によってイオンビ
ームを発生させることと、融合炉内に入る前にガスを通
過させることにより、そのビームを中性化することによ
って作られる。そのイオンビームは、この出願における
高周波フィールドの代わりに直流フィールドによって抽
出されている。
J.フレジンガーらの「反応性ガスの物質プロセスのた
めの高周波イオン電源と題された論文(ガス放電とその
応用についての第9回国際会議1988年9月19日〜23日)
に示された反応装置においては、電子を加熱するために
パワーが反応室内に供給されており、ウエハへのイオン
ビームは高周波フィールドによる代わりに直流フィール
ドによって発生されている。
発明の開示 説明された好ましい実施例に基づいて、プラズマ反応
装置が示されている。そのプラズマ反応装置において
は、低周波(0.1〜6MHz)の高周波電源(RF電源)がウ
エハを保持する電極近傍のガスのイオン化エネルギーを
供給するためにプラズマに誘導的に結合されており、し
かも、より低電力の高周波電圧が電極に印加されて、そ
の電極上のウエハのイオン衝撃エネルギーを制御してい
る。ウエハは、加工のために、この電極表面又はその直
上方に配置される。
このプラズマ反応装置は、低周波RF電源につながれた
誘導コイルによって取り囲まれた非伝導性の反応室壁を
備えている。スプリットファラデーシールドは誘導コイ
ルと反応装置の側壁との間に配置され、その反応装置を
取り囲んで、誘導コイルとプラズマ反応装置との間にお
ける変位電流(displacement current)の発生をほぼ取
り除いている。実際に、このシールドは、低周波RF電場
のプラズマへの電気的結合を大幅に削減する(J.L.ボッ
センによる「プラズマエッチング及びプラズマ蒸着にお
けるグロー放電現象」と題する論文 電気化学会誌 固
体状態の科学と技術126巻No.2 1979年2月319頁)。そ
の結果、反応装置壁のイオン衝撃エネルギー、並びに、
反応装置壁の関連するエッチング及びスパッタリングが
ほぼ除かれ、低周波におけるウエハシース電圧の変調が
低減される。
このファラデーシールドは、プラズマとシールドとの
間のキャパシタンス(静電容量)を変えることができる
ように、移動可能となっている。このファラデーシール
ドはほぼ反応室外壁に接触配置され、ウエハ加工プロセ
スの間、高キャパシタンスを生み出している。これは高
周波プラズマ電位を減少させ、それによって反応装置の
壁のプラズマエッチングを減少させている。ファラデー
シールドと反応室壁との間おける、低減されたキャパシ
タンスを生み出すところの増大した間隔は、増大した高
周波・時平均プラズマ電位レベルを生み出すためにウエ
ハエッチング時以外でも利用可能であり、これにより、
エッチングレベルを制御した状態で反応装置壁の浄化を
可能とするより高いイオン衝撃エネルギーを生じる。
好ましくは、そのファラデーシールドはキャパシタン
スを変えるために半径方向に移動されるが、キャパシタ
ンスはまたファラデーシールドの垂直方向への移動によ
っても変え得る。そのシールドを垂直方向に移動可能と
した態様においては、当該シールドは、反応室と各誘導
コイルとの間に存在しなくなるほど垂直方向へ移動する
ことを許容されるべきでない。反応装置の壁によって提
供される有効な高周波接地電極へのプラズマのキャパシ
タンスを増大させるために、伝導性シートが反応室の上
部に含まれてもよい。このプレートもまた、プラズマ体
とファラデーシールドのこの部分との間のキャパシタン
スを変えるために、移動可能であってよい。
電子を反応室壁から離れて閉じ込めることによって、
低圧力でのイオン発生を促進するために直流磁場が含ま
れてもよい。低圧下において電子は、反応室壁との衝突
により反応室からのロス比率を増加させる、増大した平
均自由行程を有する。この磁場は、壁との衝突前に反応
室内でのイオン化衝突の割合を増加させる螺旋状行路内
に電子を進入させる。
電子をプラズマ内へ跳ね返すために、反応室の上部近
くほど強くなっている分散磁場が含まれてもよく、これ
により、反応室壁の上部での電子の減損を防ぐことがで
きる。この磁場は(反応室上部近くでは数万分の1テス
ラ程度)、反応室上部に配置され、かつ互い違いの磁場
方向を有する永久磁石の配列によってか、直流電流が流
れているソレノイドコイルによってか、あるいは、強磁
性のディスクによって発生される。
誘導結合された高周波電力は、反応室の大きさに応じ
て、0.1〜6MHzの範囲の周波数で10kWのレベルまで供給
される。電極に印加される電圧はイオンが電極のシース
を横切る平均時間の逆数よりも高い周波数にある。この
電圧信号の周波数fhの好ましいものは、全てのISM標準
周波数、即ち、13.56MHz,27.12MHz,40.68MHzである。そ
れほど広く分散されないイオン衝撃エネルギーを生じる
ために、より高密度のプラズマには、より高い周波数が
必要とされるであろう。
電極のシースは、ほぼウエハ面に対して垂直な強い電
場を持っており、それにより、ほぼ垂直なイオン衝撃
と、ほぼ垂直な又は制御されたテーバーなウエハエッチ
ングが生み出される。電極に提供される静電結合電力の
量は、プラズマへ誘導的に供給される電力よりもずっと
少ない。それ故、ウエハにおけるイオン電流の平均は、
第一義的には誘導結合電力によって決定される。そし
て、ファラデーシールドにより、ウエハにおける平均イ
オンエネルギーは、電極への高周波信号(rf信号)の振
幅だけの関数にほぼなる。
これとは対照的に、図1に示される典型的なプラズマ
反応装置においては、平均イオン密度(一般には幾分低
い)とエネルギーの双方は、電極への高周波信号の振幅
によって制御される。それ故、誘導結合された反応装置
は、シース電圧を減少させ、イオン密度を高くすること
を可能にする。また、シース電圧とイオン密度は別々に
変えられ得る。結果として、商業的にみて受け入れ可能
なエッチレートでのソフトエッチングが達成され、その
ソフトエッチングは、100電子ボルト程度かそれ以上の
衝突エネルギーを有するイオンによって損傷され得る最
近の型の集積回路を損傷させることがない。
誘導結合されたプラズマ反応装置における電磁場は、
ウエハ上における非常に均一なプラズマイオン密度分布
を生じ、非常に均一なウエハ加工プロセスを実現する。
誘導的に発生させた電場はほぼ円筒状であり、それ故、
反応装置の側壁にほぼ平行に電子を加速する。プラズマ
の伝導性のために、この電場の強さは前記側壁から離れ
て急速に減少し、電子加速がその側壁近くの領域で主と
して起こる。
電子が速度を増すに従い、その慣性によって、分子と
の一連の弾力衝突、及び/又は、側壁のシースとのかす
め接触を包含する軌道が描かれる。そのような衝突や接
触は、電子をプラズマ体の中へはじきとばす。このこと
は、壁の近傍のみにおいて有意義な電子加速を生ずる結
果となるが、また反応室中のいたるところでイオンを発
生させることとなる。これら電子及びイオンの拡散、並
びに電子の放射状E×Bドリフトは、ウエハの近傍にお
いて、非常に均一な密度を有する放射対称なイオン密度
を生じる。側壁近くで電子がエネルギーを得る領域から
離れた電子の散乱を促進するために、反応室内は、低圧
(一般に0.13〜3.9パスカル程度)に保たれる。
このデザインはまた、電力をイオンの生成に結び付け
る上で非常に有効であり、それ故、プラズマ中のイオン
によって行なわれるウエハ加工プロセス用の他の反応装
置を超越して重要な利点を提供している(例えば、J/フ
レジンガーらによる「反応性ガスでの材料加工用のRFイ
オン電源RIM10」と題された論文 ガス放電とその応用
についての第9回国際会議1988年9月19〜23日を参
照)。この重要性は下記に述べる通りである。
プラズマへの高周波電力は、中性のラジカル、イオ
ン、自由電子、並びに、自由電子による分子及び原子の
励起状態を作る。反応性イオンによる垂直エッチング
は、高周波電力の多くをイオン生成へ振り向ける反応室
には好都合である。過剰なラジカル集中のために、ラジ
カルによるウエハ表面での反応は、目的とされる製作加
工プロセスにとって有害となり得るので、プラズマによ
る自由ラジカルの相対生成を減少させることは、多くの
応用事例において有益である。それ故、反応性イオンエ
ッチングプロセス、又は、高イオン集中によって好都合
となるか、あるいは重大な自由ラジカル集中によって品
位を落とされる他のプロセスに対して、このプラズマ反
応装置は特に適している。
この反応装置はまた、従来のプラズマ反応装置よりも
ずっと少ない静電結合電力を必要とするに過ぎない。こ
のシステムは、全電力が静電的に結合されている従来の
プラズマ反応装置用の500〜1000ワットに対して、数百
ワット程度の高周波電力を用いている。このシステムは
また、イオン流とイオン衝突エネルギーとを別個に制御
する能力を備えている。
図1に示す従来のプラズマ反応装置では、電極16に印
加される高周波信号の振幅は、プラズマ内のイオン密度
だけでなく、その電極のシース電圧をも制御する。ソフ
トエッチング(即ち、ウエハのイオン衝撃エネルギー10
0ボルト程度かそれ以下)を達成するためには、静電的
に印加される高周波電力は、そのような反応装置におい
て伝統的に用いられてきた電力よりも低くされるべきで
ある。
残念ながら、この静電印加電力の減少は、このシース
を横切っての電圧降下を減少させるだけでなく、このシ
ースでのイオン密度をも低下させる。電極に対する高い
RF電圧下においてさえ、そのような静電結合電力は相対
的に低いイオン密度だけを生じさせる。ウエハエッチレ
ートは、このシースにおけるイオン密度と、そのシース
を横切っての電圧降下との積に比例するため、ウエハエ
ッチレートは、これら2つのパラメータのいずれかより
も速く減少する。このように、ソフトエッチングは、商
業的な集積回路の作製プロセスと両立し難い効率の減少
を生む。
このシステムにおけるシース電圧が電極に印加される
高周波信号の振幅に拘束されることは、図2及び図3を
参照して示され得る。高周波電源15と電極16との間にあ
るコンデンサー21は、このシース電圧が直流成分を持つ
ことを可能とする。この直流成分は、電極の不均等な領
域と、電子及びイオンの不均等な移動性との相乗効果に
よって生み出されたものである。各プラズマシースは、
抵抗体、コンデンサー及びダイオードの並列的な組合せ
に電気的に等価である。シースを横切る電場は、104
ーム程度の大きなシース抵抗を生じるシース領域の外
へ、ほとんどの電子をはじきとばす。
周波数を増加させる関数としてのシースインピーダン
スの静電成分は、約500kHzにおいて意義を有する程度に
十分に小さくなると共に、その周波数以下では無視され
得る。500kHzを超える周波数では、シース抵抗は非常に
大きく、それは無視できる。これは、静電結合された電
力の周波数における高周波シース電圧成分の場合であ
る。
図2の等価回路において、プラズマ及びシース内のイ
オンよりもずっと大きい電子の移動性の影響は、ダイオ
ード24,28によって模式化されている。このように、も
し仮にプラズマがそのプラズマに近接した全ての電極に
関して負となるならば、プラズマ中の電子はその電極に
対して効果的に短絡するだろう。故に、シースインピー
ダンスは、要素22〜24及び26〜28によって模式化され
る。プラズマ体は、電極に印加されるRF電圧に用いられ
る高い周波数fh(好ましくは、ISM周波数13.56MHz,27.1
2MHz又は40.68MHzの一つである)において無視され得る
低インピーダンスの抵抗25として模式化される。
図3は、電極に印加される周波数fhの220ボルトピー
ク対ピーク高周波信号31、プラズマの結果電圧32、及び
電極のシース電圧36の間の関係を示す。シースキャパシ
タンスCS1及びCC2は、静電結合電力の周波数fhにおいて
顕著(優勢)であるので、抵抗RS1及びRS2は無視され得
ると共に、信号31の各周期における短区間を除いて、ダ
イオード24及び28は無視され得る。故に、最も働く条件
下では、プラズマ等価回路は静電ディバイダーに換算さ
れて、プラズマ電位VPとキャパシタンスCS1及びCS2を横
切る電圧の高周波成分はほぼ位相内にあり、大きさはVP
=Vrf・CS2/(CS1+CS2)で表される。
電極面積の数倍の壁面積がある典型的な反応装置で
は、壁でのシースキャパシタンスCS2は、電極でのシー
スキャパシタンスCS1の10倍程度である。それ故、220ボ
ルトピーク対ピーク高周波信号31にとっては、プラズマ
電位VPがピーク対ピーク20ボルト程度になる。信号31及
び32は位相内にあるので、信号32のピーク33は信号31の
ピーク34と並んでいる。ダイオード24のために、信号31
と32の最小電圧差(各ピーク34において起こる)は、kT
e/e程度なる。同様に、プラズマの反応装置壁への短絡
を防ぐために、VPはグランド35よりも正極側で少なくと
もkTe/eはなければならない。
これらの様々な条件により、電極(即ち、高周波信号
31の直流成分)の平均シース電圧36は、ほぼ−90ボルト
となる。シース電圧の直流成分は、−Vrf・CS1/(CS1
CS2)/2にほぼ等しく、ここで、Vrfは高周波電圧のピー
ク対ピーク強度である。高周波信号の電場成分はほぼ電
極に対して垂直であるため、シース電圧は高周波信号強
度と共に直接的に変化する。このことは、電圧31の直流
成分36が電極に印加される高周波電圧のピーク対ピーク
振幅と直接的に関係があることを意味する。
従来のプラズマ反応装置の電極でのイオン流密度は、
電力低下と共に低下するプラズマ中のイオン密度に比例
しており、シース電圧を下げるために高周波電圧の振幅
が小さくされると、電流密度も低下することになる。そ
れ故、図1のプラズマ反応装置では、よりソフトなエッ
チングを行うために電圧が下げられた時でも、エッチン
グ電力を維持するためにウエハでの電流密度を高くする
ことはできない。
電極でのシースを横切る電圧降下は、印加される高周
波信号31とプラズマの電圧32との間の差に等しい。この
電圧降下は、0ボルトから約−220ボルトの範囲で変化
する。イオンが、高周波信号の1/fhの周期に比して短い
時間間隔でこのシースを通過したとしても、高周波信号
31のピーク34付近のシースを通過するならば、その衝撃
エネルギーはほぼ0になる。そのような低エネルギー衝
撃イオンは、必ずしもウエハ面にほご垂直な軌跡を描く
とは限らず、それ故、目的とするウエハの垂直エッチン
グを低下させ得る。
従って、周期1/fhが、イオンがこのシースを通過する
平均時間の半分を超えないことが重要である。この通過
時間は50万分の1秒程度かそれより短いため、fhは少な
くとも4MHzはなければならない。より高いイオン密度及
び低シース電圧のためには、周期1/fhは、0.1マイクロ
秒(μs)以下になる。ISM周波数に関するゆるやかな
規制故に、fhはISM周波数である13.56MHz,27.12MHz,40.
68MHzのうちの1つに等しいことが好ましい。
図面の簡単な説明 本発明の詳細は、付属の図面との関係で説明される。
ここで、 図1は、典型的なプラズマ反応装置の構造を示す。
図2は、電力が反応室に静電結合したプラズマ反応装
置の等価回路である。
図3は、電極へ印加される高周波信号と、プラズマの
電圧VPと、シース電圧Vdcとの間の関係を示す。
図4は、誘導結合された反応装置の側面図である。
図5は、図4の誘導結合反応装置の側断面図である。
図6は、図4の反応装置の上面図である。
図7は、CS1がCS2よりも大きい場合のプラズマ電圧VP
と陰極に印加される高周波電圧との関係を示す。
発明を実施するための形態 図4には、シース電圧及びウエハでのイオン流密度を
独立して調整できるプラズマ反応装置が示されている。
この反応装置はまた、ウエハにおけるイオン流密度及び
電圧の非常に均一な分布を生じると共に、純粋に静電的
ないし、より高周波の誘導放電に関するプラズマ中での
イオン生成速度と自由ラジカル生成速度との間の比率を
引き上げることを可能とする。故に、このシステムは、
自由ラジカルに対するイオンの比率が大きい応用事例に
は特に有益である。
ベース40上には、プラズマ反応室50(図5参照)を囲
んでいる円筒形の反応室壁41がある。反応室壁41は7〜
30センチメートルの高さであり、加工されるウエハの直
径に依存する側方直径を有している。直径15センチのウ
エハの加工システムにあっては、この反応室壁は25〜30
センチ程度の側方直径を有し、直径20センチのウエハの
加工システムにあっては、この反応室は30〜38センチの
側方直径を有する。反応室壁41は、石英やアルミナのよ
うな非伝導性物質からなっている。
包囲している壁41は、伝統的なインピーダンス整合回
路又はトランス(変圧器)44を介して第1の高周波電源
43(以下、「RF電源」という)に接続された誘導コイル
42そのものである。商業的に好都合なリアクタンス値を
用いている伝統的な整合回路44によってか、あるいは、
誘導インピーダンス(通常、10オーム以下)を電源43の
インピーダンス(通常、50オーム)に整合させるトラン
スによって、RF電源43に都合良く整合するインダクタン
スを生むために、このコイルはほんの少しだけ巻き付い
ている(2〜8巻き程度)。整合回路は、電源43へ戻る
電力の反射をほぼ取り除くように設計されている。
この誘導コイルは、反応室50内に、その軸がほぼ垂直
である軸対称な高周波磁場と、ほぼ円筒状の電場を生じ
させる。これら二つの磁場と電場は、中心軸Aの周りに
対して回転対称となる。この回転対称性は、ウエハ加工
の均一性に貢献する。
プラズマの高い伝導性のために、誘導結合された場
は、誘導結合RF場の周波数f1で割られた(除された)プ
ラズマ中の電子密度の平方根に比例する厚さδ(1セン
チメートル程度)を有する側壁に隣接した領域に、ほぼ
限定される。更に大きなシステムにおいては、電子を加
速するこの領域の厚さを増加させるために、f1は低くさ
れる。
この領域内では円筒状の電場は電子を円周方向へ加速
する。しかしながら、この加速された電子の慣性のため
に、電子は側壁でのシースの電場をかすめることにな
る。そのようなかすめ的接触は、電子の多くを壁から反
射させる。電子のいくらかは壁をたたいて二次電子を生
じさせる。ガス分子との弾性衝突は電子を反応室の至る
ところへ拡散させる。誘導的に発生した電場は側壁から
の距離δ(抵抗膜厚)に限定されるので、電子加熱はこ
の領域のみにとどまる。ウエハを横切るイオン密度をよ
り均一にするためには、圧力は低く保たれ(通常0.13〜
3.9パスカル)、壁付近で加熱された電子は壁から迅速
に拡散して、ほぼ均一なイオン化とウエハ表面において
結果として表れるイオン密度を実現する。
反応装置の半径R、周波数f1、及び誘導結合電力は、
ピーク対ピーク振幅が1〜10ボルト/cmである円筒状の
電場を生み出すように選択される。このことは、3セン
チ以上の振幅を有する振動電子経路を生ずる結果とな
り、これら電子の平均自由行程は電子振動の振幅程度か
それ以下となる。電源43は0.1〜6MHzの範囲の周波数
で、かつ10kWまでの出力で電力を供給する。
第2のRF電源51(図5に図示)は、ISM(工業的、科
学的、医学的)標準周波数(即ち、13.56MHz,27.12MHz,
40.68MHz)のうちの1つの周波数で電極52に高周波電力
を供給する。図1の例では、この高周波電源は、電極52
に並んで直流シース電圧を生み出す。その電力レベル
は、100ワット以下から数百ワット(500ワットまで)ま
での範囲にあり、静電結合された高周波信号のイオン密
度への影響は、電源43からの誘導結合電力の影響よりも
はるかに少ない。この電力レベルは、プラズマ反応装置
の電極へ一般に供給される電力レベルよりも幾分低い。
この電力レベルは、イオンによるウエハのソフトな衝突
(即ち、運動エネルギー100ev以下)を生み出すために
低く保たれる。この電極への低い電力レベルはまた、イ
オン密度がRF電源43によって主として決定されることを
意味する。このことは、イオン密度及びシース電圧のデ
カップリング制御に際して有利である。
誘導的に発生された電場の周方向は、プラズマ体から
電極までの、電極に対する法線に沿っての経路積分がゼ
ロになるように、この電場を電極と平行にする。この結
果として、図1のプラズマ反応装置とは異なり、プラズ
マ体と電極との間のRF時間変化電位差を生じるシースの
高周波成分が存在しない。このことは、低周波誘導RF場
の電極の電位への結合をほぼ取り除く。それ故、電極52
のシース電圧はRF電源51だけによって決定される。
反応装置の側壁を取り囲むことが、この実施例におい
ては、側壁になる1ダースの伝導性プレート46からなる
接地されたファラデーシールド45に相当する。各ファラ
デーシールド伝導性プレート46は、間隙48だけ近隣のプ
レートとの間隔を置いている。これら間隙は、誘導高周
波磁場が反応室50内を突き抜けることを可能とするため
に必要とされる。ファラデーシールド内における円周方
向の電流の発生を防止するためには、少なくとも1つの
間隙が必要とされる。レンツの法則により、そのような
円周方向の電流は反応室50内の磁場の変化に強く反発
し、その結果、コイル42の電流の反応室50での望まれた
作用に本質的に逆らうことになる。
このファラデーシールドはまた、図1の反応装置の接
地された伝導壁と同じ機能を提供する。つまり、静電結
合されたRF場が反応室の外側へ外れて他の装置と干渉し
ないように、又は連邦放射基準を逸脱しないように、フ
ァラデーシールドは静電結合されたRF場を反応室50内に
制限する。このシールドはまた、静電結合電源51によっ
てつくられた電極からの高周波電流の帰還経路を提供す
る。
ファラデーシールド45は、それが反応装置壁と隣り合
っている場合、電源43のRF周波数f1におけるプラズマ電
位VPの時間変化量を大幅に減少させることができる。こ
れは、イオン密度及び平均シース電圧Vdcについての第
1のRF電源43及び第2のRF電源51の影響を切り離す上で
重要である。コイル42に印加される電力レベルにおいて
は、これらコイルの大きなインダクタンス(1〜100マ
イクロヘンリー程度)は、コイルの一端又は両端での高
い電圧を生じる。ファラデーシールドがないとすれば、
コイル42の高電圧端47はプラズマ体に静電結合すると共
に、電源43の周波数f1でのVPのRF変化に影響を与えるで
あろう(例えば、J.L.ボッセン「プラズマエッチング及
びプラズマ蒸着におけるグロー放電現象」電気化学学会
誌、固体状態の科学と技術126巻No.2,319頁を参照)。
間隙48の幅は、コイル42がこれら間隙を介してプラズ
マ体へ静電結合しないように、伝導性プレート46とコイ
ル42との間の最小間隔よりも狭くなっている(前記ボッ
セン文献を参照)。もしも、そのようなプラズマ体への
静電結合が妨げられない場合、このVPのRF変化は、同じ
周波数におけるシース電圧(それ故に、イオンエネルギ
ー)の変化として現れることになる。更にまた、ファラ
デーシールドによってほとんど排除されないとすれば、
この電場はエッチングの対称性を低下させることにな
る。
ファラデーシールド45はまた、プラズマ反応装置の壁
41に隣接したプラズマシースのシースキャパシタンスC
S2の値に大きく影響する。もしもこのファラデーシール
ドが存在しないならば、静電結合された高周波信号の有
効接地は、RF誘導コイルか又はその反応室を取り囲んで
いる環境により提供され、それ故に、反応装置の近傍に
存在する他の物体によって影響されることになろう。更
に、これらの物体は一般に、有効接地状態が無限にある
として扱われるに十分に大きい距離にある。このこと
は、側壁及び上壁のCS2を、図3の場合のようなCS1の10
倍ではなく、CS1の10分の1程度かそれ以下にする。結
果として、プラズマ電位VPと高周波信号との関係は、図
3に示された関係よりも図7に示された関係にずっと近
くなる。
図7において、高周波電圧(信号71)は、220ボルト
のピーク対ピーク振幅を有するものと再度仮定する。C
S1がCS2の10倍に等しい場合、プラズマ電圧信号72は200
ボルトのピーク対ピーク振幅を有する。プラズマ電圧VP
のピーク73は、高周波電圧信号71のピーク74と再び相並
び、両ピークの間隔は再度、kTe/eの数倍までになる。
同様に、VPのくぼみのグランドとの間隔は、kTe/e程度
(通常、数ボルト)である。故に、プラズマ電圧信号72
は100ボルト程度の直流成分77を有する。これは、プラ
ズマ電圧信号32が約10ボルトにkTe/e程度のオフセット
を加えた直流成分を有している図3と対照的である。
この壁とプラズマ体との間での大きく増大したこの直
流成分は、プラズマ内イオンによる許容できないレベル
の壁のエッチング又はスパッタリングを生ずることとな
る。このような作用は、反応室壁を損傷するだけでな
く、反応性ガスを消耗し、反応室内でのウエハ作製プロ
セスを妨げる汚染物質をプラズマ内に導き入れる。しか
しながら、壁41から多少離間配置されているファラデー
シールド45がある場合、有効接地電極のキャパシタンス
が増大し、CS2は再びCS1よりも数倍大きくなり、高周波
信号とプラズマ電圧VPとの関係は図7に代わって図3の
ようになる。
実際のところ、普通、キャパシタンスCS2の二つのプ
レート(即ち、プラズマと伝導性壁)の間隔は0.1セン
チほどになる。図4の反応装置では、ファラデーシール
ドが壁41の近くに置かれている場合、キャパシタンスC
S2は、0.075センチメートルの真空ギャップと等価であ
るところの、誘電率(4よりも大)で除された壁41の厚
み分だけ増加される。それ故、壁のキャパシタンスCS2
は、図4の場合に匹敵する大きさを有した図1に示すタ
イプの反応装置におけるキャパシタンスの半分の値より
も少し大きくなる。
伝導性プレート46は、約1センチ以上半径方向に動く
ことができ、キャパシタンスCS2は伝導性プレート46を
壁から離間する方向に動かすことによって低下し、およ
そ0.1〜10の範囲にわたりCS1/CS2比率を変化させる。こ
れら伝導性プレートはウエハ加工プロセス中、壁41の近
くで動かされるので、反応室壁のエッチングと汚染物質
の副産は最小限にとどめられる。ウエハ加工プロセス以
外の時には、定期的に壁のエッチングを行って壁を清浄
にするために、伝導性プレートは1センチ又はそれ以
上、壁から離間する方向へ移動される。この反応室の清
浄工程での残り屑は、更なるウエハ加工プロセスが行わ
れる前に反応装置から取り除かれる。
図5及び図6はそれぞれ、この反応装置40の顕著な特
徴部分を示している側断面図及び上面図である。反応室
50の上部のすぐ外には、ファラデーシールド45がこの反
応室の側面に持たせているのとほぼ同じ機能を反応室50
の上部に持たせる接地状態の伝導性プレート53がある。
反応装置の上部には、交互にN極を下方へ向けた1セ
ットの磁石54が存在している。強磁性反射プレート55
は、最も外部にある2つの磁石によって生み出された磁
場の磁束を跳ね返すのを助ける。磁石は永久磁石である
ことが好ましく、その理由は、この種の磁石は十分な磁
場を経済的に提供してくれるからである。この配列は、
電子をプラズマ体へ向けて跳ね返す磁気的な鏡の如く作
用するところの、約0.01テスラの交番方向の磁場の並び
を反応室50の上部に作り出す。
これら磁石による磁場は、これら磁石の間隔(2〜3
センチ程度)の2倍程度の距離だけ反応室内に入り込ん
でいる。他の実施態様において、前記磁石の直線的な配
列は、同心円状の環状磁石の1セットで置き換えられる
と共に、それら隣合う環状磁石のN極が垂直方向に対し
て反対向きにした状態で配置されてもよい。更に他の実
施態様において、反応室の上部近くに数万分の1テスラ
程度の磁場を持つ磁気鏡を作り上げるために、N極が垂
直方向に向けられた強磁性物質の平らなディスクや、単
環直流ソレノイドが使用されてもよい。磁気を帯びたデ
ィスクを使用した実施態様は好ましく、その理由は、そ
れは簡素かつ安価であり、反応装置の半径方向の対称性
を保持するからである。これとは対照的に図5の磁石54
による磁場が半径方向の対称性を欠いていることは、ウ
エハエッチングにおけるの半径方向の対称性をわずかに
低下させるかもしれない。
反応装置壁41の基端部ないし上部の外側には、任意の
直流磁場を生じて側壁から離れた電子を包含するため
に、直流電源57に接続された伝導性コイル56が存在す
る。このコイルの磁場の大きさは、0.0001〜0.01テスラ
程度になり得る。
図4〜6のプラズマ反応装置は、他の多くの既存の反
応装置と比べてかなり改良された作用を発揮する。しか
るに(発明の背景において述べた)マイクロ波電源を用
いたプラズマ反応装置は、ほんの数ミリアンペア/cm2
電流密度を生じるに過ぎないのに対して、本反応装置で
は50〜100ミリアンペア/cm2にまでなる。試験は、SF6,C
F2Cl2,O2及びアルゴン等の各種の反応性ガスにおいて前
記高電流が生じたことを示している。
このことは、より多くの電力が、1ミリTorr以上の圧
力で他のプラズマ製造法におけるような中性フラグメン
トの生成に向けられる代わりに、イオンの生成に向けら
れていることを示す。かかる中性フラグメントは、電流
には寄与しない。イオンだけがウエハに対する垂直方向
の衝撃を与えてほぼ垂直な壁を形成することとなるの
で、このことは重要である。ウエハにおいて非常に低い
シース電圧を生じる能力を有するということは、シース
電圧を20〜30ボルト以下にまで下げることによって、下
層の10ナノメートル厚のSiO2ゲート絶縁物を損傷したり
エッチングしたりすることなく、400ナノメートル厚の
ポリシリコンゲートが垂直にエッチングされ得ることを
意味するものである。
反応装置40は、ガス供給源49と排気ポート58とを備え
ており、その排気ポート58は、プラズマ加工生成物を排
出して圧力を所定レベルに維持するためのポンプを含む
排気システム59の一部を構成する。一般に、側壁の近く
の電子熱場からバルク内への電子拡散を促進するため
に、圧力は0.13〜3.9パスカル程度に保たれる。この気
圧でも、誘導結合電力は主としてイオン生成に向けられ
る。
これとは対照的に、マイクロ波プラズマシステムのよ
うな他のプラズマシステムは、約0.13パスカル以上の圧
力下で相対的により多くの自由ラジカルをを生ずる。マ
イクロ波プラズマ反応装置が主としてイオンを生成する
ものであるとすれば、圧力が数百分の1パスカル程度以
下であることを必要とする。このことは、反応装置のポ
ンプが毎秒数Torrリッターよりも遥かに大きい速度を持
つことを要求する。この大きなポンプ速度は、反応室に
しっかりつながれた低温ポンプか、もしくは、反応室に
大きなポートを備えたターボポンプを用いることを求め
る。
これに対し、ここで開示された反応装置はより高い圧
力下で作動することができ、毎秒数十パスカル−リッタ
ー程度のポンプ速度を求めるに過ぎない。これは、反応
室の周囲のスペースを乱さず、ウエハ操作を阻害せず、
あるいは他の反応室の周りで邪魔にならないようなずっ
と小さなポンプで間に合うということである。そのよう
なポンプはまた、再生を必要とせず、低温ポンプにおけ
るような安全性の問題を抱えていない。
───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 平2−222141(JP,A) 特開 昭51−44566(JP,A) 特公 昭49−29818(JP,B1) (58)調査した分野(Int.Cl.7,DB名) H01L 21/302

Claims (15)

    (57)【特許請求の範囲】
  1. 【請求項1】半導体基板を加工するためのプラズマ反応
    装置であって、 半導体基板の加工において少なくとも1つのプラズマ生
    成物を生成するためにプラズマを発生させる反応室(5
    0)を包囲する反応壁(41)と、 ガス供給源(49)とガス排気システム(59)とを反応室
    に連結するための手段と、 高周波電源(43)と、 前記反応装置の外側に配置され、かつ前記高周波電源
    (43)に結合され、前記高周波電源からの電力をプラズ
    マ中に誘導的に供給する誘導コイル(42)と、 前記誘導コイルと前記反応室との間に配置されるととも
    に複数のほぼ非伝導性の間隙を有するスプリットファラ
    デーシールド(45)と、高周波電源からの電力は前記プ
    ラズマを持続するためにスプリットファラデーシールド
    を通過する電力を誘導的に供給されることと、 加工時に半導体基板が少なくとも1つのプラズマ生成物
    にさらされるように半導体基板の位置を定めるための支
    持体とを備えるプラズマ反応装置。
  2. 【請求項2】請求項1に記載のプラズマ反応装置におい
    て、 高周波電源が、第1の無線周波数電源(43)及び第2の
    無線周波数電源(51)からなるプラズマ反応装置。
  3. 【請求項3】請求項2に記載のプラズマ反応装置におい
    て、 前記反応装置は更に、第2の無線周波数電源に結合する
    電極(52)を備え、加工時に半導体基板が当該電極上も
    しくは電極に隣接して置かれるプラズマ反応装置。
  4. 【請求項4】請求項3に記載のプラズマ反応装置におい
    て、 誘導コイル(42)は反応室(50)を包囲するプラズマ反
    応装置。
  5. 【請求項5】請求項1乃至3のいずれか1項に記載のプ
    ラズマ反応装置において、 高周波電源(43)が静電電力及び誘導電力を備え、スプ
    リトファラデーシールドは、電源からの静電電力が反応
    室に到達するのをほぼ阻止するとともに、電源からの誘
    導電力が反応室に到達するのを許容するように配置さ
    れ、シールド手段は、加工時に半導体基板が少なくとも
    1つのプラズマ生成物にさらされるために反応室のガス
    へ誘導的に電力を供給する通路を許容するほぼ非伝導性
    の複数の間隙(48)を提供するプラズマ反応装置。
  6. 【請求項6】請求項1乃至5のいずれか1項に記載のプ
    ラズマ反応装置において、 前記スプリットファラデーシールドは前記誘導コイルに
    対して接地されているプラズマ反応装置。
  7. 【請求項7】請求項1乃至6のいずれか1項に記載のプ
    ラズマ反応装置において、 誘導コイルは方向を有する磁場を発生し、前記スプリッ
    トファラデーシールドによって形成された間隙は磁場の
    方向に対してほぼ平行であり、間隙が前記磁場によって
    スプリットファラデーシールドの電流の誘導をほぼ阻止
    するプラズマ反応装置。
  8. 【請求項8】請求項1乃至7のいずれか1項に記載のプ
    ラズマ反応装置において、 前記スプリットファラデーシールドは、前記誘導コイル
    が前記プラズマ中に電力を供給することを許容する少な
    くとも12のほぼ非伝導性の間隙を形成するプラズマ反応
    装置。
  9. 【請求項9】請求項1乃至8のいずれか1項に記載のプ
    ラズマ反応装置において、 半導体ウェハに対する荷電粒子を加速するための手段を
    更に備えるプラズマ反応装置。
  10. 【請求項10】請求項1乃至9のいずれか1項に記載の
    プラズマ反応装置において、 前記スプリットファラデーシールド及びプラズマ間のキ
    ャパシタンスを変化させるための手段を更に備えるプラ
    ズマ反応装置。
  11. 【請求項11】請求項1乃至10のいずれか1項に記載の
    プラズマ反応装置において、 半導体基板がポリシリコンの表面層と酸化物絶縁体の薄
    い内層を備え、誘導コイルがプラズマ中における高イオ
    ン密度を生成するためにプラズマ中に十分な電力を供給
    し、かつスプリットファラデーシールドが、当該スプリ
    ットファラデーシールドの非存在下における静電的な変
    調のレベルに対するプラズマ電位の静電的な変調のレベ
    ルをほぼ低減し、プラズマからのイオンが酸化物絶縁体
    の薄い内層をほぼ損傷することなく高い割合にてポリシ
    リコンを制御可能にエッチングするプラズマ反応装置。
  12. 【請求項12】プラズマ反応装置中にて半導体基板を加
    工する方法において、 ガスを反応室に供給する工程と、 反応室内のガスに誘導的に電力を供給する通路を許容す
    る複数のほぼ非伝導性の間隙を形成する、反応室の外側
    に配置されたスプリットファラデーシールドによって反
    応室にガスをシールドする工程と、 反応室内にプラズマを維持するために前記スプリットフ
    ァラデーシールドを介してガス中に誘導的に電力を供給
    する工程と、 半導体基板の加工において少なくとも1つのプラズマ生
    成物を形成する工程と、 加工時に半導体基板を前記少なくとも1つのプラズマ生
    成物にさらす工程とを備える方法。
  13. 【請求項13】スプリットファラデーシールドとプラズ
    マとの間のキャパシタンスを変化させる工程を更に備え
    る請求項12に記載の方法。
  14. 【請求項14】工作物とプラズマとの間のキャパシタン
    スに対するスプリットファラデーシールドとプラズマ間
    とのキャパシタンスを変化させる工程を更に備える請求
    項12に記載の方法。
  15. 【請求項15】半導体基板がポリシリコンの表面層と酸
    化物絶縁体の薄い内層とを備え、半導体基板を前記少な
    くとも1つのプラズマ生成物にさらす工程は、少なくと
    も1つのプラズマ生成物を用いてポリシリコンの表面層
    をエッチングする工程を更に備え、ガスをシールドする
    工程は、少なくとも1つのプラズマ生成物のエネルギー
    を制御する工程を更に備え、それによりポリシリコンの
    表面層は酸化物絶縁体の薄い内層をほぼ損傷することな
    くエッチングされる請求項12に記載の方法。
JP50409991A 1990-01-04 1991-01-02 低周波誘導型高周波プラズマ反応装置 Expired - Lifetime JP3381916B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US46070790A 1990-01-04 1990-01-04
US460,707 1990-01-04

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2001347159A Division JP3691784B2 (ja) 1990-01-04 2001-11-13 低周波誘導型高周波プラズマ反応装置

Publications (2)

Publication Number Publication Date
JPH05502971A JPH05502971A (ja) 1993-05-20
JP3381916B2 true JP3381916B2 (ja) 2003-03-04

Family

ID=23829764

Family Applications (2)

Application Number Title Priority Date Filing Date
JP50409991A Expired - Lifetime JP3381916B2 (ja) 1990-01-04 1991-01-02 低周波誘導型高周波プラズマ反応装置
JP2001347159A Expired - Lifetime JP3691784B2 (ja) 1990-01-04 2001-11-13 低周波誘導型高周波プラズマ反応装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2001347159A Expired - Lifetime JP3691784B2 (ja) 1990-01-04 2001-11-13 低周波誘導型高周波プラズマ反応装置

Country Status (5)

Country Link
US (1) US5534231A (ja)
EP (1) EP0507885B1 (ja)
JP (2) JP3381916B2 (ja)
DE (1) DE69128345T2 (ja)
WO (1) WO1991010341A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11114287B2 (en) 2018-06-14 2021-09-07 Mks Instruments, Inc. Radical output monitor for a remote plasma source and method of use

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2519364B2 (ja) * 1990-12-03 1996-07-31 アプライド マテリアルズ インコーポレイテッド Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
DE69531880T2 (de) * 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
EP0710055B1 (en) * 1994-10-31 1999-06-23 Applied Materials, Inc. Plasma reactors for processing semi-conductor wafers
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5607542A (en) * 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5688358A (en) * 1995-03-08 1997-11-18 Applied Materials, Inc. R.F. plasma reactor with larger-than-wafer pedestal conductor
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5667701A (en) * 1995-06-07 1997-09-16 Applied Materials, Inc. Method of measuring the amount of capacitive coupling of RF power in an inductively coupled plasma
DE19521548A1 (de) * 1995-06-13 1996-12-19 Ipsen Ind Int Gmbh Verfahren und Vorrichtung zur Steuerung der elektrischen Stromdichte über einem Werkstück bei der Wärmebehandlung im Plasma
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5633506A (en) * 1995-07-17 1997-05-27 Eaton Corporation Method and apparatus for in situ removal of contaminants from ion beam neutralization and implantation apparatuses
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6794301B2 (en) * 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6017221A (en) * 1995-12-04 2000-01-25 Flamm; Daniel L. Process depending on plasma discharges sustained by inductive coupling
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5964949A (en) * 1996-03-06 1999-10-12 Mattson Technology, Inc. ICP reactor having a conically-shaped plasma-generating section
TW327236B (en) * 1996-03-12 1998-02-21 Varian Associates Inductively coupled plasma reactor with faraday-sputter shield
DE19635136A1 (de) * 1996-08-30 1998-03-05 Galvano T Electroforming Plati HF-durchlässiges Vakuumgefäß mit integriertem Faraday-Schirm
US6056848A (en) 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
TW403959B (en) 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
CN1118090C (zh) * 1997-03-17 2003-08-13 松下电器产业株式会社 等离子体处理方法及装置
WO1998051127A1 (en) 1997-05-06 1998-11-12 Thermoceramix, L.L.C. Deposited resistive coatings
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US7166816B1 (en) * 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US6369349B2 (en) 1997-06-30 2002-04-09 Applied Materials, Inc. Plasma reactor with coil antenna of interleaved conductors
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
CN1213782A (zh) * 1997-10-02 1999-04-14 三星电子株式会社 一种光波导装置的制造方法
US6379576B2 (en) 1997-11-17 2002-04-30 Mattson Technology, Inc. Systems and methods for variable mode plasma enhanced processing of semiconductor wafers
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6015597A (en) * 1997-11-26 2000-01-18 3M Innovative Properties Company Method for coating diamond-like networks onto particles
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
KR100598631B1 (ko) * 1998-04-13 2006-07-07 도쿄 일렉트론 가부시키가이샤 임피던스가 감소된 챔버
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6117401A (en) * 1998-08-04 2000-09-12 Juvan; Christian Physico-chemical conversion reactor system with a fluid-flow-field constrictor
US6207583B1 (en) 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6028286A (en) * 1998-12-30 2000-02-22 Lam Research Corporation Method for igniting a plasma inside a plasma processing reactor
CA2376969A1 (en) * 1999-07-21 2001-02-01 Dako A/S A method of controlling the temperature of a specimen in or on a solid support member
US6143144A (en) * 1999-07-30 2000-11-07 Tokyo Electronlimited Method for etch rate enhancement by background oxygen control in a soft etch system
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6805139B1 (en) 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
FR2805185B1 (fr) * 2000-02-22 2002-09-20 St Microelectronics Sa Procede de nettoyage a passivation exempt d'oxygene dans un reacteur plasma a couplage inductif
US6564810B1 (en) 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
US6422173B1 (en) 2000-06-30 2002-07-23 Lam Research Corporation Apparatus and methods for actively controlling RF peak-to-peak voltage in an inductively coupled plasma etching system
DE60130977T2 (de) * 2000-03-31 2008-07-17 Lam Research Corp., Fremont Induktiv gekoppeltes plasma-ätzgerät
US6531030B1 (en) 2000-03-31 2003-03-11 Lam Research Corp. Inductively coupled plasma etching apparatus
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US20040262146A1 (en) * 2000-10-02 2004-12-30 Platt Robert C. Sterilization system plasma generation control
CA2429983A1 (en) 2000-11-29 2002-08-01 Thermoceramix, Inc. Resistive heaters and uses thereof
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
DE10138938A1 (de) * 2001-08-08 2003-02-20 Bosch Gmbh Robert Verfahren und Vorrichtung zur Sterilisation von Behältnissen
US6955177B1 (en) * 2001-12-07 2005-10-18 Novellus Systems, Inc. Methods for post polysilicon etch photoresist and polymer removal with minimal gate oxide loss
US6946054B2 (en) 2002-02-22 2005-09-20 Tokyo Electron Limited Modified transfer function deposition baffles and high density plasma ignition therewith in semiconductor processing
JP2004014904A (ja) 2002-06-10 2004-01-15 Tokyo Ohka Kogyo Co Ltd 同時放電化装置
WO2004027826A2 (en) * 2002-09-18 2004-04-01 Mattson Technology, Inc. System and method for removing material
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US20040129221A1 (en) * 2003-01-08 2004-07-08 Jozef Brcka Cooled deposition baffle in high density plasma semiconductor processing
US7183514B2 (en) 2003-01-30 2007-02-27 Axcelis Technologies, Inc. Helix coupled remote plasma source
US7232767B2 (en) * 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
US7100954B2 (en) 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US6991003B2 (en) * 2003-07-28 2006-01-31 M.Braun, Inc. System and method for automatically purifying solvents
US7276122B2 (en) * 2004-04-21 2007-10-02 Mattson Technology, Inc. Multi-workpiece processing chamber
US20050258148A1 (en) * 2004-05-18 2005-11-24 Nordson Corporation Plasma system with isolated radio-frequency powered electrodes
US20070193602A1 (en) * 2004-07-12 2007-08-23 Savas Stephen E Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
US7400096B1 (en) * 2004-07-19 2008-07-15 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Large area plasma source
US20060051965A1 (en) * 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US8017029B2 (en) 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
JP2008288437A (ja) * 2007-05-18 2008-11-27 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
US20090004873A1 (en) * 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
EP2053631A1 (fr) * 2007-10-22 2009-04-29 Industrial Plasma Services & Technologies - IPST GmbH Procédé et dispositif pour le traitement par plasma de substrats au défilé
JP2009164365A (ja) * 2008-01-08 2009-07-23 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US20090272728A1 (en) * 2008-05-01 2009-11-05 Thermoceramix Inc. Cooking appliances using heater coatings
WO2009146432A1 (en) 2008-05-30 2009-12-03 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
EP2299922B1 (en) 2008-05-30 2016-11-09 Colorado State University Research Foundation Apparatus for generating plasma
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
WO2011123125A1 (en) 2010-03-31 2011-10-06 Colorado State University Research Foundation Liquid-gas interface plasma device
US8277672B2 (en) * 2009-04-17 2012-10-02 Tiza Lab, LLC Enhanced focused ion beam etching of dielectrics and silicon
US8222822B2 (en) * 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
JP5451324B2 (ja) * 2009-11-10 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2013529352A (ja) 2010-03-31 2013-07-18 コロラド ステート ユニバーシティー リサーチ ファウンデーション 液体−気体界面プラズマデバイス
KR102023354B1 (ko) * 2011-02-03 2019-09-20 테크나 플라즈마 시스템 인코포레이티드 고성능 유도 플라즈마 토치
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
CN104342632B (zh) * 2013-08-07 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 预清洗腔室及等离子体加工设备
WO2020014448A1 (en) * 2018-07-11 2020-01-16 Board Of Trustees Of Michigan State University Vertically oriented plasma reactor
US11545343B2 (en) 2019-04-22 2023-01-03 Board Of Trustees Of Michigan State University Rotary plasma reactor
US11049692B2 (en) 2019-07-17 2021-06-29 Mattson Technology, Inc. Methods for tuning plasma potential using variable mode plasma chamber
US11189464B2 (en) * 2019-07-17 2021-11-30 Beijing E-town Semiconductor Technology Co., Ltd. Variable mode plasma chamber utilizing tunable plasma potential

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3715625A (en) * 1971-01-12 1973-02-06 Atomic Energy Commission Plasma generator
US4362632A (en) * 1974-08-02 1982-12-07 Lfe Corporation Gas discharge apparatus
US4132613A (en) * 1974-12-23 1979-01-02 Telic Corporation Glow discharge method and apparatus
US4252608A (en) * 1979-03-16 1981-02-24 The United States Of America As Represented By The United States Department Of Energy Generating end plug potentials in tandem mirror plasma confinement by heating thermal particles so as to escape low density end stoppering plasmas
JPS601952B2 (ja) * 1980-01-25 1985-01-18 三菱電機株式会社 プラズマエツチング装置
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
US4450787A (en) * 1982-06-03 1984-05-29 Rca Corporation Glow discharge plasma deposition of thin films
JPS5984528A (ja) * 1982-11-08 1984-05-16 Nec Kyushu Ltd プラズマエツチング装置
US4600563A (en) * 1985-02-05 1986-07-15 Psi Star Incorporated Plasma reactor with voltage transformer
JPH0654644B2 (ja) * 1985-10-04 1994-07-20 株式会社日立製作所 イオン源
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5304282A (en) * 1991-04-17 1994-04-19 Flamm Daniel L Processes depending on plasma discharges sustained in a helical resonator
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11114287B2 (en) 2018-06-14 2021-09-07 Mks Instruments, Inc. Radical output monitor for a remote plasma source and method of use

Also Published As

Publication number Publication date
JPH05502971A (ja) 1993-05-20
EP0507885A1 (en) 1992-10-14
DE69128345D1 (de) 1998-01-15
JP2002237489A (ja) 2002-08-23
WO1991010341A1 (en) 1991-07-11
DE69128345T2 (de) 1998-03-26
EP0507885B1 (en) 1997-12-03
JP3691784B2 (ja) 2005-09-07
US5534231A (en) 1996-07-09

Similar Documents

Publication Publication Date Title
JP3381916B2 (ja) 低周波誘導型高周波プラズマ反応装置
US6551447B1 (en) Inductive plasma reactor
US6861642B2 (en) Neutral particle beam processing apparatus
US6197151B1 (en) Plasma processing apparatus and plasma processing method
US5607542A (en) Inductively enhanced reactive ion etching
US6902683B1 (en) Plasma processing apparatus and plasma processing method
US6083363A (en) Apparatus and method for uniform, low-damage anisotropic plasma processing
US6875366B2 (en) Plasma processing apparatus and method with controlled biasing functions
GB2251977A (en) Plasma processing
JP3319285B2 (ja) プラズマ処理装置及びプラズマ処理方法
US6909087B2 (en) Method of processing a surface of a workpiece
JP3499104B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR0170387B1 (ko) 고주파 반도체 웨이퍼 가공장치 및 방법
US6909086B2 (en) Neutral particle beam processing apparatus
JPH1074600A (ja) プラズマ処理装置
US6719873B1 (en) Method and apparatus for preventing plasma formation
US5998931A (en) Method and apparatus for controlling electrostatic coupling to plasmas
JP2003077904A (ja) プラズマ処理装置及びプラズマ処理方法
JP2004349717A (ja) プラズマエッチング処理装置
JP2005045291A (ja) プラズマエッチング処理装置
JP2003077903A (ja) プラズマ処理装置及びプラズマ処理方法
JPH09260352A (ja) プラズマ処理装置及びプラズマ処理方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081220

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091220

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091220

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101220

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111220

Year of fee payment: 9