JPH05502971A - 低周波誘導型高周波プラズマ反応装置 - Google Patents

低周波誘導型高周波プラズマ反応装置

Info

Publication number
JPH05502971A
JPH05502971A JP3504099A JP50409991A JPH05502971A JP H05502971 A JPH05502971 A JP H05502971A JP 3504099 A JP3504099 A JP 3504099A JP 50409991 A JP50409991 A JP 50409991A JP H05502971 A JPH05502971 A JP H05502971A
Authority
JP
Japan
Prior art keywords
plasma
reaction chamber
plasma reactor
power
wall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP3504099A
Other languages
English (en)
Other versions
JP3381916B2 (ja
Inventor
スティーブン エドワード サバス
Original Assignee
マトソン テクノロジー,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マトソン テクノロジー,インコーポレイテッド filed Critical マトソン テクノロジー,インコーポレイテッド
Publication of JPH05502971A publication Critical patent/JPH05502971A/ja
Application granted granted Critical
Publication of JP3381916B2 publication Critical patent/JP3381916B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるため要約のデータは記録されません。

Description

【発明の詳細な説明】 低周波誘導型高周波プラズマ反応装置 −棟術圀野一 本発明は一般にウェハ加ニジステムに関し、特にプラズマが主として誘導結合さ れた電力によって発生するウェハ加工プロセス用のプラズマ反応装置に関する。
尚、図面において、参照番号の最初の数字は、その参照番号によって示された構 成要素(部材)が表れている最初の図面を示している。
背景技術 プラズマエツチングや蒸着は、異方性かあり、化学的に選択性かあり、しかも熱 力学的平衡から離れた条件下で加工を行うことができるので、回路製作における プラズマエツチングや蒸着は、魅力的な方法である。異方性プロセスはマスキン グ層の縁からほぼ垂直に延びた側壁を有する集積回路パターンの作製を可能とす る。このことは、エツチング深さ、パターン幅及びパターン間隔が全て同等であ る現在及び将来のULSI装置においては重要である。
図1には、典型的なウェハ加工用のプラズマ反応装置10か示されている。
この反応装置には、プラズマ反応室12を囲む絶縁被覆された金属壁llか設け られている。壁11は接地されており、プラズマ電極の一方の側として機能する 。ガス供給源13から反応室12にガスが供給されており、そのガスは、プラズ マプロセスに適切な低圧状態を持続するために、当該反応装置からカスを強制排 気する排気システムI4によって排気される。
第2の電極I6に接続された高周波電源」5は、反応室I2内のプラズマに静電 的に電力を供給する。加工のため、ウェハI7は電極16上又はその近傍に配置 される。ウェハ17は、スリットバルブ18のようなポートを介して反応室12 内に搬入され、又、反応室12から搬出される。
プラズマ反応装置には、13.56MHzの高周波電源(RF電源)が広範に用 いられている。というのも、この周波数は、ISM基準周波数(rsMとは、工 業、科学、医療の分野を意味する)であるからであり、ISM基準周波数の政府 規制放射限度は、非ISM周波数、特に通信帯域の周波数における場合よりも、 規制が緩やかだからである。この25M基準のために、その周波数で利用される 設備が多いため、更に13.56MHzの全世界的な使用が助長されている。他 のISM基準周波数は、27.12MHzと40.68MHzであり、これらは 13.56MHzのISM基準周波数の第1次、及び第2次ハーモニクスである 。
プラズマは質的に異なった二つの部位、即ち、準中性で等電位の伝導性プラズマ 体19とプラズマシース(plasma 5heath)と呼ばれている境界層 110とからなっている。プラズマ体は、ラジカルや安定中性分子はもちろん、 はぼ同じ濃度の負電荷及び正電荷を帯びた分子からなっている。反応室に供給さ れた高周波電力は、自由電子にエネルギーを供給する。そして、これら自由電子 の多くに十分なエネルギーを伝え、その結果、この電子がガス分子と衝突するこ とによってイオンが生成される。プラズマシースとは、空間ポテンシャル(即ち 、電界強度)の勾配が太き(、かつ、電子が不足した伝導性の低い領域である。
かかるプラズマシースは、プラズマ体と、プラズマ反応室の壁や電極のような界 面との間に生成する。
電極が高周波電源に静電的に結合されると、この電極における電圧の負極側の直 流成分■、。(即ち、直流バイアス)が生じる(例えば、H,S、パトラ−及び G、S、キング 流体物理学、6巻、 1348頁(1963年)を参照)。こ のバイアスは、不均衡な電子及びイオンの移動性と、電極及び壁面におけるシー スキャパシタンス(静電容量)の不均等の結果である。シースキャパシタンスの 大きさは、プラズマ室の形状及び該室内における電極と壁との相対面積と同様に 、プラズマ濃度の関数となる。電極における数百ボルト程度のシース電圧が一般 に作られている(例えば、J、コバーン及びE、ケイ、高周波ダイオードグロー 放電スパッタリングにおける基質の正イオン衝撃 応用物理誌、・13巻496 5頁(1972年)を参照のこと)。
パワー供給された電極におけるンース電位の直流成分は、イオンをその電極に対 してほぼ垂直方向に、より高いエネルギー状態まで加速するのに有益である。そ れ故、プラズマエツチングプロセスにおいては、陽イオンの束がウェハ面に対し てほぼ垂力に投射されるように、エツチングされるべきウェハ17か当該電極上 又は僅かにその上方に配置される。これにより、ウェハの非保護域のほぼ垂直な エツチングを可能としている。商業的エツチングプロセスに要求されるエツチン グ速度(以下、「エッチレート」という)を生み出すには、幾つかのプロセス( シリカ(SiO□)のエツチング等)において、このような高いシース電圧(及 び高い放電電圧)が不可欠である。
最新のMO3集積回路におけるトランジスタ速度仕様と高集積度は、浅い接合を 用いることと、数千オングストロームの厚さのポリシリコンゲート下にお゛ける 薄い(100オングストローム程度)のゲート酸化物を要求する。残念なことに 、そのようなIC構造は、図1の従来のプラズマエツチング装置におけるような 高エネルギー(100電子ボルトを超えるエネルギー)イオンによる衝撃に敏感 であるため、ゲートを形成するポリシリコン層のエツチング工程の間、ゲート酸 化物の損傷を避けるのが難しくなっている。イオンエネルギーと関連するシース 電圧の減少に伴って、ウェハ損傷は減少するので、より少ない放電パワーレベル と放電電圧において操作することは有利となろう。しかしながら、13.56M Hzの静電結合電力では、電圧の低下は、多くのプロセスにおけるエッチレート を比例的に低下させる結果となり、そのためにプロセスの効率を大きく低下させ る。
シリカ及びある種の珪素のエツチングプロセスにおけるエッチレートは、プラズ マからウェハに伝達されるイオン衝撃電力密度の関数である。この電力は電極の シース電圧とウェハのイオン流密度との積に等しいので、低減されたシース電圧 においてほぼ一定したエッチレートを維持するためには、ウェハのイオン流密度 は増大されねばならない。このことは、ウェハ近くのプラズマイオン密度を増や すことを要求する。残念ながら、従来のプラズマエツチング装置では、電極のシ ース電圧と電極近くのイオン密度とは相互に比例的であり、かつ、それらは電極 に印加される高周波電圧の振幅に単調増加な関数である。
このように、高周波信号の電圧を低くすることによってシース電圧が減少される ならば、ウェハにおけるイオンビームの電流密度もまた減少し、それによって、 シース電圧又はイオン電流における場合よりもエッチレートにおける更なる割合 の減少を生しさせる。それ故、商業的に十分なエッチレートを有するソフトエツ チングプロセス(ウェハにおける低いシース電圧を有するエツチングプロセス) が実行されるためには、ウェハのシース電圧とイオン密度とを独立して調節可能 であることが有利となろう。
ウェハ近くのプラズマイオン密度を高めることでエッチレートを増大させる1つ の方法は、磁石を利用してウェハの近傍に電子をトラップする磁気的な閉じ込め 場を作り、それにより、ウェハにおけるイオン生成率と関連する密度を増やすこ とである。磁気的な閉し込め場は、磁力線の周りの螺旋軌道に沿って活性電子を うず巻き状に進ませることにより、活性電子を閉じ込めている。
あいにく、例えば「磁気強化されたJプラズマエツチングシステムの磁気的な閉 じ込め場の不均一性によって、ウェハ表面でのエッチレートの均一性が減じられ ている。シース内及びその近傍の電場によるE(電場)×B(磁場)ドリフトは また、そのようなシステムにおけるエッチレートの均一性を減少させる。かかる システムにおけるウェハ表面上の均一性を改善するために、ウェハは、電極面に 垂直かつその面の中心となる軸線の周りに回転される。これは、ウェハ上の改善 された平均均一性を有する円筒対称な時平均場をウェハに生じさせ、それにより 、エツチングの均一性の向上が図られる。しかしながら、かかる回転は、微粒子 を生じさせて汚染を増大させる好ましからぬ機械的な動きをプラズマ室内に生じ させる。
低いイオン衝撃エネルギーでの許容できる程度のエッチレートを生じさせる可能 性のあるもう一つの技術は、最近開発された電子サイクロトロン共鳴プラダマ生 成法である。この技術には、ウェハのクリ−ニゲ、エツチング、及び蒸着プロセ スに対する適用事例がある。この技術においては、マイクロ波電源と磁気的な閉 し込め構造を用いてプラズマが生成される。残念ながら、エツチングあるいは化 学蒸着法に適用された場合、この方法は、高レベルで微粒子を生成し、放射方向 へのエッチレートの均一性が低く、しかも低効率である。
ラジカルの生成に振り向けられるエネルギーの割合は、約1ミリTorrを超え ると急激に増大するので、このシステムの圧力はそのレベル以下に保たなければ ならない。これは、(1):非常に高速の排気速度(毎秒3.000 !Jソト ル以上であって、これは普通のタイプの10倍の体積である)を有し、かつこの プロセスに要求される極低圧(0,1〜1.0ミリTo r r)を生み出す真 空ポンプンステムと、(2)・時として大きな電磁石を含む巨大な磁気的閉し込 めシステムと、を含んでなる高価な装置を必要とする。
更に、イオン密度を高める別の技術は、ウェハ上部なくともlOセンチメートル の領域にイオンを発生させるマイクロ波プラズマ発生装置を使用するものである 。これらイオンは、ウェハ上の空間に流れ込み、ウニノーのイオン密度に貢献す る。しかしながら、この方法は、多量の自由ラジカルを生成させる傾向にあり、 1平方センチメートル当たりほんの数ミリアンペアのイオン流密度をウェハに発 生させるだけである。
ジョセフ・フレノンガー及びホースト・W、ローブによる[融合炉用の中性分子 注入器RIGJ原子核エネルギー・核技術(Ajomkernenergie− Kerntechnik)。
44巻(1984年) No、 I 、 81〜86頁では、粒子の中性ビーム を発生させて、トカマク融合炉のエネルギー生成における均衡点を設けるために 必要とされている追加のパワー量を供給している。このビームは、誘導結合電力 によってイオンビームを発生させることと、融合炉内に入る前にカスを通過させ ることにより、そのビームを中性化することによって作られる。そのイオンビー ムは、この出願における高周波フィールドの代わりに直流フィールドによって抽 出されている。
J、フレノンガーらの[反応性カスの物質プロセスのための高周波イオン電源と 題された論文(ガス放電とその応用についての第9回国際会議 1988年9月 19〜23日)に示された反応装置においては、電子を加熱するためにパワーが 反応室内に供給されており、ウェハへのイオンビームは高周波フィールドによる 代わりに直流フィールドによって発生されている。
発明の開示 説明された好ましい実施例に基ついて、プラズマ反応装置が示されている。
そのプラズマ反応装置においては、低周波(0,1〜6MHz)の高周波電源( RF主電源かウェハを保持する電極近傍のガスのイオン化エネルギーを供給する ためにプラズマに誘導的に結合されており、しかも、より低電力の高周波電圧か 電極に印加されて、その電極上のウェハのイオン衝撃エネルギーを制御している 。ウェハは、加工のために、この電極表面又はその直上方に配置される。
このプラズマ反応装置は、低周波RF主電源つながれた誘導コイルによって取り 囲まれた非伝導性の反応室壁を備えている。スプリットファラデーシールドは誘 導コイルと反応装置の側壁との間に配置され、その反応装置を取り囲んで、誘導 コイルとプラズマ反応装置との間における変位電流(displacement current)の発生をほぼ取り除いている。実際に、このシールドは、低周 波RF電場のプラズマへの電気的結合を大幅に削減する(J、L、ボッセンによ る「プラズマエツチング及びプラズマ蒸着におけるグロー放電現象」と題する論 文 電気化学会誌 固体状態の科学と技術126巻No、21979年2月31 9頁)。
その結果、イオン衝撃エネルギー、並びに、反応装置壁の関連するエツチング及 びスパッタリンクかほぼ除かれ、低周波におけるウエハシース電圧の変調か低減 される。
このファラデーシールドは、プラズマと7−ルドとの間のキャパシタンス(静電 容量)を変えることができるように、移動可能となっている。このファラデーシ ールドはほぼ反応室外壁に接触配置され、ウェハ加工プロセスの間、高キャバノ タンスを生み出している。これは高周波プラズマ電位を減少させ、それによって 反応装置の壁のプラズマエツチングを減少させている。ファラデーシールドと反 応室壁との間おける、低減されたキャパシタンスを生み出すところの増大した間 隔は、増大した高周波・時平均プラズマ電位レベルを生み出すためにウェハエン チング時以外でも利用可能であり、これにより、エツチングレベルを制御した状 態で反応装置壁の浄化を可能とするより高いイオン衝撃エネルギーを生しる。
好ましくは、そのファラデーシールドはキャパシタンスを変えるために半径方向 に移動されるか、キャパシタンスはまたファラデーシールドの垂直方向への移動 によっても変え得る。そのシールドを垂直方向に移動可能とした態様においては 、当該シールドは、反応室と各誘導コイルとの間に存在しなくなるほど垂直方向 へ移動することを許容されるへきでない。反応装置の壁によって提供される有効 な高周波接地電極へのプラズマのキャパシタンスを増大させるために、伝導性シ ートが反応室の上部に含まれてもよい。このプレートもまた、プラズマ体とファ ラデーシールドのこの部分との間のキャパシタンスを変えるために、移動可能で あってよい。
電子を反応室壁から離れて閉し込めることによって、低圧力でのイオン発生を促 進するために直流磁場が含まれてもよい。低圧下において電子は、反応室壁との 衝突により反応室からのロス比率を増加させる、増大した平均自由行程を有する 。この磁場は、壁との衝突前に反応室内でのイオン化衝突の割合を増加させる螺 旋状行路内に電子を進入させる。
電子をプラズマ内へ跳ね返すために、反応室の上部近くほど強くなっている分散 磁場が含まれてもよく、これにより、反応室壁の上部での電子の減損を防ぐこと ができる。この磁場は(反応室上部近くでは数ガウス程度)、反応室上部に配置 され、カリ互い違いの磁場方向を有する永久磁石の配列によってか、直流電流が 流れているソレノイドコイルによってか、あるいは、強磁性のディスクによって 発生される。
誘導結合された高周波電力は、反応室の大きさに応じて、0.1〜6MHzの範 囲の周波数で1okWのレベルまで供給される。電極に印加される電圧はイオン か電極のソースを横切る平均時間の逆数よりも高い周波数にある。この電圧信号 の周波数f、の好ましいものは、全てのISM標準周波数、即ち、13.56M Hz、 27.12Mtlz、 40.68MHzである。それほど広く分散さ れないイオン衝撃エネルギーを生しるために、より高密度のプラズマには、より 高い周波数か必要とされるであろう。
電極のシースは、はぼウェハ面に対して垂直な強い電場を持っており、それによ り、はぼ垂直なイオン衝撃と、はぼ垂直な又は制御されたテーパーなウェハエツ チングか生み出される。電極に提供される静電結合電力の量は、プラズマへ誘導 的に供給される電力よりもずっと少ない。それ故、ウニノ\におけるイオン電流 の平均は、第−義的には誘導結合電力によって決定される。そして、ファラデー シールドにより、ウェハにおける平均イオンエネルギーは、電極への高周波信号 (rf倍信号の振幅だけの関数にほぼなる。
これとは対照的に、図1に示される典型的なプラズマ反応装置においては、平均 イオン密度(一般には幾分低い)とエネルギーの双方は、電極への高周波信号の 振幅によって制御される。それ故、誘導結合された反応装置は、シース電圧を減 少させ、イオン密度を高くすることを可能にする。また、シース電圧とイオン密 度は別々に変えられ得る。結果として、商業的にみて受け入れ可能なエッチレー トでのソフトエツチングが達成され、そのソフトエツチングは、100電子ボル ト程度かそれ以上の衝突エネルギーを有するイオンによって損傷され得る最近の 型の集積回路を損傷させることがない。
誘導結合されたプラズマ反応装置における電磁場は、ウニノ\上における非常に 均一なプラズマイオン密度分布を生じ、非常に均一なウニ/S加工プロセスを実 現する。誘導的に発生させた電場はほぼ円筒状であり、それ故、反応装置の側壁 にほぼ平行に電子を加速する。プラズマの伝導性のために、この電場の強さは前 記側壁から離れて急速に減少し、電子加速がその側壁近くの領域で主として起こ る。
電子が速度を増すに従い、その慣性によって、分子との一連の弾力衝突、及び/ 又は、側壁のシースとのかすめ接触を包含する軌道か描かれる。そのような衝突 や接触は、電子をプラズマ体の中へはしきとばす。このことは、壁の近傍のみに おいて有意義な電子加速を生ずる結果となるが、また反応室中のいたるところで イオンを発生させることとなる。これら電子及びイオンの拡散、並びに電子の放 射状EXBドリフトは、ウェハの近傍において、非常に均一な密度を有する放射 対称なイオン密度を生しる。側壁近くで電子がエネルギーを得る領域から離れた 電子の散乱を促進するために、反応室内は、低圧(一般に1〜30ミリTorr 程度)に保たれる。
このデザインはまた、電力をイオンの生成に結び付ける上で非常に有効であり、 それ故、プラズマ中のイオンによって行なわれるウェハ加工プロセス用の他の反 応装置を超越して重要な利点を提供している(例えば、J/’フレジンガーらに よる[反応性ガスでの材料加工用のRFイオン電# RfMloJと題された論 文 ガス放電とその応用についての第9回国際会議 1988年9月19〜23 日を参照)、、この重要性は下記に述へる通りである。
プラズマへの高周波電力は、中性のランカル、イオン、自由電子、並びに、自由 電子による分子及び原子の励起状態を作る。反応性イオンによる垂直エツチング は、高周波電力の多くをイオン生成へ振り向ける反応室には好都合である。過剰 なラジカル集中のために、ラジカルによるウェハ表面での反応は、目的とされる 製作加工プロセスにとって有害となり得るので、プラズマによる自由ラジカルの 相対生成を減少させることは、多くの応用事例において有益である。それ故、反 応性イオンエツチングプロセス、又は、高イオン集中によって好都合となるか、 あるいは重大な自由ランカル集中によって品位を落とされる他のプロセスに対し て、このプラズマ反応装置は特に適している。
この反応装置はまた、従来のプラズマ反応装置よりもすっと少ない静電結合電力 を必要とするに過ぎない。このシステムは、全電力か静電的に結合されている従 来のプラズマ反応装置用の500〜1000ワツトに対して、数百ワット程度の 高周波電力を用いている。このシステムはまた、イオン流とイオン衝突エネルギ ーとを別個に制御する能力を備えている。
図1に示す従来のプラズマ反応装置では、電極16に印加される高周波信号の振 幅は、プラズマ内のイオン密度だけでなく、その電極のシース電圧をも制御する 。ソフトエツチング(即ち、ウェハのイオン衝撃エネルギー100ボルト程度か それ以下)を達成するためには、静電的に印加される高周波電力は、そのような 反応装置において伝統的に用いられてきた電力よりも低くされるべきである。
残念なから、この静電印加電力の減少は、このシースを横切っての電圧降下を減 少させるだけでなく、このソースでのイオン密度をも低下させる。電極に対する 高いRF電圧下においてさえ、そのような静電結合電力は相対的に低いイオン密 度だけを生しさせる。ウェハエッチレートは、このシースにおけるイオン密度と 、そのシースを横切っての電圧降下との積に比例するため、ウェハエッチレート は、これら2つのパラメータのいずれかよりも速く減少する。このように、ソフ トエツチングは、商業的な集積回路の作製プロセスと両立し難い効率の減少を生 む。
このシステムにおけるシース電圧が電極に印加される高周波信号の振幅に拘束さ れることは、図2及び図3を参照して示され得る。高周波電源】5と電極16と の間にあるコンデンサー21は、このシース電圧が直流成分を持つことを可能と する。この直流成分は、電極の不均等な領域と、電子及びイオンの不均等な移動 性との相乗効果によって生み出されたものである。各プラズマシースは、抵抗体 、コンデンサー及びダイオードの並列的な組合せに電気的に等価である。ソース を横切る電場は、10’オ一ム程度の大きなシース抵抗を生じるノース領域の外 へ、はとんどの電子をはしきとばす。
周波数を増加させる関数としてのソースインピーダンスの静電成分は、約500 kHzにおいて意義を有する程度に十分に小さくなると共に、その周波数以下で は無視され得る。500 kHzを超える周波数では、シース抵抗は非常に大き く、それは無視できる。これは、静電結合された電力の周波数における高周波ノ ース電圧成分の場合である。
図2の等価回路において、プラズマ及びシース内のイオンよりもずっと太きい電 子の移動性の影響は、ダイオード24.28によって模式化されている。
このように、もし仮にプラズマかそのプラズマに近接した全ての電極に関して負 となるならば、プラズマ中の電子はその電極に対して効果的に短絡するだろう。
故に、ノースインピーダンスは、要素22〜24及び26〜28によって模式化 される。プラズマ体は、電極に印加されるRF主電圧用いられる高い周波数1. (好ましくは、13M周波数13.56Mtlz、 27.12MHz又は40 .68MHzの一つである)において無視され得る低インピーダンスの抵抗25 として模式化される。
図3は、電極に印加される周波数f、の220ボルトピ一ク対ピーク高周波信号 31、プラズマの結果電圧32、及び電極のシース電圧36の間の関係を示す。
シースキャパシタンスC3I及びC52は、静電結合電力の周波数f、において 顕著(優勢)であるのて、抵抗Rs+及びRs□は無視され得ると共に、信号3 1の各周期における短区間を除いて、ダイオード24及び28は無視され得る。
故に、最も働く条件下では、プラズマ等価回路は静電ディバイダーに換算されて 、プラズマ電位V、とキャパシタンスCs+及びC32を横切る電圧の高周波成 分はほぼ位相内にあり、大きさはV−−V、+・C112/ (C5I+C52 )で表される。
電極面積の数倍の壁面積かある典型的な反応装置では、壁でのジ−スキャノ(シ タンスC52は、電極でのシースキャパシタンスCs+のlO倍程度である。そ れ故、220ボルトピ一ク対ピーク高周波信号31にとっては、プラズマ電位■ 、がピーク対ピーク20ボルト程度になる。信号31及び32は位相内にあるの で、信号32のピーク33は信号3Iのピーク34と並んでいる。ダイオード2 4のために、信号31と32の最小電圧差(各ピーク34において起こる)は、 kT。/e程度なる。同様に、プラズマの反応装置壁への短絡を防ぐために、V Pはグランド35よりも正極側で少なくともkT、/eはなければならない。
これらの様々な条件により、電極(即ち、高周波信号31の直流成分)の平均シ ース電圧36は、はぼ−90ボルトとなる。ソース電圧の直流成分は、V、t− Cs+/ (C5I+C52)/2にほぼ等しく、ここで、V+1は高周波電圧 のピーク対ピーク強度である。高周波信号の電場成分はほぼ電極に対して垂直で あるため、ノース電圧は高周波信号強度と共に直接的に変化する。このことは、 電圧31の直流成分36が電極に印加される高周波電圧のピーク対ピーク振幅と 直接的に関係があることを意味する。
従来のプラズマ反応装置の電極でのイオン流密度は、電力低下と共に低下するプ ラズマ中のイオン密度に比例しており、シース電圧を下げるために高周波電圧の 振幅が小さくされると、電流密度も低下することになる。それ故、図1のプラズ マ反応装置では、よりソフトなエツチングを行うために電圧か下げられた時でも 、エツチング電力を維持するためにウェハでの電流密度を高くすることはできな い。
電極でのシースを横切る電圧降下は、印加される高周波信号31とプラズマの電 圧32との間の差に等しい。この電圧降下は、0ボルトから約−220ボルトの 範囲で変化する。イオンが、高周波信号のL/f、の周期に比して短い時間間隔 でこのシースを通過したとしても、高周波信号31のピーク34付近のシースを 通過するならば、その衝撃エネルギーはほぼ0になる。そのような低エネルギー 衝撃イオンは、必ずしもウェハ面にほぼ垂直な軌跡を描くとは限らず、それ故、 目的とするウェハの垂直エツチングを低下させ得る。
従って、周期1 / f bが、イオンがこのシースを通過する平均時間の半分 を超えないことが重要である。この通過時間は50万分のI秒程度かそれより短 いため、f、は少なくとも4MHzはなければならない。より高いイオン密度及 び低シース電圧のためには、周期1/f、は、0. 1マイクロ秒(μS)以下 になる。ISM周波数に関するゆるやかな規制故に、f、はISM周波数である 13.56M)Iz、 27.12MHz、 40.68MHzのうちの1つに 等しいことが好ましい。
−逐I反堕鼾町J炉里一 本発明の詳細は、付属の図面の簡単な説明される。ここで、図1は、典型的なプ ラズマ反応装置の構造を示す。
図2は、電力が反応室に静電結合したプラズマ反応装置の等価回路である。
図3は、電極へ印加される高周波信号と、プラズマの電圧V、と、ノース電圧V 。との間の関係を示す。
図4は、誘導結合された反応装置の側面図である。
図5は、図4の誘導結合反応装置の側断面図である。
図6は、図4の反応装置の上面図である。
今日を実施するための形態 図4には、シース電圧及びウェハでのイオン流密度を独立して調整できるプラズ マ反応装置が示されている。この反応装置はまた、ウェハにおけるイオン流密度 及び電圧の非常に均一な分布を生しると共に、純粋に静電的ないし、より高周波 の誘導放電に関するプラズマ中でのイオン生成速度と自由ランカル生成速度との 間の比率を引き上げることを可能とする。故に、このシステムは、自由ランカル に対するイオンの比率が大きい応用事例には特に有益である。
ベース40上には、プラズマ反応室50(図5参照)を囲んでいる円筒形の反応 室壁41かある。反応室壁41は7〜30センチメートルの高さであり、加工さ れるウェハの直径に依存する側方直径を有している。直径15センチのウェハの 加ニジステムにあっては、この反応室壁は25〜30センチ程度の側方直径を有 し、直径20センチのウェハの加ニジステムにあっては、この反応室は30〜3 8センチの側方直径を有する。反応室壁41は、石英やアルミナのような非伝導 性物質からなっている。
包囲している壁41は、伝統的なインピーダンス整合回路又はトランス(変圧器 )44を介して第1の高周波電源43(以下、rRF電源」という)に接続され た誘導コイル42そのものである。商業的に好都合なりアクタンス値を用いてい る伝統的な整合回路44によってか、あるいは、誘導インピーダンス(通常、1 0オーム以下)を電源43のインピーダンス(通常、50オーム)に整合させる トランスによって、RFF源43に都合良く整合するインダクタンスを生むため に、このコイルはほんの少しだけ巻き付いている(2〜8巻き程度)。整合回路 は、電源43へ戻る電力の反射をほぼ取り除くように設計されている。
この誘導コイルは、反応室50内に、その軸がほぼ垂直である軸対称な高周波磁 場と、はぼ円筒状の電場を生じさせる。これら二つの磁場と電場は、中心軸Aの 周りに対して回転対称となる。この回転対称性は、ウェハ加工の均一性に貢献す る。
プラズマの高い伝導性のために、誘導結合された場は、誘導結合RF場の周波数 f、で割られた(除された)プラズマ中の電子密度の平方根に比例する厚さδ( 1センチメートル程度)を有する側壁に隣接した領域に、はぼ限定される。更に 大きなシステムにおいては、電子を加速するこの領域の厚さを増加させるために 、f+は低くされる。
この領域内では円筒状の電場は電子を円周方向へ加速する。しかしながら、この 加速された電子の慣性のために、電子は側壁でのシースの電場をかすめることに なる。そのようなかすめ的接触は、電子の多くを壁から反射させる。電子のいく らかは壁をたたいて二次電子を生しさせる。ガス分子との弾性衝突は電子を反応 室の至るところへ拡散させる。誘導的に発生した電場は側壁からの距離δ(抵抗 膜厚)に限定されるので、電子加熱はこの領域のみにとどまる。
ウェハを横切るイオン密度をより均一にするためには、圧力は低く保たれ(通常 、1〜30ミリTQrr)、壁付近で加熱された電子は壁から迅速に拡散して、 はぼ均一なイオン化とウェハ表面において結果として表れるイオン密度を実現す る。
反応装置の半径R1周波数f1、及び誘導結合電力は、ピーク対ピーク振幅か1 −10ポルl−/cmである円筒状の電場を生み出すように選択される。このこ とは、3センチ以上の振幅を有する振動電子経路を生ずる結果となり、これら電 子の平均自由行程は電子振動の振幅程度かそれ以下となる。電源43は0、 1 〜6MHzの範囲の周波数て、かっ1okWまでの出力で電力を供給す第2のR FF源51 (図5に図示)は、ISM(工業的、科学的、医学的)標準周波数 (即ち、13.56MHz、 27.12MHz、 40.68MHz)のうち の1−)の周波数で電極52に高周波電力を供給する。図1の例では、この高周 波電源は、電極52に並んで直流シース電圧を生み出す。その電力レベルは、1 00ワツト以下から数百ワット(500ワツトまで)までの範囲にあり、静電結 合された高周波信号のイオン密度への影響は、電源43からの誘導結合電力の影 響よりもはるかに少ない。この電力レベルは、プラズマ反応装置の電極へ一般に 供給される電力レベルよりも幾分低い。この電力レベルは、イオンによるウェハ のソフトな衝突(即ち、運動エネルギー100ev以下)を生み出すために低く 保たれる。この電極への低い電力レベルはまた、イオン密度かRFF源43によ って主として決定されることを意味している。このことは、イオン密度及びシー ス電圧のデカップリング制御に際して有利である。
誘導的に発生された電場の周方向は、プラズマ体から電極までの、電極に対する 法線に沿っての経路積分がセロになるように、この電場を電極と平行にする。こ の結果として、図1のプラズマ反応装置とは異なり、プラズマ体と電極との間の RFF間変化電位差を生じるシースの高周波成分が存在しない。このことは、低 周波誘導RF場の電極の電位への結合をほぼ取り除く。それ故、電極52のシー ス電圧はRFF源51によって決定される。
反応装置の側壁を取り囲むことか、この実施例においては、側壁になる1ダース の伝導性プレート46からなる接地されたファラデーシールド45に相当する。
各ファラデー7−ルド伝導性プレート46は、間隙48だけ近隣のプレートとの 間隔を置いている。これら間隙は、誘導高周波磁場が反応室50内を突き抜ける ことを可能とするために必要とされる。ファラデーシールド内における円周方向 の電流の発生を防止するためには、少なくとも1つの間隙が必要とされる。レン ツの法則により、そのような円周方向の電流は反応室50内の磁場の変化に強く 反発し、その結果、コイル42の電流の反応室50ての望まれた作用に本質的に 逆らうことになる。
このファラデーシールドはまた、図1の反応装置の接地された伝導壁と同し機能 を提供する。つまり、静電結合されたRF場か反応室の外側へ外れて他の装置と 干渉しないように、又は連邦放射基準を逸脱しないように、ファラデーシールド は静電結合されたRF場を反応室50内に制限する。このシールドはまた、静電 結合電源5Iによってつくられた電極からの高周波電流の帰還経路を提供する。
ファラデーシールド45は、それが反応装置壁と隣り合っている場合、電源43 のRF周周波数カ1おけるプラズマ電位V、の時間変化量を大幅に減少させるこ とかできる。これは、イオン密度及び平均シース電圧Vdcについての第1のR FF源43及び第2のRFF源51の影響を切り離す上で重要である。
コイル42に印加される電力レベルにおいては、これらコイルの大きなインダク タンス(1−100マイクロヘンリー程度)は、コイルの一端又は両端での高い 電圧を生しる。ファラデーシールドがないとすれば、コイル42の高電圧端47 はプラズマ体に静電結合すると共に、電源43の周波数f1でのVpのRF変化 に影響を与えるであろう(例えば、J、 L、 ボッセン[プラズマエツチング 及びプラズマ蒸着におけるグロー放電現象」電気化学学会誌、固体状態の科学と 技術126巻No、2.319頁を参照)。
間隙48の幅は、コイル42がこれら間隙を介してプラズマ体へ静電結合しない ように、伝導性プレート46とコイル42との開の最小間隔よりも狭くなってい る(前記ボッセン文献を参照)。もしも、そのようなプラズマ体への静電結合が 妨げられない場合、このV、のRF変化は、同じ周波数におけるシース電圧(そ れ故に、イオンエネルギー)の変化として現れることになる。更にまた、ファラ デーシールドによってほとんど排除されないとすれば、この電場はエツチングの 対称性を低下させることになる。
ファラデーシールド45はまた、プラズマ反応装置の壁41に隣接したプラズマ シースのシースキャパシタンスC5□の値に大きく影響する。もしもこのファラ デーシールドが存在しないならば、静電結合された高周波信号の有効接地は、R FF導コイルか又はその反応室を取り囲んでいる環境により提供され、それ故に 、反応装置の近傍に存在する他の物体によって影響されることになろう。更に、 これらの物体は一般に、有効接地状態が無限にあるとして扱われるに十分に大き い距離にある。このことは、側壁及び土壁のC5Iを、図3の場合のようなC3 Iの10倍ではなく、C3Iの10分のI程度かそれ以下にする。結果として、 プラズマ電位■、と高周波信号との関係は、図3に示された関係よりも図7に示 された関係にずっと近くなる。
図7において、高周波電圧(信号71)は、220ボルトのピーク対ピーク振幅 を有するものと再度仮定する。Cs+がC52の10倍に等しい場合、プラズマ 電圧信号72は200ボルトのピーク対ピーク振幅を有する。プラズマ電圧V、 のピーク73は、高周波電圧信号71のピーク7・1と再び相並び、両ピークの 間隔は再度、kT、/eの数倍までになる。同様に、V、のくぼみのグランドと の間隔は、kT、、、、/e程度(通常、数(a few)ボルト)である。故 に、プラズマ電圧信号72は100ボルト程度の直流成分76を有する。これは 、プラズマ電圧信号32か約IOボルトにk Ts / e程度のオフセットを 加えた直流成分を有している図3と対照的である。
この壁とプラズマ体との間での大きく増大したこの直流成分は、プラズマ内イオ ンによる許容できないレベルの壁のエツチング又はスパッタリンクを生ずること となる。このような作用は、反応室壁を損傷するたけてなく、反応性カスを消耗 し、反応室内でのウェハ作製プロセスを妨げる汚染物質をプラズマ内に導き入れ る。しかしながら、壁41から多少離間配置されているファラデーシールド・1 5がある場合、有効接地電極のキャパシタンスか増大し、C52は再びC51よ りも数倍大きくなり、高周波信号とプラズマ電圧V、との関係は図7に代わって 図3のようになる。
実際のところ、普通、キャパシタンスC32の二つのプレート(即ち、プラズマ と伝導性壁)の間隔は0. 1センチはとになる。図4の反応装置では、ファラ デーシールドか壁41の近くに置かれている場合、キャパシタンスC52は、0 .075センチメートルの真空ギャップと等価であるところの、誘電率(,1よ りも犬)で除された壁41の厚み分たけ増加される。それ故、壁のキャパシタン スC52は、図4の場合に匹敵する大きさを有した図1に示すタイプの反応装置 におけるキャパシタンスの半分の値よりも少し大きくなる。
伝導性プレート46は、約1センチ以上半径方向に動くことができ、キャパシタ ンスC52は伝導性プレート46を壁から離間する方向に動かすことによって低 下し、およそ0.1−10の範囲にわたりC3I/C32比率を変化させる。
これら伝導性プレートはウェハ加工プロセス中、壁41の近くで動かされるので 、反応室壁のエツチングと汚染物質の副産は最小限にとどめられる。ウェハ加工 プロセス以外の時には、定期的に壁のエツチングを行って壁を清浄にするために 、伝導性プレートは1センチ又はそれ以上、壁から離間する方向へ移動される。
この反応室の清浄工程での残り屑は、更なるウェハ加工プロセスか行われる前に 反応装置から取り除かれる。
図5及び図6はそれぞれ、この反応装置40の顕著な特徴部分を示している側断 面図及び上面図である5反応室50の上部のすぐ外には、ファラデーシールド4 5がこの反応室の側面に持たせているのとほぼ同じ機能を反応室50の上部に持 たせる接地状態の伝導性プレート53がある。
反応装置の上部には、交互にN極を下方へ向けた1セツトの磁石54が存在して いる。強磁性反射プレート55は、最も外部にある2つの磁石によって生み出さ れた磁場の磁束を跳ね返すのを助ける。磁石は永久磁石であることが好ましく、 その理由は、この種の磁石は十分な磁場を経済的に提供してくれるからである。
この配列は、電子をプラズマ体へ向けて跳ね返す磁気的な鏡の如く作用するとこ ろの、約100ガウスの交番方向の磁場の並びを反応室50の上部に作り出す。
これら磁石による磁場は、これら磁石の間隔(2〜3センチ程度)の2倍程度の 距離だけ反応室内に入り込んでいる。他の実施態様において、前記磁石の直線的 な配列は、同心円状の環状磁石の1セントで置き換えられると共に、それら隣合 う環状磁石のN極か垂直方向に対して反対向きにした状態で配置されてもよい。
更に他の実施態様において、反応室の上部近くに数カウス程度の磁場を持つ磁気 鏡を作り上げるために、N極が垂直方向に向けられた強磁性物質の平らなディス クや、単環直流ソレノイドか使用されてもよい。磁気を帯ひたディスクを使用し た実施態様は好ましく、その理由は、それは簡素カリ安価であり、反応装置の半 径方向の対称性を保持するからである。これとは対照的に図5の磁石54による 磁場が半径方向の対称性を欠いていることは、ウニノ\エツチングにおけるの半 径方向の対称性をわずかに低下させるかもしれない。
反応装置壁41の基端部ないし上部の外側には、任地の直流磁場を生して側壁か ら離れた電子を包含するために、直流型#、57に接続された伝導性コイル56 が存在する。このコイルの磁場の大きさは、1−100ガウス程度になり得る。
図4〜6のプラズマ反応装置は、他の多くの既存の反応装置と比へてかなり改良 された作用を発揮する。しかるに(発明の背景において述べた)マイクロ波電源 を用いたプラズマ反応装置は、はんの数ミリアンペア7cm”の電流密度を生じ るに過ぎないのに対して、本反応装置では50〜100ミリアンペア/cm”に までなる。試験は、SFs 、CF2 Ch 、02及びアルゴン等の各種の反 応性ガスにおいて前記高電流が生じたことを示している。
このことは、より多くの電力が、1ミリTorr以上の圧力での他のプラズマ製 造法におけるような中性フラグメントの生成に向けられる代わりに、イオンの生 成に向けられていることを示す。かかる中性フラグメントは、電流には寄与しな い。イオンだけがウェハに対する垂直方向の衝撃を与えてほぼ垂直な壁を形成す ることとなるので、このことは重要である。ウェハにおいて非常に低いシース電 圧を生しる能力を有するということは、シース電圧を20〜30ボルト以下にま で下げることによって、下層の100オングストローム厚の5iOzゲート絶縁 物を損傷したりエツチングしたりすることなく、4000オングストローム厚の ポリシリコンゲートが垂直にエツチングされ得ることを意味するものである。
反応装置40は、ガス供給源49と排気ポート58とを備えており、その排気ポ ート58は、プラズマ加工生成物を排出して圧力を所定レベルに維持するための ポンプを含む排気システム59の一部を構成する。一般に、側壁の近くの電子熱 湯からバルク内への電子拡散を促進するために、圧力は1〜30ミリTorr程 度に保たれる。この気圧でも、誘導結合電力は主としてイオン生成に向けられる 。
これとは対照的に、マイクロ波プラズマシステムのような池のプラズマシステム は、約1ミリTorr以上の圧力下で相対的により多くの自由ランカルをを生ず る。マイクロ波プラズマ反応装置が主としてイオンを生成するものであるとすれ ば、圧力か数十分の1ミリTorr程度かそれ以下であることを必要とする。こ のことは、反応装置のポンプが毎秒数Torrリッターよりも遥かに大きい速度 を持つことを要求する。この大きなポンプ速度は、反応室にしつかりつながれた 低温ポンプか、もしくは、反応室に大きなポートを備えたターボポンプを用いる ことをめる。
これに対し、ここで開示された反応装置はより高い圧力下で作動することができ 、毎秒数百Torrリッター程度のポンプ速度をめるに過ぎない。これは、反応 室の周囲のスペースを乱さず、ウェハ操作を阻害せず、あるいは他の反応室の周 りで邪魔にならないようなずっと小さなポンプで間に合うということである。そ のようなポンプはまた、再生を必要とせず、低温ポンプにおけるような安全性の 問題を抱えていない。
発明の要約 加工時にウェハが配置される基台に対してほぼ垂直な高周波磁場を生み出すため に、反応室(50)に誘導結合されたrf電! (43)を備えたプラズマ反応 装置。その基台は、基台のノース電圧を制御するために、電源(51)か結合さ れた電極(52)である。この反応装置は、自由ラジカルの生成よりもイオンの 生成により多くの電力を供給することが有利となるソフトなエノチンク及びプロ セスに、特に好適である。ファラデーノールド(46)及び磁場源(53〜55 )は、反応室内に電力を包み込むのを手助けする。
補正書の写しく翻訳文)提出書(特許法第184条の8)平成4年7月斗日

Claims (1)

  1. 【特許請求の範囲】 1.プラズマが生成される反応室を取り囲む非伝導性の装置壁と、反応室内にガ スを供給するために前記装置壁に接続されたガス供給源と、反応室からガスを排 出するために反応室に接続された排出システムと、高周波電源と、 前記第1の高周波電源からの電力を反応室に誘導的に結合するために前記装置壁 を取り囲んでいる誘導コイルと、前記誘導コイルと前記装置壁との間におけるフ ァラデーシールドとを備えてなるプラズマ反応装置。 2.前記ファラデーシールドが、反応室内のプラズマ体と前記ファラデーシール ドとの間のキャパシタンスを変更するために移動可能なプレートを備えている請 求項1に記載のプラズマ反応装置。 3.前記ファラデーシールドは、前記装置壁に隣接した複数の伝導性プレートを 備え、かつ、各プレートは隣のプレートから、前記誘導コイルと前記装置壁との 間の距離よりも小さな間隔だけ離れた状態で配置されている請求項1に記載のプ ラズマ反応装置。 4.加工時にウエハが配置されるべき場所に隣接して、静電結合された電極を更 に備えてなる請求項1に記載のプラズマ反応装置。 5.前記誘導コイルは前記電極にほぼ垂直な磁場を反応室内に生じ、前記誘導コ イルへの信号の時間的変動によって誘導された電場は、その誘導電場がプラズマ 及び前記電極の電位に直接に影響を及ぼさないように、前記電極に対してほぼ平 行になる請求項4に記載のプラズマ反応装置。 6.前記高周波電源が前記誘導コイルに対するよりも前記電極に対して少ない電 力を供給し、前記反応室内に作り出されたプラズマは、前記誘導コイルへの電力 に応じたイオン密度となる請求項4に記載のプラズマ反応装置。 7.前記電極への信号電力は、200ボルト未満のピーク対ピーク電圧幅を有し 、ウエハのソフトエッチングに好適である請求項6に記載のプラズマ反応装置。 8.Cs1をプラズマ体と前記電極との間のシースキャパシタンスとし、Cs2 をプラズマ体と前記装置壁との間のシースキャパシタンスとした場合に、比率C s1/Cs2が数値1を含んでなる範囲にわたって変化させることができるよう な距離を前記プレートが移動可能である請求項4に記載のプラズマ反応装置。 9.誘導結合電力は、0.1MHz〜6MHzの範囲にある周波数f1を有する 請求項1に記載のプラズマ反応装置。 10.誘導結合電力は周波数f1であり、静電結合電力は前記周波数f1よりも 大きい周波数f2を有する請求項4に記載のプラズマ反応装置。 11.静電結合電力の周波数fbは、13.56MHz、27.12MHz及び 40.68MHzから選択される請求項4に記載のプラズマ反応装置。 12.前記排出システムと前記ガス供給源とは、その相乗作用によって、自由ラ ジカルが生成される速度以上の速度でイオンをプラズマ内に生成するための圧力 を、前記反応室内に発生させる請求項1に記載のプラズマ反応装置。 13.前記装置壁の上部に隣接した接地状態の伝導性プレートを更に備えてなる 請求項1に記載のプラズマ反応装置。 14.前記装置壁の上部から離れたエネルギー電子の封じ込めを図るために、前 記装置壁の上部に隣接した直流磁場の発生源を更に備えてなる請求項1に記載の プラズマ反応装置。 15.装置壁の上部に隣接した直流磁場の前記発生源は、前記装置壁の上部に対 して互いに平行配置された複数の永久的棒磁石を備えており、各磁石のN極はす ぐ隣の磁石と反対方向に向けられている請求項14に記載のプラズマ反応装置。 16.前記複数の棒磁石の外端部における磁力線を強磁性反射し、磁石を上部に おいて少なくとも覆う強磁性反射プレートを更に備えてなる請求項15に記載の プラズマ反応装置。 17.装置壁の上部に隣接した直流磁場の前記発生源は、装置壁の上部に対して 平行な同心状の環状磁石と、復数の棒磁石の外端部における磁力線を強磁性反射 し、磁石を上部において少なくとも覆う強磁性反射プレートとを備え、各々の磁 石のN極は、装置壁の上部にほぼ垂直に向けられていると共に、すぐ隣の磁石と 反対方向に向けられている請求項14に記載のプラズマ反応装置。 18.装置壁の上部に隣接した直流磁場の前記発生源が、装置壁の上部にほぼ垂 直に向けられたN極を有するディスク状磁石を備えている請求項14に記載のプ ラズマ反応装置。 19.装置壁の上部に隣接した直流磁場の前記発生源は、N極が装置壁の上部に 対してほぼ垂直に向けられた磁場を発生させる直流電磁石を備えてなる請求項1 4に記載のプラズマ反応装置。 20.直流電源と、前記電極にほぼ垂直な直流磁場を発生させるために、当該反 応装置を取り囲むと共に、前記直流電源に接続されたコイルとを更に備えてなる 請求項1に記載のプラズマ反応装置。 21.プラズマが生成される反応室を取り囲む非伝導性の装置壁と、反応室内に ガスを供給するために前記装置壁に接続されたガス供給源と、反応室からガスを 排出するために反応室に接続された排出システムと、前記第1の高周波電源から の電力を反応室に誘導的に結合するために前記装置壁を取り囲んでいる誘導コイ ルと、加工時にウエハが配置されるべき場所に隣接した電極と、前記誘導コイル に対する周波数f1の高周波電源となり、かつ前記電極に対して別個に調節可能 な周波数fbの電圧を供給する高周波電源とを備えてなるプラズマ反応装置。 22.前記誘導コイルは前記電極にほぼ垂直な磁場を反応室内に生じ、前記誘導 コイルへの信号の時間的変動によって誘導された電場は、その誘導電場が前記電 極の電圧に直接に影響を及ぼさないように、前記電極に対してほぼ平行になる請 求項21に記載のプラズマ反応装置。 23.前記高周波電源は前記誘導コイルに対するよりも前記電極に対して少ない 電力を供給し、前記反応室内に作り出されたプラズマは、前記誘導コイルへの電 力に応じたイオン密度となる請求項21に記載のプラズマ反応装置。 24.前記電極への信号は、200ボルト未満のピーク対ピーク電圧幅を有して おり、ウエハのソフトエッチングに好適である請求項23に記載のプラズマ反応 装置。 25.誘導結合電力は、0.1MHz〜6MHzの範囲にある周波数f1を有す る請求項21に記載のプラズマ反応装置。 26.誘導結合電力は周波数f1であり、静電結合電力は前記周波数f1よりも 大きい周波数f2を有する請求項21に記載のプラズマ反応装置。 27.静電結合電力の周波数fbは、13.56MHz、27.12MHz及び 40.68MHzから選択される請求項21に記載のプラズマ反応装置。 28.非伝導性の装置壁によって囲まれた反応室にガスを供給する工程と、反応 室からガスを排気する工程と、 反応室に誘導的に電力を供給する工程と、反応室を取り囲むファラデーシールド で反応装置を遮蔽する工程とを備えてなるプラズマ反応装置におけるウエハの加 工方法。 29.前記ファラデーシールドは移動可能であると共に、更に、非伝導性の装置 壁とファラデーシールドとの間隔を調整する工程を含んでなる請求項28に記載 のプラズマ反応装置におけるウエハの加工方法。 30.反応室内の電子を上部壁で磁気的に反射する工程を更に含んでなる請求項 28に記載のプラズマ反応装置におけるウエハの加工方法。 31.反応室内に電力を静電的に供給する工程を更に含んでなる請求項28に記 載のプラズマ反応装置におけるウエハの加工方法。 32.静電結合電力は反応室のプラズマに対してキャパシタンスCoioclr odeを有する電極によって供給され、前記ファラデーシールドは、当該ファラ デーシールドと非伝導性装置壁との結合体と、プラズマとの間にキャパシタンス Cwaliを生じると共に、更にこの方法は、数値1を含む範囲にわたって変化 する比率(Colectrode/Cwall)を生じる範囲にわたり、非伝導 性装置壁とファラデーシールドとの間隔を調節する工程を含んでなる請求項31 に記載のプラズマ反応装置におけるウエハの加工方法。 33.誘導結合電力は、0.1MHz〜6MHzの範囲にある周波数で提供され 、静電結合電力は、13.56MHz、27.12MHz又は40.68MHz から選択されるの周波数で提供される請求項31に記載のプラズマ反応装置にお けるウエハの加工方法。 34.前記反応室内への静電結合電力に利用される電極にほぼ垂直な方向に、前 記反応室内に直流磁場を生じさせる工程を更に備えてなる請求項33に記載のプ ラズマ反応装置におけるウエハの加工方法。
JP50409991A 1990-01-04 1991-01-02 低周波誘導型高周波プラズマ反応装置 Expired - Lifetime JP3381916B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US46070790A 1990-01-04 1990-01-04
US460,707 1990-01-04

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2001347159A Division JP3691784B2 (ja) 1990-01-04 2001-11-13 低周波誘導型高周波プラズマ反応装置

Publications (2)

Publication Number Publication Date
JPH05502971A true JPH05502971A (ja) 1993-05-20
JP3381916B2 JP3381916B2 (ja) 2003-03-04

Family

ID=23829764

Family Applications (2)

Application Number Title Priority Date Filing Date
JP50409991A Expired - Lifetime JP3381916B2 (ja) 1990-01-04 1991-01-02 低周波誘導型高周波プラズマ反応装置
JP2001347159A Expired - Lifetime JP3691784B2 (ja) 1990-01-04 2001-11-13 低周波誘導型高周波プラズマ反応装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2001347159A Expired - Lifetime JP3691784B2 (ja) 1990-01-04 2001-11-13 低周波誘導型高周波プラズマ反応装置

Country Status (5)

Country Link
US (1) US5534231A (ja)
EP (1) EP0507885B1 (ja)
JP (2) JP3381916B2 (ja)
DE (1) DE69128345T2 (ja)
WO (1) WO1991010341A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04290428A (ja) * 1990-12-03 1992-10-15 Applied Materials Inc Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
WO1998042012A1 (fr) * 1997-03-17 1998-09-24 Matsushita Electric Industrial Co., Ltd. Procede et dispositif permettant le traitement de plasma
US6836073B2 (en) 2002-06-10 2004-12-28 Tokyo Ohka Kogyo Co., Ltd. Simultaneous discharge apparatus

Families Citing this family (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
DE69531880T2 (de) * 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
ATE181637T1 (de) * 1994-10-31 1999-07-15 Applied Materials Inc Plasmareaktoren zur halbleiterscheibenbehandlung
US5607542A (en) * 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5688358A (en) * 1995-03-08 1997-11-18 Applied Materials, Inc. R.F. plasma reactor with larger-than-wafer pedestal conductor
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5667701A (en) * 1995-06-07 1997-09-16 Applied Materials, Inc. Method of measuring the amount of capacitive coupling of RF power in an inductively coupled plasma
DE19521548A1 (de) * 1995-06-13 1996-12-19 Ipsen Ind Int Gmbh Verfahren und Vorrichtung zur Steuerung der elektrischen Stromdichte über einem Werkstück bei der Wärmebehandlung im Plasma
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5633506A (en) * 1995-07-17 1997-05-27 Eaton Corporation Method and apparatus for in situ removal of contaminants from ion beam neutralization and implantation apparatuses
US6794301B2 (en) * 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6017221A (en) * 1995-12-04 2000-01-25 Flamm; Daniel L. Process depending on plasma discharges sustained by inductive coupling
US5965034A (en) 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5964949A (en) * 1996-03-06 1999-10-12 Mattson Technology, Inc. ICP reactor having a conically-shaped plasma-generating section
TW327236B (en) * 1996-03-12 1998-02-21 Varian Associates Inductively coupled plasma reactor with faraday-sputter shield
DE19635136A1 (de) * 1996-08-30 1998-03-05 Galvano T Electroforming Plati HF-durchlässiges Vakuumgefäß mit integriertem Faraday-Schirm
US6056848A (en) 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
TW403959B (en) 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
AU7291398A (en) 1997-05-06 1998-11-27 Thermoceramix, L.L.C. Deposited resistive coatings
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US7166816B1 (en) * 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US6369348B2 (en) 1997-06-30 2002-04-09 Applied Materials, Inc Plasma reactor with coil antenna of plural helical conductors with equally spaced ends
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
CN1213782A (zh) * 1997-10-02 1999-04-14 三星电子株式会社 一种光波导装置的制造方法
US6379576B2 (en) 1997-11-17 2002-04-30 Mattson Technology, Inc. Systems and methods for variable mode plasma enhanced processing of semiconductor wafers
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6015597A (en) * 1997-11-26 2000-01-18 3M Innovative Properties Company Method for coating diamond-like networks onto particles
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
EP1073779A4 (en) * 1998-04-13 2007-05-30 Tokyo Electron Ltd IMPEDANCE CHAMBER REDUCED
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6117401A (en) * 1998-08-04 2000-09-12 Juvan; Christian Physico-chemical conversion reactor system with a fluid-flow-field constrictor
US6207583B1 (en) 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6028286A (en) * 1998-12-30 2000-02-22 Lam Research Corporation Method for igniting a plasma inside a plasma processing reactor
EP1204851A1 (en) * 1999-07-21 2002-05-15 Dako A/S A method of controlling the temperature of a specimen in or on a solid support member
US6143144A (en) * 1999-07-30 2000-11-07 Tokyo Electronlimited Method for etch rate enhancement by background oxygen control in a soft etch system
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6805139B1 (en) 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
FR2805185B1 (fr) * 2000-02-22 2002-09-20 St Microelectronics Sa Procede de nettoyage a passivation exempt d'oxygene dans un reacteur plasma a couplage inductif
US6564810B1 (en) 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
US6422173B1 (en) 2000-06-30 2002-07-23 Lam Research Corporation Apparatus and methods for actively controlling RF peak-to-peak voltage in an inductively coupled plasma etching system
CN1320594C (zh) * 2000-03-31 2007-06-06 拉姆研究公司 感应耦合的等离子体腐蚀装置及其方法
US6531030B1 (en) 2000-03-31 2003-03-11 Lam Research Corp. Inductively coupled plasma etching apparatus
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US20040262146A1 (en) * 2000-10-02 2004-12-30 Platt Robert C. Sterilization system plasma generation control
US6919543B2 (en) 2000-11-29 2005-07-19 Thermoceramix, Llc Resistive heaters and uses thereof
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
DE10138938A1 (de) * 2001-08-08 2003-02-20 Bosch Gmbh Robert Verfahren und Vorrichtung zur Sterilisation von Behältnissen
US6955177B1 (en) * 2001-12-07 2005-10-18 Novellus Systems, Inc. Methods for post polysilicon etch photoresist and polymer removal with minimal gate oxide loss
US6946054B2 (en) 2002-02-22 2005-09-20 Tokyo Electron Limited Modified transfer function deposition baffles and high density plasma ignition therewith in semiconductor processing
AU2003270735A1 (en) * 2002-09-18 2004-04-08 Mattson Technology, Inc. System and method for removing material
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US20040129221A1 (en) * 2003-01-08 2004-07-08 Jozef Brcka Cooled deposition baffle in high density plasma semiconductor processing
US7183514B2 (en) 2003-01-30 2007-02-27 Axcelis Technologies, Inc. Helix coupled remote plasma source
US7232767B2 (en) * 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
US7100954B2 (en) 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US6991003B2 (en) * 2003-07-28 2006-01-31 M.Braun, Inc. System and method for automatically purifying solvents
US7276122B2 (en) * 2004-04-21 2007-10-02 Mattson Technology, Inc. Multi-workpiece processing chamber
US20050258148A1 (en) * 2004-05-18 2005-11-24 Nordson Corporation Plasma system with isolated radio-frequency powered electrodes
US20070186953A1 (en) * 2004-07-12 2007-08-16 Savas Stephen E Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
US7400096B1 (en) * 2004-07-19 2008-07-15 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Large area plasma source
US20060051965A1 (en) * 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US8017029B2 (en) 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
JP2008288437A (ja) * 2007-05-18 2008-11-27 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
US20090004873A1 (en) * 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
EP2053631A1 (fr) * 2007-10-22 2009-04-29 Industrial Plasma Services & Technologies - IPST GmbH Procédé et dispositif pour le traitement par plasma de substrats au défilé
JP2009164365A (ja) * 2008-01-08 2009-07-23 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
CN104313529A (zh) * 2008-05-01 2015-01-28 萨莫希雷梅克斯公司 制造烹饪器具的方法
WO2011123124A1 (en) 2010-03-31 2011-10-06 Colorado State University Research Foundation Liquid-gas interface plasma device
US9288886B2 (en) 2008-05-30 2016-03-15 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
WO2009146439A1 (en) 2008-05-30 2009-12-03 Colorado State University Research Foundation System, method and apparatus for generating plasma
US8277672B2 (en) * 2009-04-17 2012-10-02 Tiza Lab, LLC Enhanced focused ion beam etching of dielectrics and silicon
US8222822B2 (en) * 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
JP5451324B2 (ja) * 2009-11-10 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
EP2552340A4 (en) 2010-03-31 2015-10-14 Univ Colorado State Res Found PLASMA DEVICE WITH LIQUID GAS INTERFACE
CN103503579B (zh) * 2011-02-03 2017-02-22 泰克纳等离子系统公司 高性能感应等离子体焰炬
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
CN104342632B (zh) * 2013-08-07 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 预清洗腔室及等离子体加工设备
SG11202011069RA (en) 2018-06-14 2020-12-30 Mks Instr Inc Radical output monitor for a remote plasma source and method of use
US20210291138A1 (en) * 2018-07-11 2021-09-23 Board Of Trustees Of Michigan State University Vertically oriented plasma reactor
US11545343B2 (en) 2019-04-22 2023-01-03 Board Of Trustees Of Michigan State University Rotary plasma reactor
US11049692B2 (en) 2019-07-17 2021-06-29 Mattson Technology, Inc. Methods for tuning plasma potential using variable mode plasma chamber
US11189464B2 (en) * 2019-07-17 2021-11-30 Beijing E-town Semiconductor Technology Co., Ltd. Variable mode plasma chamber utilizing tunable plasma potential

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3715625A (en) * 1971-01-12 1973-02-06 Atomic Energy Commission Plasma generator
US4362632A (en) * 1974-08-02 1982-12-07 Lfe Corporation Gas discharge apparatus
US4116793A (en) * 1974-12-23 1978-09-26 Telic Corporation Glow discharge method and apparatus
US4252608A (en) * 1979-03-16 1981-02-24 The United States Of America As Represented By The United States Department Of Energy Generating end plug potentials in tandem mirror plasma confinement by heating thermal particles so as to escape low density end stoppering plasmas
JPS601952B2 (ja) * 1980-01-25 1985-01-18 三菱電機株式会社 プラズマエツチング装置
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
US4450787A (en) * 1982-06-03 1984-05-29 Rca Corporation Glow discharge plasma deposition of thin films
JPS5984528A (ja) * 1982-11-08 1984-05-16 Nec Kyushu Ltd プラズマエツチング装置
US4600563A (en) * 1985-02-05 1986-07-15 Psi Star Incorporated Plasma reactor with voltage transformer
JPH0654644B2 (ja) * 1985-10-04 1994-07-20 株式会社日立製作所 イオン源
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5304282A (en) * 1991-04-17 1994-04-19 Flamm Daniel L Processes depending on plasma discharges sustained in a helical resonator
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04290428A (ja) * 1990-12-03 1992-10-15 Applied Materials Inc Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
WO1998042012A1 (fr) * 1997-03-17 1998-09-24 Matsushita Electric Industrial Co., Ltd. Procede et dispositif permettant le traitement de plasma
US6177646B1 (en) 1997-03-17 2001-01-23 Matsushita Electric Industrial Co, Ltd. Method and device for plasma treatment
US6836073B2 (en) 2002-06-10 2004-12-28 Tokyo Ohka Kogyo Co., Ltd. Simultaneous discharge apparatus

Also Published As

Publication number Publication date
DE69128345D1 (de) 1998-01-15
JP3381916B2 (ja) 2003-03-04
EP0507885B1 (en) 1997-12-03
JP3691784B2 (ja) 2005-09-07
EP0507885A1 (en) 1992-10-14
US5534231A (en) 1996-07-09
JP2002237489A (ja) 2002-08-23
DE69128345T2 (de) 1998-03-26
WO1991010341A1 (en) 1991-07-11

Similar Documents

Publication Publication Date Title
JPH05502971A (ja) 低周波誘導型高周波プラズマ反応装置
KR101333924B1 (ko) 에칭 방법, 컴퓨터 판독 가능한 기록 매체, 및 플라즈마 처리 시스템
US6143140A (en) Method and apparatus to improve the side wall and bottom coverage in IMP process by using magnetic field
US5902461A (en) Apparatus and method for enhancing uniformity of a metal film formed on a substrate with the aid of an inductively coupled plasma
US7491649B2 (en) Plasma processing apparatus
US9697993B2 (en) Non-ambipolar plasma ehncanced DC/VHF phasor
US20040108469A1 (en) Beam processing apparatus
US6819053B2 (en) Hall effect ion source at high current density
WO2002078041A2 (en) Neutral particle beam processing apparatus
JP2004505459A (ja) リングの形状の高密度プラズマの生成源とその生成方法
JPH06283470A (ja) プラズマ処理装置
JPH0770754A (ja) 基板を被覆する装置
US6909087B2 (en) Method of processing a surface of a workpiece
US6468387B1 (en) Apparatus for generating a plasma from an electromagnetic field having a lissajous pattern
US20040119006A1 (en) Neutral particle beam processing apparatus
KR100196038B1 (ko) 헬리콘파플라즈마처리방법 및 장치
EP0789506B1 (en) Apparatus for generating magnetically neutral line discharge type plasma
US5470426A (en) Plasma processing apparatus
JPS61199078A (ja) 表面処理装置
JP3177573B2 (ja) 磁気中性線放電プラズマ処理装置
Lieberman et al. Plasma generation for materials processing
JP4384295B2 (ja) プラズマ処理装置
JPH0790632A (ja) 放電プラズマ処理装置
JP2000323463A (ja) プラズマ処理方法
JP2930552B2 (ja) プラズマ処理方法及びプラズマ処理装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081220

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091220

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091220

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101220

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111220

Year of fee payment: 9