KR20010062663A - 고밀도 플라즈마 반응로내의 질화 실리콘의 인시튜 증착및 집적화 - Google Patents

고밀도 플라즈마 반응로내의 질화 실리콘의 인시튜 증착및 집적화 Download PDF

Info

Publication number
KR20010062663A
KR20010062663A KR1020000081114A KR20000081114A KR20010062663A KR 20010062663 A KR20010062663 A KR 20010062663A KR 1020000081114 A KR1020000081114 A KR 1020000081114A KR 20000081114 A KR20000081114 A KR 20000081114A KR 20010062663 A KR20010062663 A KR 20010062663A
Authority
KR
South Korea
Prior art keywords
layer
plasma
fsg
depositing
chamber
Prior art date
Application number
KR1020000081114A
Other languages
English (en)
Other versions
KR100726517B1 (ko
Inventor
총키앙 후아
카스라 크하제니
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010062663A publication Critical patent/KR20010062663A/ko
Application granted granted Critical
Publication of KR100726517B1 publication Critical patent/KR100726517B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide

Abstract

본 발명은 기판 상에 실리콘 산화물층을 증착하는 단계 및 산소로 유전층을 처리하는 단계를 포함하는 기판 상에 유전막을 증착하는 방법에 관한 것이다. 피크 높이 비율로 측정되고 HDP CVD에 의해 증착된 7% 이상의 플루오르 함유량을 가지는 FSG층은 산소 플라즈마로 처리된다. 산소 처리는 막을 안정화시킨다. 본 발명의 선택적 실시예에서 질화 실리콘 등의 물질로 구성된 박막(<1000Å의 두께)층은 저압 스트라이킹을 이용하여 FSG층상에 증착된다. 저압 스트라이킹은 챔버내의 압력이 5 내지 10 밀리토르가 되도록 처리 가스를 유입시키고, 용량적으로 커플링될 수 있는 약한 플라즈마를 형성하는데 충분한 시간 주기동안 바이어스 전압을 턴 온시킴으로써 달성될 수 있다. 약한 플라즈마가 성립된 후에 소스 전압은 턴 온되고 다음으로 바이어스 전압이 턴 오프된다. 저압 스트라이킹을 이용하여 증착된 질화 실리콘층은 우수한 균일성, 강력한 접착력을 나타내고 하부층에서 배출된 가스를 방지한다.

Description

고밀도 플라즈마 반응로내의 질화 실리콘의 인시튜 증착 및 집적화 {IN SITU DEPOSITION AND INTEGRATION OF SILICON NITRIDE IN A HIGH DENSITY PLASMA REACTOR}
본 발명은 반도체 기판 처리 동안 유전층의 증착 처리공정 및 장치에 관한 것이다. 보다 구체적으로는, 본 발명은 고밀도 플라즈마 화학기상증착 반응로내에 플루오르실리케이트 유리 및 질화 실리콘을 증착 및 집적하는 방법에 관한 것이다.
반도체 디바이스의 크기는 계속 감소되어, 제조된 웨이퍼 당 더 많은 디바이스 및 더 빠른 디바이스가 제공된다. 수 십년전에 반도체 집적회로가 도입된 이후로, 집적회로는 일반적으로 각각 새로운 세대의 디바이스를 이용하여 더 많은 트랜지스터가 더 작은 공간을 차지하게 되었다. 현재, 일부 디바이스는 피처(feature) 사이의 간격이 0.25μm 미만으로 제조되고 있다. 일부의 경우에 디바이스 피처 사이의 공간은 0.18μm 이하이다. 이 피처들의 예는 금속층상에 패턴화된 도선 또는 트래이스이다. 알루미늄은 일반적으로 이러한 트래이스에서 사용되어왔다. 최근에, 구리로 이루어진 트래이스를 증착하는 기술이 개발되었다. 구리는 알루미늄보다 도전성이 큰 물질이기 때문에 이러한 트래이스에 바람직하다.
이산화 실리콘와 같은 유전물질로 이루어진 비도전층은 종종 패턴화된 금속층 사이 및 그 위에 증착된다. 이 유전층은 금속층을 다른 금속층으로부터 절연시키고, 금속층내의 도전성 피처가 서로 절연시키며, 금속층 및/또는 피처를 물리적 또는 화학적 손상으로부터 보호하는 여러 목적을 사용할 수 있다. 도전성 피처 사이의 간격 또는 갭이 더 작아질수록, 형성된 디바이스의 캐패시턴스는 더 커진다. 증가된 캐패시턴스는 집적회로의 동작속도를 감소시킬 수 있다. 캐패시턴스를 감소시킬 수 있는 한 가지 방법은 저유전 상수를 가진 절연물질을 사용하는 것이다. 이러한 물질은 종종 낮은 k 유전체라고 한다.
저유전체를 증착하여 갭을 충전시키는 한 가지 방법은 할로겐 원자를 이산화 실리콘층에 결합시키는 것이다. 할로겐 결합의 예는 1995년 10월 25일 출원된 "할로겐-도핑된 이산화 실리콘막의 막 안정성을 개선하는 방법 및 장치"라는 미국 특허출원번호 08/548,391 및 1995년 10월 2일 출원된 "더 큰 안정성을 갖는 F-도핑막을 증착하기 위한 SiF4의 사용"이라는 08/538,696에서 개시되어 있으며, 본 발명에서 이 출원건들은 참조로써 통합된다. 할로겐이 SiOF 네트워크 전체의 분극성을 감소시키는 음전기 원자이기 때문에 플루오르와 같은 할로겐 도펀트는 이산화 실리콘막의 유전상수를 낮춘다. 플루오르 도핑 이산화 실리콘막은 종종 플로오로실리케이트 유리(FSG)막이라고 한다.
플루오르 함유량은 일반적으로 유전상수와 같은 FSG층의 특성을 결정한다. FSG의 플루오르 함유량은 2개의 흡수 피크치의 높이인 비율의 관점에서 푸리에 변환 적외선 분광법(FTIR)으로 측정된다. 제 1(SiF) 피크치의 높이는 일반적으로 Si-F 본드 존재를 나타낸다. 제 2(SiO) 피크치의 높이는 일반적으로 Si-O 본드의 존재를 나타낸다. FSG의 평균 플루오르 농도는 다음과 같은 백분율 피크 높이비(%PHR)로 측정된다:
FSG의 플루오르 함유량에 대한 직접적인 측정은 %PHR이 FSG층의 원자 %플루오르(원자 %F)에 대략 비례한다는 것을 보여준다. 원자 %F는 다음의 공식에 의해 종종 근사화된다:
원자 %F = (%PHR)×K,
여기서 K는 실험으로 결정된 상수이다. 플루오르 농도(원자 %F)는 제 2 이온 질량 분광법(SIMS), 감쇄된 전체 반사(ATR), 또는 성분 분석과 같은 방법에 의해 결정될 수 있다.
유전층을 증착하는 일 방법은 유리의 화학적 반응에 의한 증착 방법이다.이러한 증착 공정은 화학기상증착(CVD)라고 한다. 열적 CVD 공정은 기판 표면에 반응성 가스를 공급하고 여기서, 열-유도 화학 반응이 일어나서 원하는 막이 형성된다. 몇몇 열적 CVD 공정이 작동되는 고온은 디바이스 구조상의 금속층에 손상을 줄수 있다. 반면에, 플라즈마 증가된 CVD(PECVD) 공정은 고주파(RF) 에너지를 기판 표면에 인접한 반응영역에 용량적으로 커플링시킴으로써 반응 기체의 여기 및/또는 분리를 촉진시키고, 이에 의해 높은 반응성 종의 플라즈마를 생성한다. 방출된 종의 높은 반응성이 화학 반응이 일어나는데 필요한 에너지를 감소시키고 따라서 이러한 CVD 공정에 요구되는 온도를 낮춘다. 불행히도 일부 PECVD 공정은 기본적인 피처의 형태에 따라 증착 속도를 변화시킨다. 이러한 현상들로 인해 갭의 하부에 보이드를 생성할 수 있다.
개선된 갭 충전재는 고밀도 플라즈마 CVD(HDP-CVD) 시스템으로 얻어질 수 있다. HDP-CVD에서, RF 코일은 저압 조건하에서 유도적으로 커플링된 플라즈마를 발생시킨다. 이러한 플라즈마의 밀도는 용량적으로 커플링된 PECVD 플라즈마의 밀도보다 대략 두배 이상 크다. HDP-CVD 시스템에 사용된 저압 챔버는 액티브 종에 긴 평균자유경로를 제공한다. 고밀도와 결합된 긴 평균자유경로는 상당수의 플라즈마 성분이 가장 인접하게 간격진 갭의 가장 깊은 부분까지도 도달할 수 있게 하고, 막에 우수한 갭 충전 능력을 제공한다. HDP-CVD와 관련된 고밀도로 인해 증착 동안 스퍼터링 또한 증가한다. 스퍼터링은 갭의 상부에 증착을 느리게하여 갭이 너무 빠르게 밀접하게 되는 것을 방지한다.
바람직하지 않게 구리 도전성 트래이스를 분리하는 FSG층에 관련된 몇 가지문제점이 있다. 제 1 문제점은 구리가 FSG와 같은 유전물질에서 확산력이 매우 높다는 것이다. 또한, 불완전하게 형성된 FSG층은 증착 공정과 관련된 온도 또는 반응 제품으로부터 습도를 흡수할 수 있다. 구리 확산 및 습도 흡수는 FSG 상부에 또는 FSG층과 구리층 사이에 질화 실리콘(Si3N4) 박막층을 증착함으로써 방지될 수 있다. 질화 실리콘은 확산 장벽으로서 작용한다. 구리는 대략 150 내지 200 옹스트롬 사이의 질화 실리콘의 확산 길이를 가진다. 따라서 200 옹스트롬 이상의 두께의 Si3N4층은 하부 또는 상부의 Si3N4유전층으로 구리가 확산되는 것을 충분히 방지할 수 있다. 바람직하지 않게, 플루오르는 대략 350℃의 온도에서 FSG로부터 배출되는 경향이 있다. 배출되는 플루오르는 상부 Si3N4층에 "버블"을 형성한다. 이 때, 버블은 Si3N4를 얇게 갈라지게 한다.
HDP-CVD를 이용하여 박막을 증착하기 위한 일반적인 한 가지 시퀀스는 아르곤이 챔버로 흐르게 되고, 다음으로 대략 40 밀리토르의 압력에서 아르곤 플라즈마를 스트라이킹하는 것이다. 플라즈마가 스트라이킹되면, 챔버의 압력은 대략 5 밀리토르까지 감소되고(예를 들어, 스로틀 밸브를 개방함으로써) 다음으로 증착가스가 챔버에 삽입되어 막을 증착시킨다. 바람직하지 않게, 이 방법으로 첫 번째 몇 초의 증착동안, 각 가스 노즐이 다른 압력에 놓이기 때문에 증착가스는 균일하게 흐르지 않는다. 증착가스가 흐르기 시작할 때 플라즈마가 이미 온 상태이면 즉시 증착이 일어난다. 따라서, 이미 온 상태인 플라즈마를 가진 가스의 초기 버스트는 두께가 수백 옹스트롬인 비균일한 초기층을 반응시킨다. 막의 비균일성은 보통 다수의(예를 들어, 49) 등거리 점에서 막의 두께를 측정하고 형성된 두께 분포의 폭을 최대치의 절반이 되게 함으로써 결정된다. 전술한 바와 같이 증착된 박막은 일반적으로 대략 10초 이내로 플라즈마를 스트라이킹하는 대략 4.75%의 비균일성을 나타낸다. 비균일성은 대략 30초 후에 대략 3.5%까지 감소되어 대략 60초 후에는 대략 4%까지 천천히 증가될 수 있다.
이것은 초기 비균일층의 두께가 보통 전체 막 두께에 대해 작은 비율을 차지하기 때문에 두꺼운 막(즉, 대략 1000Å 이상)에서는 문제점을 가지지 않는다. 예를 들어, 10,000Å의 두꺼운 막이 300Å 두께의 비균일한 초기층을 가진다고 가정한다. 그러면 비균일한 초기층은 전체 막 두께의 3 퍼센트만을 차지한다. 그러나, 1000Å 미만의 두께를 갖는 막에 대해서, 같은 300Å의 비균일층은 전체 막 두께의 30 퍼센트 이상을 차지한다. 이러한 비균일성은 종종 캡층에서는 바람직하지 않다.
따라서, 본 발명의 목적은 고온에서 강력하게 부착되는 질화 실리콘 캡층을 가지는 안정한 저 유전상수 FSG막과 그 증착 방법을 달성하는 것이다.
도 1a는 본 발명에 따른 고밀도 플라즈마 화학기상증착 시스템의 일 실시예의 개략도이다.
도 1b는 도 1a의 CVD 처리 챔버에 사용될 수 있는 가스 링의 개략 단면도이다.
도 1c는 도 1a의 CVD 처리 챔버에 사용될 수 있는 모니터 및 라이트 펜의 개략도이다.
도 1d는 도 1a의 CVD 처리 챔버를 제어하는데 사용된 실시 공정 제어 컴퓨터 프로그램 제품의 흐름도이다.
도 2는 본 발명에 따른 유전층의 실시예를 포함하는 구조의 단면도이다.
도 3은 본 발명에 따른 저 유전상수를 가지는 막의 단면도이다.
도 4는 본 발명에 따른 저 유전상수를 가지는 막을 증착하는 방법의 실시예 흐름도이다.
도 5는 본 발명에 따른 상부층을 증착하는 방법의 실시예 흐름도이다.
도 6a-6h는 본 발명의 실시예에 따른 합쳐진 이중-다마신 공정을 운용하는부분적으로 형성된 집적회로의 단면도이다.
도 7a-7h는 저 유전상수를 가지는 막에 대한 열 증착 분광법을 도시하고 있다.
*도면의 주요부분에 대한 부호 설명*
10 : HDP-CVD 시스템 13 : 챔버
14 : 돔 16 : 플라즈마 처리 영역
17, 18 : 기판 21 : 기판의 베이스 부분
22 : 몸체 부재 23 : 가열판
24 : 냉각판 25 : 스로틀 몸체
26 : 스로틀 밸브 27 : 게이트 밸브
28 : 터보-분자 펌프 29, 30 : 코일
본 발명의 방법은 기판상에 실리콘 산화물층(예를 들어, FSG)을 증착하고; 이 층위에 질화 실리콘 캡을 형성하기 이전에 유전층을 산소로 처리함으로써 종래 기술의 단점을 극복한다. 산소 처리는 FSG를 안정화시킨다. 본 발명의 일 실시예에서 피크 높이 비율에 의해 측정된 대략 7% 이상의 플루오르 함유량을 가지는 FSG층은 HDP-CVD에 의해 증착되어 산소 플라즈마로 처리된다. 질화 실리콘의 박막(<1000Å 두께)층은 다음에서 더욱 상세히 기술되는 저압 스트라이크를 이용하여 FSG층상에 증착된다.
제 1 유전체는 실라콘 함유 가스, 플루오르 함유 가스 및 산소 함유 가스를 증착 챔버에 유입시켜, 제 1 플라즈마를 발생시키며 제 1 플라즈마를 이용하여 제 1 유전층을 증착시킴으로써 증착될 수 있다. 제 2 유전층은 증착 챔버에 하나 이상의 처리 가스를 유입시키고, 플라즈마를 초기화하기 위해 저압 스트라이킹하며 제 2 플라즈마로 제 2 유전층을 증착시킴으로써 증착될 수 있다. 저압 스트라이킹은 챔버내의 압력이 5 내지 100 밀리토르 사이가 되도록 처리 가스를 유입시켜, 약한 플라즈마를 형성하기에 충분한 시간 주기동안 바이어스 전압을 턴 온시킴으로써 달성될 수 있다. 약한 플라즈마는 용량적으로 커플링될 수 있다. 약한 플라즈마가 형성된 후에, 소스 전압이 턴 온되고 다음으로 바이어스 전압이 턴 오프된다.
선택적으로, 저 유전상수막은 플루오르 대 산소의 제 1 원자 비율로 플루오르실리케이트 유리(FSG)를 증착하고, 플루오르 대 산소의 비율을 감소시키기 위해 FSG를 처리하고, 다음으로 FSG층 상부에 질화 실리콘을 증착함으로써 형성될 수 있다. 바람직하게는, FSG 증착, 산소 처리 및 질화 실리콘 처리는 모두 챔버로부터 기판을 제거하지 않고 동일한 챔버내에서 수행된다.
다른 실시예에서, 저 유전상수막은 2개의 질화 실리콘층 사이에 FSG층이 형성된다. 각각의 질화 실리콘층은 저압 스트라이킹을 이용하여 형성되고 FSG층은 막의 안정성을 향상시키기 위해 산소로 처리된다.
본 발명의 여러 변형예가 반도체 처리 시스템을 제어하는 프로그램 코드로서 구체화될 수 있다. 이 프로그램 코드는 적절한 컴퓨터 판독 가능한 저장 매체에 저장될 수 있다. 프로그램 코드는 증착 챔버, 이 챔버에 커플링된 가스 패널, 이 챔버에 커플링된 플라즈마 발생 시스템, 가스 패널에 커플링된 제어기, 소스 파워 서플라이 및 바이어스 파워 서플라이를 포함하는 증착 장치를 제어하도록 구성될 수 있다. 제어기는 일반적으로 프로그램 코드를 가지는 컴퓨터 판독 가능한 저장 매체를 포함한다.
본 발명의 여러 실시예에 따라 증착된 막은 저 유전상수, 우수한 열적 안정성, 및 강력한 접착력을 나타낸다. 또한, 처리 집적화는 인시튜 HDP-CVD에 의해 2개의 막 모두를 증착함으로써 향상될 수 있다. 본 발명의 실시예는 특히 구리 다마신 응용분야에서 유용하다.
Ⅰ. 서론
본 발명의 방법에 대한 실시예는 저 유전상수를 가지는 안정한 다중층 유전막을 증착하는 것이다. 이 막은 산소로 처리함으로써 안정화되어 1000Å 미만의 균일한 두께를 가지는 질화 실리콘층으로 뒤덮인다. 본 발명의 방법에 대한 특정 실시예는 플루오로실리케이트 유리(FSG)를 증착하는 것이다. FSG의 플루오르 함유량은 %PHR이 관점에서 푸리에 변환 적외선 분광법(FTIR)으로 측정된다. SiF 피크치는 일반적으로 대략 890 cm-1의 웨이브 수를 가진다. SiO 피크치는 일반적으로 대략 1040-1100cm-1의 웨이브 수를 가진다. FSG의 플루오르 농도는 전술한 바와 같이 백분율 피크 높이 비율(%PHR)로 측정된다. 특정 실시예에서, FSG층은 대략 7% 이상의 %PHR에 의해 측정된 플루오르 함유량을 가진다.
저압 스트라이킹(strike)은 플라즈마를 스트라이킹하기 이전에 원하는 가스의 유입을 안정화함으로써 박막층들에 고 균일도를 제공한다. 이 두 층들은 HDP-CVD를 이용하여 연속해서 증착될 수 있고, 이에 의해 공정의 집적화를 향상시킬 수 있다.
Ⅱ. 전형적인 기판 처리 시스템
도 1a는 본 발명에 따른 유전층이 증착될 수 있는 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 시스템(10)의 일 실시예를 도시한다. 이 시스템(10)은 챔버(13), 진공 시스템(70), 소스 플라즈마 시스템(80A), 바이어스 플라즈마 시스템(80B), 가스 전달 시스템(33), 및 원격 플라즈마 세정 시스템(50)을 포함한다.
챔버(13)의 상부는 산화 알루미늄 또는 질화 알루미늄과 같은 세라믹 유전 물질로 구성된 돔(14)을 포함한다. 돔(14)은 플라즈마 프로세싱 영역(16)의 상부 경계를 형성한다. 플라즈마 프로세싱 영역(16)은 하부상에서 기판(17)의 상부면 및 기판지지 부재(18)에 의해 경계가 지어진다.
가열판(23) 및 냉각판(24)은 돔(14)을 덮어씌워서, 열적으로 돔(14)과 결합된다. 가열판(23) 및 냉각판(24)은 대략 100℃ 내지 대략 200℃의 범위에서 돔 온도를 대략 ±10℃ 이내로 제어할 수 있다. 이것은 다양한 처리에 대해 돔 온도를 최적화시킬 수 있다. 예를 들어, 돔을 증착 공정보다 세정 또는 에칭 공정에 대해 높은 온도로 유지시키는 것이 바람직할 수 있다. 정확한 돔 온도 제어는 또한 챔버 내에 조각 또는 입자 수를 감소시켜서 증착된 층 및 기판 사이의 접착력을 향상시킨다.
챔버(13)의 하부는 챔버를 진공계에 연결한 몸체 부재(22)를 포함한다. 기판지지 부재(18)의 베이스 부분(21)이 몸체 부재(22) 상에 장착되고, 몸체 부재(22)로 연속적인 내부면을 형성한다. 기판은 로봇 블래이드(도시되지 않음)에 의해 챔버(13) 측면의 삽입/제거 개구(도시되지 않음)를 통해서 챔버(13) 내부로 그리고 챔버(13) 외부로 이동된다. 리프트 핀(도시되지 않음)은 올라가고 모터(도시되지 않음) 제어시 내려와서 기판을 상부 로딩 위치(57)의 로봇 블래이드에서 하부 프로세싱 위치(56)로 이동시키며, 하부 프로세싱 위치(56)에서 기판은 기판지지 부재(18)의 기판 리시빙 부분(19) 상에 위치한다. 기판 리시빙 부분(19)은 기판 프로세싱 동안 기판지지 부재(18)에 기판을 고정시키는 정전 척(20)을 포함한다. 바람직한 실시예에서, 기판지지 부재(18)는 산화 알루미늄 또는 알루미늄 세라믹 물질로부터 얻어진다. 기판지지 부재(18)는 일반적으로 기판(17)의 온도를 조정하는 가열 및 냉각 엘리먼트에 설비된다. 예를 들어, 기판지지 부재(18)는 저항성 히터 등의 가열 엘리먼트를 포함할 수 있다. 선택적으로, 기판(17)은 전체적으로 또는 부분적으로 챔버(13)내의 플라즈마로부터의 이온과 에너지를 가진 충돌을 함으로써 가열될 수 있다. 기판지지 부재(18)는 종종 냉각 유체관 등의 열 교환 엘리먼트를 포함한다. 기판 수용 부분(19)은 후면 가스(예를 들어, 헬륨) 등의 열 전달 매체를 분배하는 홈 또는 채널을 포함할 수 있다. 이 열 전달 매체는 진공에서보다 높은 열 전도율을 가지며 이것은 기판지지 부재(18) 및 기판(17) 사이의 열전달을 용이하게 한다.
진공계(70)는 트윈-블래이드 스로틀 밸브(26)를 수용하고 게이트 밸브(27) 및 터보-분자 펌프(28)에 부착되는 스로틀 몸체(25)를 포함한다. 1995년 12월 12일 출원되고, 참고를 위해 본 발명에서 구체화된 공동 계류 중이며, 공동 양도된 미국 특허 출원 번호 08/574,839에서 기술된 바와 같이, 스로틀 몸체(25)는 가스 유입을 최소로 방해하고, 대칭 펌핑을 허용한다. 게이트 밸브(27)는 스로틀 몸체(25)로부터 펌프(28)를 격리시킬 수 있으며, 스로틀 밸브(26)가 완전히 개방될 때 배출 유입을 제한함으로써 챔버 압력을 제한할 수 있다. 스로틀 밸브, 게이트 밸브 및 터보-분자 펌프 장치는 대략 1 밀리토르에서 대략 2 토르 사이에서 챔버 압력을 정확하고 안정하게 제어할 수 있게 한다.
소스 플라즈마 시스템(80A)은 돔(14)에 장착된 상부 코일(29) 및 측면 코일(30)을 포함한다. 대칭 접지 차폐물(도시되지 않음)은 코일 사이의 전기적 결합을 감소시킨다. 상부 코일(29)은 상부 소스 RF(SRF) 발생기(31A)에 의해 파워가 공급되는데 반해, 측면 코일(30)은 측면 SRF 발생기(31B)에 의해 파워가 공급되어, 각 코일의 독립적인 파워 레벨 및 동작 주파수를 허용한다. 이 이중 코일 시스템은 챔버(13)의 방사상 이온 밀도의 제어를 허용하고, 이에 의해 플라즈마 균일성을 향상시킨다. 측면 코일(30) 및 상부 코일(29)은 일반적으로 유도적으로 구동되는데, 이것은 상보 전극을 필요로 하지 않는다. 특정 실시예에서, 상부 소스 RF 발생기(31A)는 공칭적으로 2 MHz에서 최대 2500 와트의 RF 파워를 제공하고 측면 소스 RF 발생기(31B)는 1.8에서 2.2 MHz, 공칭적으로는 2 MHz에서 최대 5000 와트의RF 파워를 제공한다. 상부 및 측면 RF 발생기의 동작 주파수는 플라즈마-발생 효율을 향상시키기 위하여, 공칭 동작 주파수에서 (예를 들어, 각각 1.7-1.9 MHz 및 1.9-2.1 MHz까지) 오프셋 될 수 있다.
바이어스 플라즈마 시스템(80B)은 바이어스 RF(BRF) 발생기(31C) 및 바이어스 매칭 네트워크(32C)를 포함한다. 바이어스 플라즈마 시스템(80B)은 기판 부분(17)을 상보 전극으로 동작하는 몸체 부재(22)에 유도적으로 결합시킨다. 바이어스 플라즈마 시스템(80B)은 소스 플라즈마 시스템(80A)에 의해 기판 표면에 발생된 플라즈마 종(예를 들어, 이온)의 이동을 증가시킨다. BRF 발생기(31C)는 대략 1-100의 범위의 주파수에서 RF 파워를 제공한다. 특정 실시예에서, BRF 발생기(31C)는 13.56 MHz에서 최대 5000와트의 RF 파워를 제공한다.
RF 발생기(31A 및 31B)는 디지털 제어 합성기를 포함하고 대략 1.8에서 대략 2.2 MHz 사이의 주파수 범위에서 동작한다. 당업자에 의해 주지되어 있는 바와 같이, 각 발생기는 챔버로부터 반사된 파워 및 발생기로 궤환된 코일을 측정하고 최저 반사 파워를 얻기 위해 동작 주파수를 조절하는 RF 제어 회로(도시되지 않음)를 포함한다. RF 발생기는 일반적으로 50 ohm의 특성 임피던스로 부하에서 동작하도록 설계된다. RF 파워는 발생기와 다른 특성 임피던스를 가지는 부하로부터 반사될 수 있다. 이것은 부하에 이동된 파워를 감소시킬 수 있다. 또한, 부하에서 발생기로 다시 반사된 파워로 인한 과부하로 발생기에 손상을 줄 수 있다. 플라즈마의 임피던스는 5 ohm 미만에서 900 ohm 초과의 범위일 수 있기 때문에, 다른 요소들 사이에서 플라즈마 이온 밀도에 의존하고, 반사된 파워는 주파수 함수일 수 있기 때문에, 반사된 파워에 따라 발생기 주파수를 조절하면, RF 발생기에서 플라즈마로 이동된 파워를 증가시켜 발생기를 보호한다. 반사된 파워를 감소시키고 효율을 증가시키는 다른 방법은 매칭 네트워크와 관련된다.
매칭 네트워크(32A 및 32B)는 각각 코일(29 및 30)을 가지는 발생기(31A 및 31B)의 출력 임피던스와 매칭된다. RF 제어 회로는 매칭 네트워크 내의 캐패시터 값을 바꿈으로써 두 개의 매칭 네트워크를 튜닝하여 부하가 바뀔 때 발생기를 부하와 매칭시킬 수 있다. 부하에서 발생기로 다시 반사된 파워가 특정 제한치를 초과할 때 RF 제어 회로는 매칭 네트워크를 튜닝할 수 있다. 일정한 매칭을 제공하고 RF 제어 회로가 매칭 네트워크의 튜닝을 효과적으로 방지하는 일 방법은 반사된 파워의 예상치 이상으로 반사된 파워 제한치를 설정하는 것이다. 이것은 일부 조건에서 가장 최근의 조건에서 일정한 매칭 네트워크를 수용함으로써 플라즈마를 안정화시키는데 도움이 될 수 있다.
다른 측정 또한 플라즈마를 안정화시킬 수 있다. 예를 들어, RF 제어 회로가 부하(플라즈마)에 전달되는 파워를 결정하는데 사용될 수 있고 층 증착공정 동안 전달된 파워를 일정하게 유지하기 위하여 발생기 출력 파워를 증가시키거나 감소시킬 수 있다.
가스 전달 시스템(33)은 여러 소스(34A-34F)로부터의 가스를 가스 전달 라인(38)(일부만 도시됨)을 통해서 기판을 프로세싱하기 위해 챔버를 제공한다. 당업자에 의해 이해되는 바와 같이, 소스(34A-34F)를 위해 사용된 실제 소스 및 전달 라인(38)과 챔버(13)의 실제 접속은 챔버(13) 내에서 행해지는 증착 및 세정 공정에 따라 바뀐다. 가스는 가스 링(37) 및/또는 상부 노즐(45)을 통해서 챔버(13)에 삽입된다. 도 1B는 가스 링(37)의 부가적인 세부 사항을 도시한 챔버(13)의 단순화된 부분 단면도이다.
일 실시예에서, 제 1 및 제 2 가스 소스(34A 및 34B), 그리고 제 1 및 제 2 가스 유입 제어기(35A' 및 35B')는 가스 전달 라인(38)(일부만 도시됨)을 통해서 가스 링(37)의 링 플리넘(36)에 가스를 공급한다. 가스 링(37)은 기판에 가스의 균일한 유입을 공급하는 다수의 제 1 소스 가스 노즐(39)(설명을 목적으로 일부만 도시됨)을 가진다. 노즐의 길이 및 각도는 개별 챔버 내에 특정 처리를 위한 균일한 크기 및 가스 이용 효율에 맞출 수 있도록 바뀔 수 있다. 바람직한 실시예에서, 가스 링(37)은 산화 알루미늄 세라믹으로부터 얻어진 12개의 소스 가스 노즐을 가진다.
가스 링(37)은 또한 바람직한 실시예에서, 제 1 소스 가스 노즐(39)과 공동-평면이고 이 노즐보다 짧으며 그리고 일 실시예에서, 몸체 플리넘(41)으로부터 가스를 얻는 다수의 제 2 소스 가스 노즐(40)(그 중 하나만 도시됨)을 가진다. 몇몇 실시예에서, 가스를 챔버(13)에 주입하기 전에 여러 종류의 소스 가스를 혼합하지 않는 것이 바람직하다. 다른 실시예에서, 소스 가스는 몸체 플래눔(plenum)(41) 및 가스 링 플래눔(36) 사이에 구멍(도시되지 않음)을 제공함으로써 가스를 챔버(13)에 주입하기 전에 혼합될수있다. 일실시에에서, 제 3 및 제 4 가스 소스(34C 및 34D), 및 제 3 및 제 4 가스 유입 제어기(35C 및 35D')는 가스 전달 라인(38)을 통하여 몸체 플래눔에 가스를 제공한다. 질소 소스(34F)는 질소 플라즈마를 이용하는 처리 단계 동안 챔버에 대한 가스 링의 제 2 소스 가스 노즐(40)에 질소 가스(N2)를 제공한다. 선택적으로, 질소 가스는 가스 유입 제어기(35F')를 통하여 상부 노즐(45) 같은 다른 또는 부가적인 입구를 통하여 챔버에 전달될수있다. 43B(다른 밸브는 도시되지 않음) 같은 부가적인 밸브는 유입 제어기로부터 챔버로 가스를 차단합니다.
가연성, 유독성 또는 부식성 가스가 사용되는 실시예에서, 증착후 가스 유도 라인에 남아있는 가스를 제거하는 것이 바람직하다. 이것은 밸브(43B) 같은 3 방향 밸브를 사용하여 유도 라인(38A)로부터 챔버(13)를 격리하고 유도 라인(38A)으로부터 진공 포어라인(44)으로 진행시킴으로써 달성됩니다. 도 1A에 도시된 바와같이, 43A 및 43C 같은 다른 유사한 밸브는 다른 가스 유도 라인상에 통합될수있다. 상기 3 방향 밸브는 실제적으로 새지않는 가스 유도 라인(3 방향 밸브 및 매버 사이)의 체적을 최대화하기 위하여 챔버(13)에 밀접하게 배치된다. 부가적으로, 2 방향(온-오프) 밸브(도시되지 않음)는 매스 유입 제어기("MFC") 및 챔버 사이 또는 가스 소스 및 MFC 사이에 배치된다.
도 1A를 다시 참조하여, 챔버(13)는 상부 노즐(45) 및 상부 벤트(vent)(46)를 가진다. 상부 노즐(45) 및 상부 벤트(46)는 가스의 상부 및 측면 유입을 독립적으로 제어할 수 있도록 하여, 필름 균일도를 개선하고 필름 증착 및 도핑 파라미터를 미세하게 조절하게 한다. 상부 벤트(46)는 상부 노즐(45) 주변 환형 개구부이다. 일실시예에서, 제 1 가스 소스(34a)는 소스 가스 노즐(39) 및 상부노즐(45)에 제공한다. 소스 노즐 MFC(35A')은 소스 가스 노즐(39)에 전달된 가스 양을 제어하고 상부 노즐 MFC(35A)은 상부 가스 노즐(45)에 전달된 가스 양을 제어한다. 유사하게, 두개의 MFC(35B 및 35B')는 소스(34B) 같은 단일 소스로부터 상부 벤트(46) 및 제 2 소스 가스 노즐(40)로 가스의 유입을 제어하기 위하여 사용될수있다. 상부 가스 노즐(45) 및 상부 벤트(46)에 공급된 가스는 가스를 챔버(13)에 흘리기전에 분리되어 유지되거나, 가스는 챔버(13)에 흐르기전에 상부 플래눔(48)에서 혼합된다. 동일 가스의 분리된 소스는 챔버의 여러 부분에 공급하기 위하여 사용된다.
원격 마이크로웨이퍼 발생 플라즈마 세척 시스템(50)은 챔버 구성요소로부터 증착 잔류물을 주기적으로 세척하기 위하여 제공된다. 세척 시스템은 반응기 공동(53)에서 세척 가스 소스(34E)(예를들어, 분자 플루오르, 니트로겐 트리플루오라이드, 다른 플루오르카본 또는 동등물)로부터 플라즈마를 형성하는 원격 마이크로웨이퍼 발생기(51)를 포함한다. 이런 플라즈마로부터 발생하는 반응 종은 애플리케이터 튜브(55) 및 세척 가스 공급 포트(54)를 통해 챔버(13)로 전달된다. 세척 플라즈마를 포함하기 위하여 사용된 재료(예를들어, 공동 53 및 애플리케이터 튜브 55)는 플라즈마에 의한 공격에 저항한다. 반응기 공동(53) 및 피드 포트(54) 사이의 거리는 실제적으로 짧게 유지되어야 하는데, 그 이유는 바람직한 플라즈마 종의 농도가 반응기 공동(53)으로부터의 거리에 따라 낮아지기 때문이다. 원격 공동에서 세척 플라즈마를 발생하는 것은 효율적인 마이크로웨이퍼 발생기를 사용하도록 하고 챔버가 온도,방사선, 또는 원위치에서 형성된 플라즈마에 존재할 수 있는 글로우 방전의 범버딩에 영향을 받지 않도록 한다. 결과적으로, 정전기 척(20) 같은 비교적 민감한 구성요소는 적소의 플라즈마 세척 처리와 함께 요구된 바와같은 더미 웨이퍼로 커버되거나 보호될 필요가 없다.
시스템 제어기(60)는 시스템(10)의 동작을 제어한다. 바람직한 실시예에서, 제어기(60)는 하드 디스크 드라이브, 플로피 디스크 드라이브(도시되지 않음), 및 프로세서(61)에 결합된 카드 랙 같은 메모리(62)를 포함한다. 카드 랙은 단일 보드 컴퓨터(SBC)(도시되지 않음), 아날로그 및 디지탈 입력/출력 보드(도시되지 않음), 인터페이스 보드(도시되지 않음), 및 스텝퍼 모터 제어기 보드(도시되지 않음)를 포함할 수 있다. 상기 시스템 제어기는 보드, 카드 케이지, 및 접속기 크기 및 형태를 한정하는 버사 모듈러 유럽펀(Versa Modular European)(VME) 표준에 적합하다. VME 표준은 16 비트 데이타 버스 및 24 비트 어드레스 버스를 가지는 것과 같이 버스 구조를 한정한다. 시스템 제어기(31)는 원격 디스크상에 저장된 프로그램 같은 다른 컴퓨터 프로그램을 통하여 또는 하드 디스크 드라이브상에 저장된 컴퓨터 프로그램의 제어하에 동작한다. 컴퓨터 프로그램은 예를들어 타이밍, 가스 혼합물, RF 전력 레벨 및 다른 특정 처리의 파라미터를 지시한다. 사용자 및 시스템 제어기 사이의 인터페이스는 도 1C에 도시된 바와같이 음극선관(CRT)(65) 같은 모니터, 및 광펜(66)을 통하여 있다.
도 1C는 도 1A의 예시적인 CVD 처리 챔버와 관련하여 사용된 예시적인 시스템 사용자 인터페이스의 일부를 도시한다. 시스템 제어기(60)는 컴퓨터 판독가능 메모리(62)에 결합된 프로세서(61)를 포함한다. 바람직하게, 메모리(62)는 하드디스크 드라이브이지만, 메모리(62)는 ROM, PROM 등과 같은 다른 메모리의 일종일 수 있다.
시스템 제어기(60)는 메모리(62)내에서 컴퓨터 판독 가능 포맷으로 저장된 컴퓨터 프로그램(63)의 제어하에 동작한다. 컴퓨터 프로그램은 타이밍, 온도, 가스 유입, RF 전력 레벨 및 다른 특정 처리 파라미터를 가리킨다. 사용자 및 시스템 제어기 사이의 인터페이스는 도 1C에 도시된 바와같이 CRT 모니터(65) 및 광펜(66)을 통하여 있다. 바람직한 실시에에서, 두개의 모니터(65 및 65A), 및 두개의 광펜(66 및 66A)이 사용되고, 하나가 조작자를 위한 세척 룸 벽(65)에 장착되고, 다른 하나가 서비스 기술자용 벽(65A) 뒤쪽에 장착된다. 양쪽 모니터는 동시에 동일 정보를 디스플레이하지만, 단지 하나의 광펜(예를들어, 66)이 인에이블된다. 특정 스크린 또는 기능를 선택하기 위하여, 조작자는 디스플레이 스크린 영역과 접촉하고 펜상의 버튼(도시되지 않음)을 누른다. 접촉된 영역은 예를들어, 색을 변화하거나 새로운 메뉴를 디스플레이함으로써 광펜에 의해 선택된다.
컴퓨터 프로그램 코드는 68000 어셈블리 언어, C, C++, 포트란, 파지컬 또는 다른 언어 같은 임의의 통상적인 컴퓨터 판독가능 프로그래밍 언어로 기입될수있다. 적당한 프로그램 코드는 통상적인 텍스트 에디터를 사용하여 단일 파일 또는 다중 파일에 진입되고 컴퓨터의 메모리 시스템 같은 컴퓨터 사용 매체에 저장되거나 사용된다. 만약 진입된 코드 텍스트가 고레벨 언어이면, 코드는 컴파일되고, 결과 컴파일러 코드는 미리컴파일된 윈도우 라이브러리 루틴의 대상물 코드와 연결된다. 링크된 컴파일 대상물 코드를 실행하기 위하여, 시스템 사용자는 컴퓨터 시스템이 메모리의 코드를 로드하도록 대상물 코드를 호출한다. CPU는 메모리로부터 코드를 판독하고 프로그램에서 식별된 임무를 수행하기 위하여 코드를 실행한다.
도 1D는 컴퓨터 프로그램(70)의 계측정 제어 구조의 블록 다이어그램을 도시한다. 사용자는 광펜 인터페이스를 사용함으로써 CRT 모니터상에 디스플레이된 메뉴 또는 스크린에 응답하여 처리 설정 번호 및 처리 챔버 번호를 처리 선택기 서브루틴(73)에 입력한다. 처리 세트는 특정 처리를 수행하기 위하여 필요한 처리 파라미터의 소정 세트이고, 소정 세트 번호에 의해 식별된다. 처리 선택된 서브루틴(73)은 (ⅰ) 다중챔버 시스템의 목표된 처리 챔버, 및 (ⅱ) 목표된 처리를 수행하기 위하여 처리 챔버를 동작시키기 필요한 처리 파라미터의 목표된 세트를 식별한다. 특정 처리를 수행하기 위한 처리 파라미터는 처리 가스 구성과 유속, 기판 온도, 압력, RF 파워 레벨 같은 플라즈마 조건 및 챔버 돔 온도같은 조건에 관련하며 사용법의 형태로 사용자에게 제공된다. 사용법에 의해 설명된 파라미터는 라이트펜/CRT모니터 인터페이스를 사용하여 입력된다.
처리를 모니터링하기 위한 신호는 시스템 제어기(60)의 아날로그 및 디지털 입력 보드에 의해 제공되며, 처리를 제어하기 위한 신호는 시스템 제어기(60)의 아날로그 및 디지털 출력 보드 상에 출력된다.
처리 시퀀서 서브루틴(75)은 정의된 처리 챔버와 처리 선택 서브루틴(73)으로부터 처리 파라미터의 셋을 수용 및 다양한 처리 챔버의 작동을 제어하기 위한 프로그램 코드를 포함한다. 다중 사용자는 처리 셋 번호 및 처리 챔버 번호를 입력할 수 있거나 또는 단일 사용자는 다중 처리 번호 및 처리 챔버 번호를 입력할수 있다; 시퀀서 서브루틴(75)은 소정의 시퀀스에서 선택된 처리를 예정한다. 바람직하게, 시퀀서 서브루틴(75)은 (ⅰ) 챔버가 사용중인 지를 결정하기 위해 처리 챔버의 작동을 모니터링하는 단계, (ⅱ) 사용되는 챔버에서 어떤 처리가 행해지는 지를 결정하는 단계, 및 (ⅲ) 처리 챔버 및 수행될 처리 타입의 유용성에 기초한 소정의 처리를 실행하는 단계를 수행하기 위한 프로그램 코드를 포함한다. 프로세싱 챔버를 모니터링하는 통상적인 방법은 폴링(polling)처럼 사용될 수 있다. 어떤 처리가 수행될 지를 예정할 때, 시퀀서 서브루틴(75)은 "특정 사용자 입력 요구의 에이지(age) 또는 선택된 처리에 대한 소정의 처리 조건과 비교되어 사용되는 처리 챔버의 현재 조건 또는 시스템 프로그래머는 예정 우선 순위를 결정하기 위해 포함하기를 원하는 다른 소정의 상대적인 요소를 고려하여 설계될 수 있다.
시퀀서 서브루틴(75)이 어떤 챔버 및 처리 셋 조합이 다음에 실행될 지를 결정한 후에, 시퀀서 서브루틴(75)은 시퀀서 서브루틴(75)에 의해 전송되는 처리 셋에 따라 챔버(13) 및 가능한 다른 챔버(도시되지 않음)에서 다중 프로세싱 업무를 제어하는 챔버 관리 서브루틴(77a-c)으로 특정 처리 셋 파라미터를 통과시킴으로써 처리 셋의 실행을 초기화한다. 특히, 챔버 관리 서브루틴(77a)은 도 1A의 HDP-CVD 챔버(13) 등의 CVD 챔버를 제어한다.
챔버 구성 서브루틴의 예는 기판 포지셔닝 서브루틴(80), 처리 가스 제어 서브루틴(83), 압력 제어 서브루틴(85), 플라즈마 제어 서브루틴(87) 및 플라즈마 제어 서브루틴(90)이다. 기술 분야에서의 당업자는 다른 챔버 제어 서브루틴이 어떤 처리가 챔버(13)에서 수행되기 위해 선택될 지에 의존하여 포함될 수 있음을 이해할 것이다. 작동시, 챔버 관리 서브루틴(77a)은 선택적으로 실행될 특정 처리와 관련한 처리 구성 서브루틴을 예정 또는 호출한다. 챔버 관리 서브루틴(77a)은 시퀀서 서브루틴(75)이 처리 챔버 및 실행할 처리를 예정하는 것과 동일한 방식으로 처리 구성 서브루틴을 예정한다. 통상적으로, 챔버 관리 서브루틴(77a)은 다양한 챔버 요소를 모니터링하는 단계, 실행될 처리 셋에 대한 처리 파라미터에 기초하여 어떤 요소가 작동될 필요가 있는 지를 결정하는 단계, 및 모니터링과 결정 단계에 응답하는 챔버 구성 서브루틴의 실행을 일으키는 단계를 포함한다.
특정 챔버 요소 서브루틴의 작동은 도 1A 및 도 1D를 참조하여 설명될 것이다. 기판 포지셔닝 서브루틴(140)은 기판 지지 번호(18) 상으로 기판을 로딩하는데 사용되는 챔버 요소를 제어하기 위한 프로그램 코드를 포함한다. 기판 포지셔닝 서브루틴(140)은 다른 프로세싱이 완결된 후 예를 들어 다중 챔버 시스템에서의 PECVD 반응장치 또는 다른 반응 장치로부터 챔버(13)로 기판의 운송을 제어할 수도 있다.
처리 가스 제어 서브루틴(83)은 처리 가스 구성 및 유속을 제어하기 위한 프로그램 코드를 가진다. 서브루틴(83)은 소정의 가스 유속을 얻기 위해 안전한 셧-오프 밸브의 개/폐 위치를 제어하며 매질 유입 제어기를 램핑 업/램핑 다운한다. 처리 가스 제어 서브루틴(83)을 포함한 모든 챔버 요소 서브루틴은 챔버 관리 서브루틴(77a)에 의해 호출된다. 서브루틴(83)은 소정의 가스 유속비와 관련한 챔버 관리 서브루틴(77a)으로부터 처리 파라미터를 수신한다.
통상적으로, 처리 가스 제어 서브루틴(83)은 가스 공급 라인을 개방하며, 반복적으로 (ⅰ) 필요한 매질 유입 제어기를 판독하며, (ⅱ) 챔버 관리 서브루틴(77a)로부터 수신된 소정의 유속와 판독 결과를 비교하며, (ⅲ) 필요한 대로 가스 공급 라인의 유속을 조정한다. 더욱이, 처리 가스 제어 서브루틴(83)은 불안전한 조건이 검출되었을 때 불안전한 비율 및 안전한 셧-오프 밸브를 활성화 시키기 위한 가스 유속을 모니터링 하기 위한 단계를 포함한다.
소정의 처리에서, 아르곤 같은 불활성 가스는 활동 처리 가스가 유도되기 전에 챔버에서 압력을 안정시키기 위해 챔버(13)로 흘려진다. 이러한 처리를 위해, 처리 가스 제어 서브루틴(83)은 챔버에서 압력을 안정화시키기 위해 필요한 시간의 양에 대응하는 불활성 가스를 챔버(13) 내로 흐르게 하는 단계를 포함한다. 이어 전술된 단계들이 실행될 수도 있다.
게다가, 처리 가스가 예를 들어 테트라오소실란(TEOS:tetraethylorthos
ilane) 같은 액체 선구체로부터 증발될 때, 처리 가스 제어 서브루틴(83)은 버블 어셈블리에서 액체 선구체를 통해 헬륨같은 운반 가스를 버블링하는 단계 또는 액체 주입 밸브로 헬륨을 유도하기 위한 단계를 포함한다. 이러한 타입의 처리을 위해, 처리 가스 제어 서브루틴(83)은 소정의 처리 가스 유속을 얻기 위해 운반 가스의 유입, 버블러에서의 압력 및 버블러 온도를 조절한다. 전술한 대로, 소정의 가스 유속은 처리 파라미터처럼 처리 가스 제어 서브루틴(83)으로 전달된다.
더욱이, 처리 가스 제어 서브루틴(83)은 주어진 처리 가스 유속에 대한 필요한 값을 포함하는 저장된 테이블을 엑세싱함으로써 운반 가스 유속, 버블러 압력 및 버블러 온도를 얻기 위한 단계를 포함한다. 일단 필요한 값이 얻어지면, 운반가스 유속, 버블러 압력 및 버블러 온도는 모니터링되며, 필요한 값과 비교되어 그에 따라서 조정된다.
처리 가스 제어 서브루틴(83)은 독립 헬륨 제어(IHC) 서브루틴(미도시)을가진 웨이퍼 척(chuck)에서 내부 및 외부 통로를 통해 헬륨같은 열 전달 가스의 유입을 제어할 수도 있다. 가스 유입은 열적으로 기판을 척과 결합한다. 통상적인 처리에서, 웨이퍼는 층을 형성하는 플라즈마 및 화학반응에 의해 가열되며, He은 척을 통해 기판을 냉각시키며, 수냉식도 가능하다. 이는 기판을 기판 상에 미리 존재하는 형상을 손상시킬수 있는 온도 이하로 유지시킨다.
압력 제어 서브루틴(85)은 챔버의 배출 부분에서 스로틀 밸브(26)의 개구부의 크기를 조절함으로써 챔버(13)의 압력을 제어하기 위한 프로그램 코드를 포함한다. 스로틀 밸브로 챔버를 제어하는데는 적어도 두 가지 방법이 있다. 제 1 방법은 다른 것들 중에 종합 처리 가스 유입, 처리 챔버의 크기 및 펌핑 용량에 관련한 챔버 압력을 특성화하는 것에 있다. 제 1 방법은 스로틀 밸브(26)를 고정 위치에 셋팅한다. 고정 위치로 셋팅한 스로틀 밸브(26)는 결국 안정 상태의 압력을 초래한다.
택일적으로, 챔버 압력은 예를 들어 마노미터(manometer)로 측정될 수도 있으며, 스로틀 밸브(26)의 위치는 제어 위치가 가스 유입 및 배기 용량에 의해 셋팅된 경계 내에 있다는 것을 나타내는 압력 제어 서브루틴(85)에 따라 조절될 수도 있다. 전자의 방법은 후자의 방법과 관련한 측정, 비교 및 계산이 호출되지 않으므로 더 신속한 챔버 압력 변화를 초래할 수도 있다. 전자의 방법은 챔버 압력의정확한 제어가 요구되지 않는 경우 바람직한 반면, 후자의 방법은 층을 증착하는 동안 정확하고, 반복적이며 안정된 압력이 요구되는 경우 바람직하다.
압력 제어 서브루틴(85)이 실시되는 경우, 목표물, 또는 타겟 압력 레벨은 챔버 매니저 서브루틴(77a)으로부터의 파라미터로서 수신된다. 압력 제어 서브루틴(85)은 챔버에 연결된 1개 이상의 종래의 압력계를 판독함으로써 챔버(13)에서 압력을 측정한다; 타겟 압력과 측정된 값(들)을 비교한다; 타겟 압력에 해당하는 저장된 압력 테이블로부터 비례, 적분, 및 미분(PID) 값을 얻고, 압력 테이블로부터 얻은 PID 값들에 따라 트로틀(throttle) 밸브(26)를 조절한다. 다른 방법으로, 압력 제어 서브루틴(85)은 원하는 압력 또는 압력 범위로 챔버(13)내에서의 압력을 조절하도록 특정한 구멍 크기로 트로틀 밸브(26)를 개방 또는 폐쇄시킬 수 있다.
히터 제어 서브루틴(87)은 기판(17)의 온도 및/또는 챔버(13)의 온도를 제어하는 프로그램 코드를 포함한다. 챔버 온도를 제어하는 적어도 2개의 기본적인 방법이 있다. 제 1 방법은 다른 것들 중에서 플라즈마에 의해 전달된 전체 파워에 관련됨에 따라 기판 온도를 특징 지우는 것에 의존한다. 제 1 방법은 소스 RF 파워 및/또는 바이어스 RF 파워의 레벨을 조절한다. 파워 레벨이 증가하면 일반적으로 기판 온도가 증가한다. 파워 레벨이 감소하면 일반적으로 기판 온도가 감소한다. 제 1 방법은 또한 챔버(13)의 온도를 제어하는데 사용될 수 있다.
선택적으로, 챔버 또는 기판 온도는 예를 들어 열전대 또는 고온계로 측정되어 개별적인 온도 제어 유니트로 제어될 수 있다. 이러한 온도 제어 유니트는 히터 엘리먼트, 냉각 엘리먼트 또는 둘 다를 포함할 수 있다. 이러한 가열/냉각 엘리먼트는 기판지지 부재(18), 챔버(13) 또는 둘 다에 커플링될 수 있다. 일부 챔버는 돔(14)에 대해 개별적인 온도 제어 유니트를 포함한다.
온도 제어 서브루틴(87)이 요구될 때, 원하는 또는 목표 압력 레벨은 챔버 관리 서브루틴(77a)으로부터 파라미터로서 수신된다. 온도 제어 서브루틴(87)은 챔버 및/또는 기판에 접속된 하나 이상의 통상적인 온도 센서를 판독함으로써 챔버(13) 및/또는 기판(17)의 온도를 측정하고, 목표 온도와 측정 값(값들)을 비교하며, 목표 온도에 상응하는 저장된 압력표로부터의 비레, 적분, 및 차(PID) 값을 얻어서, 압력표에서 얻어진 PID 값에 따라, 소스 RF 발생기(31A), 바이어스 RF 발생기(31B) 및 챔버/기판의 가열/냉각 엘리먼트의 일부 조합을 조절하도록 동작한다. 선택적으로, 온도 제어 서브루틴(87)은 소스 RF 발생기(31A) 및/또는 바이어스 RF 발생기(31B)를 원하는 온도 또는 온도 범위까지 기판(17)의 온도를 조정하도록 특정 파워 레벨로 설정할 수 있다.
플라즈마 제어 서브루틴(90)은 RF 발생기(31A, 31B)의 주파수를 조절하고 출력 전력을 세팅하고 매칭 네트워크(32A, 32B)을 터닝하기 위한 프로그램 코드를 포함한다. 플라즈마 제어 서브루틴(90)은 상술된 챔버 컴포넌트 서브루틴과 마찬가지로, 챔버 매니저 서브루틴(77a)에 의해 실시된다. 당업자들은 기판 온도가 플라즈마의 제어에 의해 조절되고, 온도 제어 서브루틴(87)이 플라즈마 제어 서브루틴(90) 내에, 전부 또는 일부가 장착될 수 있다는 것을 인식할 것이다.
상술된 하부시스템(subsystem) 및 루틴의 일부 또는 모두를 포함할 수 있는 시스템의 예로는 본 발명을 실시하도록 구성된, 캘리포니아 산타클라라에 위치한Applied Materials, Inc.에서 제작된 ULTIMATM시스템이 있다. 이러한 시스템의 보다 상세한 설명은, 본 명세서에서 참조로 하며 공동 발명자로서 Fred C. Redeker, Farhad Moghadam, Hirogi Hanawa, Tetsuya Ishikawa, Dan Maydan, Shijian Li, Brian Lue, Robert Steger, Yaxin Wang, Manus Wong 및 Ashok Sinha를 포함하며, "Symmetric Tunable Inductively-Coupled HDP-CVD Reactor"란 제목으로 1996년 7월 15일 출원된, 미국 특허 출원 08/679,927호에 개시되어 있다.
Ⅲ. 예시적 구조
도 2는 본 발명의 사용하여 구성될 수 있는 집적 회로(200)의 간략화된 단면도를 나타낸 것이다. 도시된 것처럼, 집적 회로(200)는 전계 산화 영역(220)에 의해 서로 분리되고 전기적으로 절연되어 있는 NMOS 및 PMOS 트랜지스터(203, 206)를 포함한다. 각각의 트랜지스터(203, 206)는 소스 영역(212), 드레인 영역(215) 및 게이트 영역(118)을 포함한다.
프리메탈 유전체(PMD;premetal dielectir)층(221)은 금속층(240)과 콘택(224)으로 구성된 트랜지스터 사이의 연결하여 금속층(240)으로부터 트랜지스터(203, 206)을 분리시킨다. 금속층(240)은 집적 회로(200)에 포함된 4개의 금속층들(240, 242, 244, 246)중 하나이다. 금속층(240, 242, 244, 246) 각각은 상호-금속 유전체(IMD;inter-metal dielectric)층들(227, 228, 229) 각각에 의해 인접한 금속층들과 분리된다. 인접한 금속층들은 비아(226)에 의해 선택된 개구부에 연결된다. 금속층(246) 위로 증착된 보호층(230)이 평탄화처리되며, 이 층은 예를 들어, 장벽층(230a), 갭 충전층(230b), 및 캡층(230c)을 포함한다.
바람직하게는, 갭 충전층들(227b, 228b, 229b 및 230b) 중 적어도 하나는 본 발명의 제 1 실시예에 따라 산소로 처리된 FSG를 포함한다. 더욱 바람직하게는, 캡 충전층들(227c, 228c, 229c 및 230c) 중 적어도 하나는 본 발명의 제 2 실시예에 따라 저압 스트라이킹을 이용하여 증착된다. 본 발명의 제 1 실시예에 따라 산소로 처리된 갭 충전층 위에 본 발명의 제 2 실시예에 따른 캡층을 증착하는 것이 가장 바람직하다.
본 발명의 층은 집적회로(200)에서 도시된 유전층 각각에서 사용될 수 있다. 상기 층은 또한 일부 집적회로에 포함되는 다마신 층에서 사용될 수 있다. 다마신 층에서, 블랭크 층이 기판위에 증착되어, 기판에 선택적으로 에칭되며, 다음으로 금속으로 충전되며 224와 같은 금속 접촉부를 형성하도록 백에칭되거나 연마된다. 금속층이 증착된 후에는, 제 2 블랭크 증착이 수행되어 선택적으로 에칭된다. 이 때 에칭 영역은 금속으로 충전되며 226과 같은 비아를 형성하도록 연마된다.
단순화시킨 집적 회로(100)는 단지 설명을 위한 것임을 이해할 수 있을 것이다. 본 기술분야의 통상의 지식을 가진 자는 마이크로프로세서, 응용 주문형 집적 회로(ASIC), 메모리 장치등의 다른 집적 회로의 제조와 관련하여 본 발명을 실행할 수 있을 것이다.
Ⅳ. 전형적인 저-유전상수 막
본 발명의 실시예에 따라 증착된 유전막은 일반적으로 저 유전상수를 가진다. 본 발명에서 저 유전상수는 도핑되지 않은 실리콘 산화막에 대한 유전상수 미만의 유전상수를 가리킨다. 일반적으로, 실리콘 산화물(SixOy)는 대략 4의 유전상수 k를 가진다. 4 미만의 k를 가지는 막은 저-k 막이라고 한다. 4 이상의 k를 가지는 막은 고-k 막이라고 한다. 저 유전상수는 막의 이산화 실리콘층과 결합되어 플루오로실리케이트 유리(FSG)층을 형성하는 플루오르 원자로부터 생긴다. 이러한 유전막은 금속 사이의 유전(IMD)층 또는 다른 타입의 유전층으로서 사용될 수 있다. 하기되는 특정 실시예는 0.25 미크론에 근접해서 적어도 4:1의 종횡비를 가질 수 있는 구리 트래이스 상에 형성된 IMD 층에 대해 기술한다. 막은 FSG에 강력하게 부착되는 박막 질화 실리콘층을 포함한다. 질화 실리콘층은 구리 및 FSG층 사이에서 확산 장벽으로서 작용한다. 따라서, 이 층은 유전상수를 낮추고, 훌륭한 갭-충전 특성을 가지며 반도체 기판상의 미리 구현되는 구리 구조와 양립된다.
도 3은 이산화 실리콘 이중막(300)을 가진 본 발명 실시예의 단순 단면도이다. 질화 실리콘, 예를 들어 Si3N4의 HDP-CVD 층은 도전성 트래이스(304, 305) 및 기판(306) 위에 증착된다. 기판(306)은 예를 들어, 실리콘 웨이퍼 또는 기판 또는 층이 존재하는 실리콘 웨이퍼일 수 있다. 이 질화 실리콘층은 확산 장벽으로서 작용하며 하부의 금속 트래이스의 신뢰성을 향상시키고, 금속 크래킹 및 전자이동 실패에 대한 압축층이다. 질화 실리콘층(302)은 본 발명의 제 2 실시예에 따라 증착될 수 있다. FSG의 HDP-CVD층(308)은 질화 실리콘층(302)의 표면위에 증착된다. 바람직하게는, FSG층(308)은 통상적인 HDP-CVD에 의해 증착된 후에 산소(312)로 처리된다. 선택적인 캐핑(capping)층(314)이 FSG층을 밀봉하고 일반적인 추후 반도체 공정과 양립하는 표면을 제공하도록 증착될 수 있다. 바람직하게는 캐핑층(314)은 본 발명의 제 2 실시예에 따라 증착된 질화 실리콘층이다.
Ⅴ. 저 유전상수막의 증착
도 4는 본 발명에 따른 증착 방법의 일 실시예의 흐름도이며, 여기서 산소 처리는 FSG층의 안정성을 향상시킨다. 이 실시예에서, 단일 처리 챔버내에서 이 처리가 이루어지지만, 이 공정은 다중챔버 시스템에 적용될 수 있거나, 일련의 다른 챔버 또는 시스템에서 수행될 수 있다. 유사하게, 하기되는 공정 파라미터 8인치 공정 웨이퍼를 위한 것이지만, 이 공정은 10인치 웨이퍼와 같은 다른 웨이퍼를 수용하도록 수정될 수 있다.
웨이퍼는 처리 챔버(단계(402))에서 진공-잠금문 또는 슬릿 밸브를 통해서 기판 지지 부재상에 로딩되어 원하는 처리 위치로 이동된다. 실리콘 소스, 플루오르 소스, 및 산소 소스를 포함하는 처리 가스는 챔버내에 삽입되고 고밀도 플라즈마는 웨이퍼 위에 FSG(단계(404))층을 증착하도록 형성된다. 바람직한 실시예에서, 실리콘 소스는 모노실란(SiH4)이고, 플루오르 소스는 실리콘 소스로도 고려될 수 있는 테트라플루오로실란(SiF4)이며, 산소 소스는 2원자 산호(O2)이다. 선택적으로, 다이실란(Si2H6), 트라이실란(Si3H8), 테트라실란(Si4H10)과 같은 일반적인 공식 SixHy을 가지는 TEOS 또는 다른 실란은 실리콘 소스로서 사용될 수 있다. 유사하게, F2와 같은 다른 가스는 플루오르 소스로서 대체될 수 있다. 오존(O3)은 선택적인 산소 소스로서 사용될 수 있다. 플라즈마는 선택적으로 헬륨 또는 아르곤과 같은 불활성 가스를 포함할 수 있다. 일반적으로 헬륨의 플라즈마보다 아르곤의 플라즈마를 스트라이킹하는 것이 쉽다. 크립톤 및 크세논이 헬륨 및 아르곤보다 비싼편이지만, 네온, 크립톤, 또는 크세논 등의 다른 불활성 가스 또한 사용될 수 있다.
이 가스들은 SiF4에 대해 90 내지 94 분당 기준 입방 센티미터(sccm) 사이, SiH4에 대해 50 내지 54 sccm 사이, 및 O2에 대해 155 내지 165 sccm 사이의 유속로 제공된다. 가스 유속은 SiF4에 대해 대략 94 분당 기준 입방 센티미터(sccm), SiH4에 대해 50 sccm, 및 O2에 대해 160 sccm가 더욱 바람직하다. 챔버의 압력은 일반적으로 설정되어 대략 3.5 내지 6 밀리토르 사이, 바람직하게는 대략 4 밀리토르에서 유지된다. 플라즈마는 단일 또는 혼합된 RF 파워의 적용에 의해 형성될 수 있다. 일반적으로 SRF 발생기(31A)는 대략 1.7 내지 1.9 Hz 사이, 바람직하게는 대략 1.8 Hz의 주파수와 800 내지 1000W 사이, 바람직하게는 대략 800W의 파워레벨로 RF 파워 상부코일(29)을 제공한다. SRF 발생기(31B)는 측면 코일(30)에 2.0 MHz 내지 2.1 MHz 사이의 주파수로 대략 2500 내지 3500 W, 바람직하게는 대략 3000 W 의 RF 파워를 제공한다. 바이어스 RF 파워는 대략 13.56MHz 및 대략 800 내지 2000W 사이, 바람직하게는 대략 1800W의 파워로 기판(17)에 제공된다. 웨이퍼 온도는 일반적으로 380 내지 400℃ 사이에서 유지된다. 챔버 온도는 일반적으로 대략 70 내지 75℃ 사이, 바람직하게는 대략 75℃로 유지된다.
상기 공정조건은 대략 6,000Å 내지 12,000Å, 바람직하게는 대략 8,000-10,000Å의 두께의 FSG층을 형성할 충분한 시간동안 유지된다. 실제 증착시간은 사용된 챔버에 의존한다. 예를 들어, 도 1a-1d의 챔버는 상기 파라미터를 이용하여 대략 90초 후에 FSG층 8000Å을 증착할 수 있다. 이 단계에서, 상기 조건하에서 증착된 FSG막은 플루오르 대 산소의 상대적으로 높은 원자비율을 가진다. 바람직한 실시예에서, FSG층은 산소로 FSG층을 처리하기 전에 %PHR에 의해 측정된 대략 7.8% 내지 대략 8.0% 사이의 플루오르 농도를 가진다. 일반적으로 O2/Si 비율은 대략 1.0 내지 1.2 사이, 바람직하게는 대략 1.1이다. O2/Si 비율은 일반적으로 다음과 같이 O2, SiF4및 SiH4의 유속에 의존한다:
O2/Si = O2/(SiF4+SiH4)
일반적으로, SiF4/SiH4유속 비율은 대략 1.7 내지 1.8 사이, 바람직하게는 1.75이다. 실질적인 유속은 사용된 챔버에 의존할 것이다.
FSG층의 유전 상수 k 및 굴절 지수 n는 FSG막의 플루오르 원자 비율에 의존한다. 일반적으로, 플루오르 함유량이 클수록, k 및 n의 값은 더 낮아진다. 0의원자 %의 즉 도핑되지 않은 실리케이트 유리(USG)의 플루오르 함유량을 가지는 FSG막은 일반적으로 대략 4의 k 값 및 대략 1.46의 굴절지수를 가진다. FSG막이 10의 원자 %의 플루오르로 도핑되면 굴절지수는 3.4 및 3.7 사이이고 굴절지수는 1.40 및 1.43 사이이다. 막의 유전상수는 일반적으로 증착된 막과 공지된 k 값의 기준막의 캐패시턴스 및 전압(C-V) 탐침 측정에 의해 결정된다.
FSG를 증착하는 전술한 방법 이외에, 플루오로화 실리콘 유리(FSG)를 형성하는 여러 상이한 공정이 공정되어 있다. 하나의 선택적인 공정은 PECVD 증착 공정에서 테트라에폭시실란(TEOS)을 가진 트리에폭시플루오로실란(TEFS)을 사용한다. FSG막을 형성하는 다른 선택적인 공정은 PECVD 공정에서 플루오르 소스로서 C2F6를 사용한다. 이러한 FSG 증착 공정은 어플라이드 머티리얼스사에게 양도된 미국특허출원번호 09/075, 592에 기술되어 있다.
FSG층을 형성한 후에, FSG층의 표면은 플루오르 대 산소의 비율을 감소시키기 위해 산소단계(406))로 처리된다. 산소 처리는 예를 들어, 에너지를 가진 산소 이온 또는 에너지를 가진 중성 산소 원자에 충격이 가해져 산소 또는 오존에 노출되는 동안 FSG층을 가열함으로써 성립될 수 있다. 바람직한 실시예에서, FSG층은 이 층이 증착된 동일함 챔버(13) 내의 플라즈마로부터의 산소 이온과 충돌된다. 일반적으로 SiF4및 SiH4유입은 FSG 증착의 마지막 단계에서 정지되지만 산소 유속은 단계(404)에서와 대략 마찬가지로 남아있다. 플라즈마는 증착동안 사용된 소스 RF를 위해 설정한 대략 동일한 파워 및 주파수를 사용하여 유지된다. 바이어스 RF 주파수는 일반적으로 FSG 증착동안에 대해 산소 처리동안 동일한 값을 가지지만, BRF 파워는 일반적으로 증착동안 사용된 값의 거의 1/3 이상으로 증가된다. 더욱 바람직한 실시예에서, BRF 파워는 산소 처리동안 대략 1500 내지 1800W 사이이다.
산소 처리동안, 웨이퍼 온도는 대략 420℃에서 유지된다. 챔버 압력은 대략10초 내지 대략 1분 동안, 바람직하게는 대략 20초 동안 대략 1.8 내지 2.5 밀리토르, 바람직하게는 대략 2 밀리토르에서 유지된다. 처리 시스템을 통한 웨이퍼의 높은 생산량이 바람직하고, 따라서 처리 시간은 가능하면 짧게 유지되야 하지만, 충분한 산소 처리가 계속해서 제공되야 한다. 요구되는 정확한 시간은 전술한 HDP-CVD 공정이 수행되는 방법을 포함하는 많은 계수에 의존할 것이다. 산소는 FSG층으로 통과하며 여기서 FSG층은 더욱 안정적인 막을 형성하기 위해 플루오르와 반응한다. FSG층은 산소 처리 후에 대략 7.5% 내지 대략 7.8% 사이의 %PHR에 의해 측정된 플루오르 농도를 가지는 플루오르를 가진다.
선택적으로, 질화 실리콘 캡 층은 FSG층(단계(408)) 위에 증착될 수 있다. 캡층은 하부층의 더 낮은 유전상수를 얻는데 꼭 필요하지는 않지만, FSG층이 다음의 집적회로 처리 단계와 더욱 양립할 수 있게 할 수 있다. FSG층은 캡층의 형성 이전에 평탄화 또는 고밀도화될 수 있다. 이 캡층은 전술한 공정과 유사한 HDP-CVD 공정을 이용하여 형성될 수 있다. 그러나, 초박막, 즉 1000Å 이하의 증착을 제어하기 위하여, 특별한 저압 스트라이킹이 플라즈마를 초기화하는데 필요하다.
Ⅵ. 저압 스트라이킹을 이용한 캡층의 증착
FSG막 위에 질화 실리콘의 박막 캡층을 증착하는 것이 바람직하다. 다마신 응용분야에서, 구리에 증착된 질화 실리콘은 층의 상부 또는 하부로 구리가 확산되는 것을 방지하는 장벽 역할을 할 수 있다. 선택적으로, FSG 등의 유전층 상에 증착된 질화 실리콘은 에칭을 정지시킬 수 있다. 질화 실리콘의 박막층은 대략 10 원자 백분율 F을 포함하는 FSG에 대한 3.4에 비교해 대략 7의 k 값을 가진다. 복합 유전막의 유효 유전상수는 막을 포함하는 각 층의 두께 및 유전상수에 의존한다. 일반적으로, 각각 유전상수 k1와 k2및 두께 d1및 d2를 가지는 2개의 층으로 구성된 막에 있어서, 유효 유전상수 keff는 각 층에 대한 두께 및 유전상수에 의존한다. 일반적으로, 두꺼운 층은 막의 유효 유전상수에 더 영향을 받는다. 따라서, 질화 실리콘이 FSG보다 훨씬 큰 유전상수를 가지더라도, 질화 실리콘층이 전체 막 두께와 비교해 충분히 얇으면, 막의 유효 유전상수는 FSG의 유전상수와 비슷해질 수 있다.
얇은 것 이외에, 질화 실리콘층은 일반적으로 균일해야 한다. FSG 증착후에 균일한 박막 질화 실리콘층을 증착하기 위하여, 플라즈마를 스트라이킹하여 증착 가스가 흐르는 것이 종종 바람직하다. 도 5는 저압 스트라이킹을 이용한 본 발명의 캡층을 증착하는 방법의 실시예의 흐름도이다. 저압 스트라이킹 방법은 본 출원과 동시에 출원되고 본 발명에서 참조로 사용된 "HDP-CVD 챔버내에서의 저압 스트라이킹"이라는 제목의 문서 번호 AMAT/3272/PDD/KPU3/JW의 공동 양도된 미국특허출원에 기술되어 있다. 도 5에 도시된 방법의 실시예는 도 4의 단계(408)의 바람직한 실시예이다. 방법(500)은 도 4의 단계(406), 즉 전술한 FSG층의 산소 처리 후에 시작된다. 선택적으로, 캡층은 단계(404) 후에 방법(500)에 의해 증착될 수 있다. 이 방법은 플루오르, 실리콘 및 산소 소스를 턴 오프함으로써 단계(502)에서 시작된다. 그러나, 불활성 가스의 어떠한 유입도 유지된다. 이전 단계에서 불활성 가스가 전혀 사용되지 않았다면, 불활성 가스의 유입은 다른 가스를 차단하기이전에 달성된다. 즉, 불활성 가스의 플라즈마는 챔버 내에서 이루어진다. 바람직한 실시예에서, 불활성 가스는 180 내지 200 sccm 사이의 유속로 제공되는 아르곤이다. 소스 RF는 일반적으로 상부 및 측면 코일에 대해 각각 1.8Hz 내지 2.07Hz 사이의 주파수에서 유지된다. 소스 RF 파워는 대략 4000 내지 5000W 사이, 바람직하게는 4500W에서 유지된다. 바이어스 RF는 단계(504)에서 턴 오프되어 막에 충격을 주는 불활성 플라즈마로부터 이온화된 운동 에너지를 감소화시킨다.
기판 온도는 단계(506)에서 성립된다. 질화 실리콘(SixNy)의 캡층에 있어서, 대략 430℃의 기판 온도는 일반적으로 증착 이전에 달성된다. 불활성 플라즈마는 예를 들어, 기판을 플라즈마에 노출함으로써 기판을 가열하는데 사용될 수 있다. 노출 시간은 기판에 대해 요구되는 온도 상승에 의존한다. 일반적으로 기판이 뜨거울수록, 기판을 가열하는데 필요한 시간은 줄어든다. 처리량을 증가시키기 위해서, 일반적으로 하부층이 증착된 후에, 즉 웨이퍼가 이미 가온될 때 가능하면 빨리 캡층을 증착하는 것이 바람직하다. 예를 들어, 캡층이 FSG 증착 후에 즉시 증착되야 한다면, 기판은 이미 매우 뜨겁다. 이러한 환경 하에서, 대략 10초 동안 불활성 플라즈마에 기판을 노출시키는 것은 일반적으로 캡층의 증착을 위한 원하는 온도까지 기판을 가열시키기에 충분하다. 선택적으로, 기판 지지체의 엘리먼트를 가열하는 것은 단독으로 또는 기판을 가열하는 플라즈마와 결합되어 사용될 수 있다. 증착에 필요한 온도까지 도달하면, 소스 RF는 단계(508)에서 턴 오프되지만, 불활성 가스는 계속해서 흐른다. 소스 RF가 없으면 챔버내에 플라즈마는 전혀 존재하지 않는다. 소스 RF가 턴 오프될 때, 증착 가스는 불활성 가스와 혼합하도록 챔버에 삽입된다.
SixNy캡층에 있어서, 증착 가스는 일반적으로 실리콘 소스 및 질소 소스를 포함한다. 바람직한 실시예에서, 실리콘 소스는 SiH4이고 질소 소스는 2가원자 질소(N2)이다. 선택적으로, Si2H6등의 다른 유기-실란은 실리콘 소스로서 사용될 수 있고 암모니아(NH3) 등의 기타 질소 함유 가스는 질소 소스로서 사용될 수 있다.
단계(510)에서 증착 가스의 유속이 이루어진다. SixNy증착의 바람직한 실시예에서, SiH4의 유속은 16 내지 20 sccm 사이이고 N2의 유속은 230 내지 270 sccm 사이이다. 일반적으로, 설정점 유속을 달성하기 위하여 가스 전달 시스템에서의 질량 유입 제어기에 대해 3 내지 6 초 동안 기다리는 것이 필요하다. 정확한 시간량은 가스 전달 시스템의 개별적인 질량 유입 제어기에 의존한다. 챔버 압력은 또한 이 시점에 성립된다. 저압 스트라이킹에 있어서, 챔버 압력은 일반적으로 1 내지 100 밀리토르 사이에 존재한다. 바람직하게는, 챔버 압력은 대략 40 밀리토르 이하에서 존재한다. 질화 실리콘 증착에 있어서, 챔버 압력은 이 단계에서 일반적으로 4 내지 7 밀리토르 사이에서 존재한다.
단계(502) 내지 (508)은 선택적이다. 이 단계들은 동일한 챔버의 하부층을 증착함과 동시에 연속적으로 캡층을 증착하는데 저압 스트라이킹이 이용되는 특정 경우에 사용된다. 선택적으로, 저압 스트라이킹 방법은 단계(510)에서 가스 유속및 챔버 압력에서 시작될 수 있다.
유속 및 챔버 압력이 안정화되면, 단계(512)에서 약한 플라즈마가 챔버내에 성립될 수 있다. 일반적으로, 대략 40 밀리토르 이하의 압력에 있어서, 유도적으로 커플링된 플라즈마보다 용량적으로 커플링된 플라즈마가 쉽게 성립된다. 약한 플라즈마가 성립된 후에, 소스 RF는 단계(514)에서 증착 플라즈마를 성립하도록 턴 온된다. 약한 플라즈마에 의해, 플라즈마는 기판상에 형성된 디바이스에 가해지는 손상을 방지하도록 충분히 낮다. 이러한 용량적으로 커플링된 약한 플라즈마는 직류(D.C.) 또는 RF 바이어스를 기판 지지부재(18)에 인가하여 전계를 성립함으로써 이루어질 수 있다. 특정 일 실시예에서, 용량적으로 커플링된 약한 플라즈마는 최대 1.0 초의 바이어스 주기동안 300W 내지 1000W 사이, 일반적으로는 0.5 내지 1.0초 사이의 파워로 기판 바이어스(예를 들어, BRF 발생기(31C))를 턴 온함으로써 성립된다. 실제 파워는 처리되는 기판의 크기에 어느 정도까지는 의존한다. 예를 들어, 200mm 기판에 있어서 바이어스 파워는 바람직하게는 1500 내지 2000W 사이, 더욱 바람직하게는 대략 1800W이다. 대응 파워 밀도는 바람직하게는 4.8 내지 6.4W/cm2사이, 더욱 바람직하게는 대략 5.7W/cm2이다. 더 크거나 더 작은 바이어스 파워에 있어서 바이어스 파워 밀도는 대략 동일한 범위이고 바이어스 파워 스캐일은 웨이퍼 표면적에 대략 비례한다.
약한 플라즈마가 성립되면, 소스 RF는 단계(514)에서 증착 플라즈마를 성립하도록 턴 온되고 기판 바이어스는 소스 RF가 턴 온됨과 동시에 턴 오프된다. 소스 RF가 턴 온되기 전에 기판 바이어스가 턴 오프되면, 플라즈마는 보통 바람직하지 않게 턴 오프된다. 따라서, 소스 RF 및 바이어스 RF 모두가 턴 온되는 동안 일부 오버래핑 주기가 존재한다. 일반적으로 이 오버래핑 주기는 일반적으로 바이어스 주기의 후반부를 거의 포함한다. 예를 들어, 기판 바이어스가 0.5 내지 1.0 초의 바이어스 주기 동안 턴 온되면, 소스 RF는 기판 바이어스가 턴 온되는 마지막 0.25 내지 0.5초를 오버래핑하는 시간 주기 동안 턴 온된다. 일반적으로, 바이어스 주기 및 오버래핑 주기를 가능하면 짧게 하는 것이 바람직하다. 바이어스 주기 및 오버래핑 주기의 하한은 보통 기판 바이어스 및 소스 RF 신호를 제공하는 발생기 및 전자기기의 응답 속도에 의존한다.
캡층은 증착 플라즈마를 이용하여 단계(516)에서 증착된다. 일반적으로, 기판 바이어스는 질화 실리콘 증착동안 턴 온되지 않는다. 바이어스 RF는 종종 이산화 실리콘과 같은 기타 증착 공정동안 사용된다. 소정의 가스 유속 및 소정의 RF 설정, 및 챔버 압력에 있어서, 증착된 캡층의 두께는 증착 시간에 거의 의존한다. 일반적으로, 증착 시간이 길수록, 막의 더욱 두꺼워진다. 증착 가스의 유속이 플라즈마 스트라이킹 이전에 이미 성립되었기 때문에, 초기 증착은 종래 기술에서 보다 균일하다. 따라서 1000Å 이하의 매우 균일한 두께의 막이 증착될 수 있다. 가장 바람직한 실시예에서, 유속은 Ar에 대해 대략 200 sccm, SiH4에 대해 18 sccm, 및 N2에 대해 250 sccm이고, 소스 RF는 대략 4500W의 총 파워로, 그리고 챔버 압력은 대략 7 내지 8 밀리토르 사이에서 존재한다. 이러한 조건하에서, 50초 내지 60초 사이의 증착 시간은 대략 800Å 내지 1000Å 사이의 두께를 가지는 SixNy막을 형성한다. 본 발명에서 전술한 저압 스트라이킹을 이용하여 증착된 질화 실리콘막은 2.25% 정도로 낮은 비균일성을 나타낸다. 이것은 종래 기술에 비해서 더욱 균일하다. 또한, 저압 스트라이킹 증착된 막의 비균일성은 증착이 시작되기 이전에 적어도 65초까지는 대략 일정하게 유지된다. 따라서, 저압 스트라이킹을 이용하여 증착된 초박막(예를 들어, 대략 300Å)까지도 아주 균일하다.
본 발명의 방법에 대한 실시예는 FSG층의 질화 실리콘 캡층을 증착하는 단계에 대해 전술되었지만, 본 발명의 기타 및 추가 실시예가 본 발명의 기본적인 범위를 벗어나지 않고 고안될 수 있다. FSG의 순서 및 질화 실리콘 증착 단계가 반전될 수 있다. 즉, 박막(<1000Å) 질화 실리콘층은 도 5에 관해서 전술한 바와 같이 저압 스트라이킹을 이용하여 기판상에 증착될 수 있고 다음으로 FSG층 또는 기타 물질이, 예를 들어 HDP-CVD를 이용하여 질화 실리콘 상부에 증착될 수 있다. 질화 실리콘은 FSG 및 하부 기판 사이의 장벽으로서 작용을 한다. 또한, 다른 박막 질화 실리콘층은 제 2 저압 스트라이킹을 이용하여 FSG층의 상부에 캡층으로서 증착될 수 있다. 따라서, FSG는 하부 기판으로부터의 FSG의 조각을 효과적으로 나타내고 FSG 위의 모든 층의 조각을 나타내는 2개의 질화 실리콘 박막층 사이에 "샌드위칭"될 것이다. 이러한 "샌드위치"구조는 예를 들어, 다마신 응용분야에서 바람직하다.
Ⅴ. 전형적인 다마신 공정
IMD 층을 형성하는데 상기에 기술된 하위 k 배리어층 증착을 이용하는 이중 다마신 공정 집적 설계의 예는 도 5(a)-5(h)에 도시된다. 이중 다마신 공정은 도 5(a)에 도시된대로 실리콘 기판(500) 위에 산화물층(502)의 증착으로 시작한다. 제 1 Si-C-H 하위 k 배리어층(504)은 예를 들어, SiH4및 CH4를 사용하는 HDP-CVD 에 의해 상기에 기술된 알칸/시레인 증착 공정을 사용하여 산화물층(502)위에 증착된다. 몇몇 애플리케이션들에서 층(504)은 하드마스크 또는 에칭 중지층으로 동작한다. 제 1 FSG 층(506)은 도 5(b)에 도시된 대로 제 1 포토리소그래피 동안 패턴화된 포토레지스트층(508)으로 증착되고 커버된다. 제 1 FSG 층(506)은 공정 집적을 강화하기 위해 동일 챔버에 의해 증착될 수 있다. 도 5(c)에서, 제 1 에칭은 제 1 FSG 층(506)에서 하드마스크층(504)으로의 갭들(510)의 제 1 세트를 형성한다.
첫번째 에칭 뒤에, 포토레지스트(508)가 예컨대 산화 환경에서 재로 만들므로써 스트립된다. 갭(501)과 첫번째 FSG 층(506)은 알루미늄이나 구리와 같은 층으로 덮인다. 구리의 경우에는, 시드 층(512;도 5c)이 갭(501)과 첫번째 FSG 층(506)위에 도착된다. 첫번째 벌크 구리 층(514)는 도 5d에 보여진 바와 같이 상기 갭(501)을 채우도록 도착된다. 몇몇 응용에서는, 장벽 층(미도시)이 시드 층(512)의 도착에 앞서 첫번째 FSG 층(516)과 갭(510)위에 도착된다. 상기 장벽 층은 구리와 FSG의 혼합 확산을 막는다. 구리 층(514)는 예컨대 CMP에 의해 평면화된다. 구리층(514)의 평면화는 예컨대 상호 연결 구조에서 첫번째 구리 라인 세트(515)를형성한다.
구리층(514), 두번째 장벽층(516), 두번째 FSG층(518), 세번째 장벽층(520) 및 세번째 FSG 층(522)의 평면화 후에, 도 5e에 보여진 바와 같이 IMD층(521)을 형성하도록 도착된다. 층(518,520 및 522)은, IMD 층(521)을 형성하기 위한 처리 통합을 강화하기 위해 동일한 챔버 예컨대 HDP-CVD에 도착된다. 두번째 리소스래피와 에칭은 도 5f에 보여진 바와 같이 층(516,518,520 및 522)을 통하여 구리층(514)까지 바이어스(524)를 만들어 낸다. 도 5(g)에서는, 세번째 리소그리피와 에칭인 두번째 갭 세트(526)를 만들어 낸다. 갭(526)은 두번째 금속 라인 세트를 만들고, 바이어스(524)는 금속 라인의 두번째 세트와 갭(510)과 구리층(514)에 의해 만들어진 첫번째 금속 라인 세트의 상호 연결 세트를 만들어 낸다. 바이어스(524)와 갭(526)은 두번째 벌크 구리층으로 채워지고, 도 5h에 보여진 바와 같이 단련되고 평면화 된다. 갭(526)은 두번째 금속 라인 세트(528)을 만들어 내고 바이어스(524)는 두번째 금속 라인 세트(528)와 첫번째 금속 라인 세트(515)사이의 상호 연결 세트(525)를 만들어 낸다.
현재 구리를 에칭하기 위한 사용가능한 방법이 없기 때문에, 다마신 처리가 구리 상호 연결을 사용하는 장치에서 사용된다. 다마신 처리에 의해 형성된 구조는 갭 채우기 유전체를 필요로 하지 않으며, 일반적으로 금속 라인 알루미늄, 텅스텐, 티타늄 또는 다른 금속들을 하영하여 형성된 유사한 구조들 보다 더 낮은 RC 딜레이를 제공한다. 또한, 갭 채우기 작업은 중요한 문제가 아니기 때문에 더 높은 도착율이 다마신 처리에서 사용된다. 장벽층(506,516 및 520)중 어떤 것도 도2,3(a),3(b),4(a) 및 4(b)에 관하여 위에 설명된 알칸 시레인 장벽 층 도착을 사용해서 도착될 수 있다. 마찬가지로, 질화 실리콘 층으로 한개 이상의 장벽층(506,516 및 520)을 도착하는 것이 바람직하다. FSG 및 장벽층과 마찬가지로 질화 실리콘은 HDP-CVD에 의해 도착될 수 있기 때문에, 이것은 처리 통합의 견지에서 볼때 유리하다.
Ⅷ. 테스트 결과 및 측정
실험에서 FSG층을 가지는 로우 k 막이 산소 처리를 거쳐서 그리고 거치지 않고 실리콘 웨이퍼 상에 증착되었다. 일부 막은 질화 실리콘 캡층의 접착 특성에 대한 저압 스트라이킹의 효과를 결정하기 위해 질화 실리콘 캡층으로 그리고 이 캡층이 없이 증착되었다. 이 실험에서 막은 캘이포니아 산타클라라에 소재한 어플라이드 머티리얼스사에서 제조된 UmtimaTMHDP-CVD 챔버내에 증착되었다. 이 챔버는 200mm 웨이퍼가 제공되어 어플라이드 머티리얼스사에서 제조된 Centura다중챔버 기판 처리 시스템에 배치된다. FSG층의 평균 플루오르 함유량은 위스콘신의 매디슨의 니콜렛 인스투르먼트사에서 제조된 ECO RE 시리즈 FTIR 분광기를 이용하여 %PHR 측정되었다. 선택적으로 코넥티컷의 노르워크에 소재한 페르킨-엘머사에서 제조된 스펙트럼 2000 FTIR 분광기가 사용될 수 있다. SiO 피크치는 일반적으로 대략 1090 cm-1내지 대략 2005 cm-1사이, 바람직하게는 대략 1097 cm-1의 웨이브 수로 발생한다. SiF 피크치는 일반적으로 대략 930 cm-1내지 대략 940 cm-1사이, 바람직하게는 대략 935 cm-1의 웨이브 수로 발생한다.
증착된 막의 안정성은 열적 탈착 분광법(TDS)에 의해 결정되었다. 샘플은 각 웨이퍼에서 절취되어 샘플 튜브에 배치되었다. 샘플을 포함하는 샘플 튜브는 TDS 기계에 배치되어 점차 증가하는 온도까지 진공상태로 가열되는 한편, 샘플에서 방출된 여러 가스의 농도를 측정한다. 질화 실리콘 캡층을 가지는 막의 안정성은 연기 또는 방울 형태를 관찰함으로써 질적으로 확인되었다. 막의 접착 특성은 Studd pull 테스트에 의해 정해졌다. 막은 또한 화학 기계적 연마(CMP)에 의해 안정성 및 접착 길이에 대해 테스팅되었다. 웨이퍼는 TDS 측정전에 주위 조건하에서 대략 2주 내지 대략 2개월 사이 동안 저장되었다.
제 1 및 제 2 실험에서, FSG층은 산소 처리 또는 질화 실리콘 캐핑 없이 증착되었다. 제 1 실험에서 FSG층은 대략 3.6%PHR의 플루오르 함유량으로 증착되었다. 제 1 실험에 대한 TDS 스펙트럼은 도 7a에서 도시되어 있다. 제 2 실험에서 FSG층은 7.1%PHR의 플루오르 함유량으로 증착되었다. 제 2 실험에 대한 TDS 스펙트럼은 도 7b에서 도시되어 있다. 플루오르 농도는 통상적인 푸리에 변환 적외선(FTIR) 측정에 의해 결정되었다. 제 3 실험에서 FSG층은 전술한 바와 같이, 산소 처리로 2 단계 공정에서 증착되었다. 그러나, FSG층 위에는 어떠한 질화 실리콘 캡층도 증착되지 않았다. 제 4 실험에서, FSG층은 전술한 바와 같이, 산소 처리로 2 단계 공정에서 증착되었고, 다음으로 저압 스트라이킹을 이용하여 질화 실리콘로 캐핑되었다. 제 4 실험에 대한 TDS 스펙트럼은 도 7d에서 도시되어 있다.
다음의 두 실험은 저압 스트라이킹을 이용하여 질화 실리콘로 캐핑된 FSG막 위에 산소 처리에 대한 효과를 비교한다. 이 각각의 두 실험에 있어서, TDS 샘플 튜브는 샘플 튜브에서 탈착되는 가스로부터의 배경 신호를 결정하기 위해 웨이퍼 샘플 없이, 1000℃로 가열되었다. 제 5 및 제 6 실험에서, FSG층은 전술한 방법에 따른 질화 실리콘로 증착 및 캐핑되었다. %PHR로 측정된 FSG층의 플루오르 함유량은 제 5 및 제 6 실험에서 대략 8.0%였다. 제 5 실험에서의 막은 산소 처리 없이 증착되었다. 제 5 실험용 배경 TDS 스펙트럼은 도 7e에서 도시되어 있다. 제 5 실험에 대한 샘플 TDS 스펙트럼 및 TDS 스펙트럼이 도 7f에서 도시되어 있다. 제 5 실험은 질화 실리콘의 캡층이 전술한 저압 스트라이킹에 따른 FSG층 상부에 증착되기 전에 제 6 실험에서의 FSG막이 산소로 처리되었다는 것이 제 5 실험과는 구별되었다. 제 6 실험용 배경 TDS 스펙트럼은 도 7g에서 도시되어 있다. 제 6 실험에 대한 샘플 TDS 스펙트럼은 도 7h에서 도시되어 있다.
도 7a-7h의 그래프에서 도시된 TDS 스펙트럼은 웨이퍼 온도의 함수로서 여러 가스에 대한 부분 압력의 구성도이다. 도 7a-7h에서, 각 가스는 아래의 표 Ⅰ에서 가리키는 원자 질량수에 의해 확인된다.
표 Ⅰ
질량수 가스
2 수소(H2)
18 수증기(H2O)
19 플루오르(F)
20 플루오르화 수소(HF)
38 플루오르(F2)
40 아르곤(Ar)
85 트리플루오로실란(SiF3)
104 테트라플루오로실란(SiF4)
실험 1 내지 6에서, 웨이퍼 샘플을 포함하는 샘플 튜브는 대략 800℃까지 천천히 가열되었고 탈착된 가스의 부분 압력은 질량 분광기를 이용하여 결정되었다. 질화 실리콘 캡층이 없이, 특히 질량수 20 및 19에서 상당량의 가스가 배출되었다. 도 7a 및 7b에서의 여러 가스에 대한 TDS 구성도는 가스 배출이 FSG막의 플루오르 함유량보다 상당히 높다는 것을 나타낸다. 또한, 가스 배출은 온도에 상당히 의존한다. 가스 배출량 및 온도 의존도는 모두 도 7b에서 더욱 강하게 나타난다. 이것은 제 5 실험에서 증착된 FSG층의 더 높은 플루오르 함유량에 기인한 것으로 믿어진다. 도 7c는 산소 처리막이 가스 배출량을 다소 감소시키는 것을 그리고 질화 실리콘 캡층이 없이도 FSG막이 비교적 안정하다는 것을 나타낸다. 도 7a 및 7b와 비교할 때 질량수 19, 20, 38, 85 및 104에 대한 구성도의 상태에 의해 도시된 플루오르의 상당히 적은 배출 가스가 존재한다. 더욱 중요하게는, 도 7c에서 플루오르 가스 배출이 거의 없는 것은 온도에 대한 의존이 상당히 작기 때문이다. 도 7d의 TDS 구성도는 막은 질화 실리콘층을 가지면 더욱 안정하다는 것을 가리킨다. 도 7c보다는 도 7d에서 플루오르 배출 가스가 훨씬 작다. 또한 배출 가스가 거의 존재하지 않는 것은 온도에 대한 의존도가 거의 없기 때문이다.
산소 처리 없이도, 도 7e 및 7f는 캐핑된 FSG막이 최대 대략 500℃까지 거의 또는 전혀 배출 가스를 나타내지 않는다는 것을 나타낸다. 도 7f는 도 7e의 배경 스펙트럼에서 존재하는 수증기(질량 18)는 접어두더라도, 여러 가스에 대한신호가 잡음 레벨과의 구별이 불가능하다는 것을 나타낸다. 도 7f가 실험의 결과물이라고 여겨진다면 날카로운 스파이크가 대략 800℃에서 질량수 104로 존재한다. 유사하게, 도 7g 및 7h는 배출 가스의 레벨이 산소로 처리되지 않은 막과 산소로 처리되지 않은 막이 거의 동일한 것을 나타낸다. 또한, 도 7g 및 7h에서 발생될 수 있는 배출 가스가 어느 것이든지 대응 FSG막상의 상부 질화 실리콘 캡의 탈착을 발생시키기에는 불충분했다.
막의 접착은 열적 사이클링에 의해 테스팅되었다. 각 사이클의 경우에 웨이퍼는 주위의 질소환경하에서 400℃까지 가열되었다. 6번의 사이클 후에, 웨이퍼는 막의 탈착을 위해 관찰되었다. 어떠한 탈착도 관찰되지 않으면, 웨이퍼는 통과되었다. 어떠한 탈착도 접착 테스트 실패의 원인이 되지는 않았다. 도 7a-7d 및 7h에 대한 접착 테스트 결과는 아래의 표 Ⅱ 에서 요약되어 있다.
표 Ⅱ
실험 원자 %F O2 SIXNY 접착력(P/F)
1 7a 5 N N 통과
2 7b 10 N N 통과
3 7c 10 Y N 통과
4 7d 10 Y Y 통과
6 7e 10 Y Y 통과
산소 처리 효과를 질적으로 비교하기 위해, 산소 처리된 질화 실리콘 캡을 구비한 4개의 웨이퍼와 산소 처리되지 않은 질화 실리콘 캡을 구비한 4개의 웨이퍼가 준비되었다. Studd pull 측정법은 각각의 웨이퍼의 샘플사에서 수행되었다. 그 결과는 아래의 표Ⅲ 에 나열되어 있다.
표 Ⅲ
샘플 O2 포스(LBS) 스트레스(PSI)
1 N 101.92 11548.88
2 N 88.16 9990.05
3 N 96.95 10986.34
4 N 103.59 11738.65
5 Y 107.90 12226.63
6 Y 106.58 12077.52
7 Y 106.16 12030.08
8 Y 98.57 11169.33
평균적으로, 산소 처리되어 증착된 질화 실리콘 캐핑된 FSG막은 산소 처리되지 않고 증착된 질화 실리콘 캐핑된 FSG막보다 더 높은 안정성(막 스트레스에 의해 측정됨)을 나타낸다. 그 차는 크지 않지만, 그럼에도 불구하고 통계적으로는 중요하다.
이 결과는 본 발명의 방법이 %PHR에 의해 측정된 7% 이상의 플루오르 농도를 가지는 안정되고 강력하게 접착되는 FSG막을 증착시킬 수 있다는 것을 나타낸다. 또한, 본 발명의 집적화 방법에서, FSG막 및 질화 실리콘 캡층은 증착 챔버에서 기판을 제거하지 않고 연속해서 증착될 수 있으며, 이에 의해 바람직하게는 처리량을 향상시킨다. 이러한 막은 또한 프리메탈(premetal) 유전체(PMD) 및 인터메탈 유전체(IMD)의 응용분야에서 사용될 수 있다. 저압 스트라이킹은 HDP-CVD를 이용하여 1000Å 이하의 두께로 층을 증착하는 것에 관련하여 중요한 문제를 해결한다는 것이 인식되야 한다. 저압 스트라이킹에 의해 증착된 박막층은 갭-충전 공정에서 장벽층으로서 추가의 응용분야에서 사용될 수 있다.
지금까지 본 발명은 바람직한 실시예 및 특정 실시예를 참고로 기술되었다. 선택적 실시예와 대안이 당업자에게 분명해질 것이다. 따라서, 본 발명은 첨부된 청구항에만 한정된다.
본 발명은 저압 스트라이킹을 이용하여 증착된 질화 실리콘층이 우수한 균일성, 강력한 접착력을 나타내고 하부층에서 배출된 가스를 방지하는 효과를 가진다.

Claims (27)

  1. 기판상에 다중층 유전막을 증착하는 방법에 있어서,
    상기 기판상에 플루오로실리케이트 유리(FSG)층을 증착하는 단계;
    산소 환경에 상기 FSG층을 노출시키는 단계; 및
    상기 FSG층상에 질화 실리콘층을 증착하는 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서,
    상기 FSG층은 %피크 높이 비율(%PHR)에 의해 측정된 대략 7.0% 이상인 플루오르 원자 농도를 함유하는 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서,
    상기 산소 환경은 산소 플라즈마인 것을 특징으로 하는 방법.
  4. 제 1 항에 있어서, 상기 플루오르실리케이트 유리층을 증착하는 단계는:
    증착 챔버내에 실리콘 함유 가스, 플루오르 함유 가스 및 산소 함유 가스를 유입시키는 단계;
    상기 실리콘 함유 가스, 플루오르 함유 가스 및 산소 함유 가스로 플라즈마를 발생시키는 단계; 및
    상기 플라즈마를 이용하여 상기 제 1 유전층을 증착하는 단계를 포함하는 것을 특징으로 하는 방법.
  5. 제 1 항에 있어서,
    상기 FSG층은 고밀도 플라즈마 화학기상증착(HDP-CVD)을 이용하여 증착되는 것을 특징으로 하는 방법.
  6. 제 1 항에 있어서, 상기 질화 실리콘층은:
    증착 챔버에 하나 이상의 처리 가스를 유입시키는 단계;
    상기 하나 이상의 처리 가스로 플라즈마를 초기화시키기 위해 저압으로 스트라이킹하는 단계; 및
    상기 플라즈마를 이용하여 상기 제 2 유전층을 증착하는 단계에 의해 증착되는 것을 특징으로 하는 방법.
  7. 제 6 항에 있어서, 상기 저압으로 스트라이킹하는 단계는:
    상기 증착 챔버내의 압력이 5 내지 100 밀리토르 사이가 되도록 상기 하나 이상의 처리 가스를 유입시키는 단계;
    상기 증착 챔버내에 약한 플라즈마를 형성하기에 충분한 시간 주기동안 바이어스 전압을 턴 온시키는 단계;
    상기 플라즈마를 형성한 후에, 소스 전압을 턴 온시키는 단계; 및
    상기 소스 전압을 턴 온시킨 후에, 상기 바이어스 전압을 턴 오프시키는 단계를 포함하는 것을 특징으로 하는 방법.
  8. 제 7 항에 있어서,
    상기 약한 플라즈마는 용량적으로 커플링된 플라즈마인 것을 특징으로 하는 방법.
  9. 기판상에 유전막을 증착시키는 방법에 있어서,
    상기 기판상에 플루오르 대 산소의 제 1 원자 비율로 플루오르실리케이트 유리(FSG)층을 증착하는 단계;
    상기 FSG층을 안정화시키기 위해 산소 환경에 상기 FSG층을 노출시키는 단계; 및
    상기 FSG층의 상부에 질화 실리콘층을 증착시키는 단계를 포함하며,
    상기 FSG 증착, 산소 처리, 및 질화 실리콘 증착 단계는 상기 기판을 상기 동일한 챔버로부터 제거하지 않고 상기 동일한 챔버내에서 모두 수행되는 것을 특징으로 하는 방법.
  10. 제 9 항에 있어서,
    상기 질화 실리콘층의 두께는 대략 1000Å 이하인 것을 특징으로 하는 방법.
  11. 제 9 항에 있어서,
    상기 FSG층은 고밀도 플라즈마 화학기상증착을 이용하여 증착되는 것을 특징으로 하는 방법.
  12. 제 11 항에 있어서,
    상기 유전층은 %피크 높이 비율에 의해 측정된 대략 7.0% 이상의 플루오르 농도를 함유하는 것을 특징으로 하는 방법.
  13. 제 11 항에 있어서,
    상기 FSG층은 %피크 높이 비율에 의해 측정된 7.0% 내지 8.0% 사이의 플루오르 농도를 함유하는 것을 특징으로 하는 방법.
  14. 제 11 항에 있어서,
    상기 FSG층은 산소 플라즈마를 이용하여 상기 산소 환경에 노출되는 것을 특징으로 하는 방법.
  15. 제 9 항에 있어서, 상기 유전층을 증착시키는 단계는:
    증착 챔버내에 실리콘 함유 가스, 플루오르 함유 가스 및 산소 함유 가스를 유입시키는 단계;
    상기 실리콘 함유 가스, 플루오르 함유 가스 및 산소 함유 가스를 가지는 고밀도 플라즈마를 발생시키기 위해 상기 챔버에 소스 전압을 인가하고 상기 기판에 바이어스 전압을 인가하는 단계; 및
    상기 고밀도 플라즈마를 이용하여 상기 유전층을 증착하는 단계를 포함하는 것을 특징으로 하는 방법.
  16. 제 9 항에 있어서, 상기 질화 실리콘층은:
    증착 챔버에 실리콘 함유 가스 및 질소 함유 가스를 유입시키는 단계;
    플라즈마를 초기화하기 위해 저압으로 스트라이킹하는 단계; 및
    상기 플라즈마를 이용하여 상기 질화 실리콘을 증착하는 단계에 의해 증착되는 것을 특징으로 하는 방법.
  17. 제 16 항에 있어서, 상기 저압 스트라이크는:
    상기 증착 챔버의 압력이 1 내지 100 밀리토르 사이가 되도록 상기 실리콘 함유 가스, 상기 질소 함유 가스 및 상기 불활성 가스를 유입시키는 단계;
    상기 증착 챔버내에 플라즈마를 형성하기에 충분한 시간 주기동안 상기 바이어스 전압을 턴 온시키는 단계;
    상기 플라즈마를 형성한 후에, 상기 소스 전압을 턴 온시키는 단계; 및
    상기 소스 전압을 턴 온시킨 후에, 상기 바이어스 전압을 턴 오프시키는 단계를 포함하는 것을 특징으로 하는 방법.
  18. 제 17 항에 있어서,
    상기 바이어스 전압은 최대 1.0초의 바이어스 주기동안 턴 온되는 것을 특징으로 하는 방법.
  19. 제 18 항에 있어서,
    상기 소스 전압 및 상기 바이어스 전압은 모두 상기 바이어스 주기의 거의 후반 1/2 주기를 포함하는 오버래핑 주기동안 턴 온되는 것을 특징으로 하는 방법.
  20. 기판 상에 유전막을 증착하는 방법에 있어서,
    증착 챔버에 실리콘 함유 가스 및 질소 함유 가스를 유입시키는 단계;
    제 1 플라즈마를 초기화하기 위해 제 1 저압으로 스트라이킹하는 단계;
    상기 제 1 플라즈마를 이용하여 상기 기판상에 제 1 질화 실리콘층을 증착하는 단계;
    상기 제 1 질화 실리콘층상에 물질층을 증착시키는 단계;
    상기 증착 챔버에 실리콘 함유 가스 및 질소 함유 가스를 유입시키는 단계;
    제 2 플라즈마를 초기화하기 위해 제 2 저압으로 스트라이킹하는 단계; 및
    상기 제 2 플라즈마를 이용하여 상기 물질층상에 제 2 질화 실리콘층을 증착시키는 단계를 포함하는 것을 특징으로 하는 방법.
  21. 제 20 항에 있어서,
    상기 제 1 및 제 2 질화 실리콘층 중 적어도 하나의 두께는 대략 1000Å 이하인 것을 특징으로 하는 방법.
  22. 제 20 항에 있어서, 상기 제 1 및 제 2 저압 스트라이크 중 적어도 하나는:
    상기 증착 챔버내의 압력이 5 내지 100 밀리토르 사이가 되도록 상기 실리콘 함유 가스, 상기 질소 함유 가스, 및 상기 불활성 가스를 유입시키는 단계;
    상기 증착 챔버내에 약한 플라즈마를 형성하기에 충분한 시간 주기동안 상기 바이어스 전압을 턴 온시키는 단계;
    상기 약한 플라즈마를 형성한 후에, 소스 전압을 턴 온시키는 단계; 및
    상기 소스 전압을 턴 온시킨 후에, 상기 바이어스 전압을 턴 오프시키는 단계를 포함하는 것을 특징으로 하는 방법.
  23. 제 20 항에 있어서,
    상기 물질층은 플루오로실리케이트 유리(FSG)를 포함하는 것을 특징으로 하는 방법.
  24. 제 23 항에 있어서,
    상기 FSG층을 산소로 처리하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  25. 상기 기판상에 플루오르실리케이트 유리(FSG)층을 증착하는 단계;
    산소 환경에 상기 FSG층을 노출시키는 단계; 및
    상기 FSG층상에 질화 실리콘층을 증착시키는 단계에 따라 챔버내의 웨이퍼상에 저 유전상수 막을 증착시키기 위하여, 챔버, 실리콘 함유 가스 소스, 산소 함유 가스 소스, 소스 파워 서플라이, 및 바이어스 파워 서플라이를 포함하는 반도체 웨이퍼 처리 시스템을 제어하는 내장형 프로그램 코드를 가지는 것을 특징으로 하는 컴퓨터 판독 가능한 저장 매체.
  26. 기판상에 저 유전상수 막을 증착하기 위한 장치에 있어서,
    증착 챔버;
    상기 증착 챔버에 커플링된 가스 패널;
    상기 챔버에 커플링된 플라즈마 발생 시스템; 및
    상기 가스 패널, 상기 소스 파워 서플라이 및 상기 바이어스 파워 서플라이에 커플링되고, 내장형 프로그램 코드를 가지는 컴퓨터 판독 가능한 저장 매체를 가지는 제어기를 포함하고, 상기 프로그램 코드는,
    상기 기판상에 플루오르실리케이트 유리(FSG)층을 증착시키는 단계;
    산소 환경에 상기 FSG층을 증착시키는 단계; 및
    상기 FSG층상에 질화 실리콘층을 증착시키는 단계에 따라 상기 장치를 제어하는 것을 특징으로 하는 장치.
  27. 증착 챔버내에 증착된 기판위에 저 유전상수 막을 증착하는 장치에 있어서,
    상기 기판상에 제 1 유전층을 증착시키는 수단; 및
    대략 1000Å 이하의 균일한 두께를 가지는 제 2 유전층을 증착시키는 수단을 포함하는 것을 특징으로 하는 장치.
KR1020000081114A 1999-12-23 2000-12-23 고밀도 플라즈마 반응기에서 실리콘 질화물의 인시튜 증착 및 집적화 KR100726517B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/470,561 US6372291B1 (en) 1999-12-23 1999-12-23 In situ deposition and integration of silicon nitride in a high density plasma reactor
US09/470,561 1999-12-23

Publications (2)

Publication Number Publication Date
KR20010062663A true KR20010062663A (ko) 2001-07-07
KR100726517B1 KR100726517B1 (ko) 2007-06-11

Family

ID=23868100

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000081114A KR100726517B1 (ko) 1999-12-23 2000-12-23 고밀도 플라즈마 반응기에서 실리콘 질화물의 인시튜 증착 및 집적화

Country Status (6)

Country Link
US (1) US6372291B1 (ko)
EP (1) EP1111664A3 (ko)
JP (1) JP4721510B2 (ko)
KR (1) KR100726517B1 (ko)
SG (1) SG93911A1 (ko)
TW (1) TW518693B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100759721B1 (ko) * 2002-01-02 2007-09-20 에이저 시스템즈 인크 질소함유부와 산소함유부를 포함하는 분할 배리어층
KR100933854B1 (ko) * 2008-01-14 2009-12-24 주식회사 하이닉스반도체 반도체 소자의 패턴 형성방법
KR20230043089A (ko) * 2016-11-29 2023-03-30 에이에스엠 아이피 홀딩 비.브이. 산화물 박막의 증착을 위한 반응기

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6200911B1 (en) * 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
US6255233B1 (en) * 1998-12-30 2001-07-03 Intel Corporation In-situ silicon nitride and silicon based oxide deposition with graded interface for damascene application
FR2795746B1 (fr) * 1999-07-01 2001-07-27 Commissariat Energie Atomique Procede de depot d'un materiau dielectrique a base de silicium sur du cuivre
US6468927B1 (en) * 2000-05-19 2002-10-22 Applied Materials, Inc. Method of depositing a nitrogen-doped FSG layer
TW584902B (en) * 2000-06-19 2004-04-21 Applied Materials Inc Method of plasma processing silicon nitride using argon, nitrogen and silane gases
US6846737B1 (en) 2000-08-15 2005-01-25 Intel Corporation Plasma induced depletion of fluorine from surfaces of fluorinated low-k dielectric materials
US6534357B1 (en) * 2000-11-09 2003-03-18 Micron Technology, Inc. Methods for forming conductive structures and structures regarding same
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6531412B2 (en) * 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP4090766B2 (ja) * 2002-03-19 2008-05-28 富士通株式会社 半導体装置の製造方法
WO2003098678A1 (fr) * 2002-05-16 2003-11-27 Tokyo Electron Limited Procede de traitement de substrat
JP3504940B2 (ja) * 2002-05-17 2004-03-08 沖電気工業株式会社 半導体装置の製造方法
DE10229463B4 (de) * 2002-07-01 2008-12-11 Qimonda Ag Halbleiteranordnung und Verfahren zu ihrer Herstellung
US6934032B1 (en) * 2002-09-30 2005-08-23 Advanced Micro Devices, Inc. Copper oxide monitoring by scatterometry/ellipsometry during nitride or BLOK removal in damascene process
EP1408140A1 (en) 2002-10-11 2004-04-14 STMicroelectronics S.r.l. A high-density plasma process for depositing a layer of Silicon Nitride
US6833322B2 (en) * 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US20040121146A1 (en) * 2002-12-20 2004-06-24 Xiao-Ming He Composite barrier films and method
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
DE10311312B4 (de) * 2003-03-14 2007-08-16 Infineon Technologies Ag Isolatorstruktur und Verfahren zur Erzeugung von Isolatorstrukturen in einem Halbleitersubstrat
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
KR100694982B1 (ko) * 2004-07-22 2007-03-14 에스티마이크로일렉트로닉스 엔.브이. 반도체 소자의 패시베이션층 형성 방법
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060075968A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Leak detector and process gas monitor
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7226875B2 (en) * 2004-11-30 2007-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for enhancing FSG film stability
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US7179760B2 (en) * 2005-05-27 2007-02-20 International Buisness Machines Corporation Bilayer cap structure including HDP/bHDP films for conductive metallization and method of making same
US7557043B2 (en) * 2005-06-15 2009-07-07 United Microelectronics Corp. Method of fabricating the stacked structure and damascene process
US7785950B2 (en) * 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
KR100769128B1 (ko) * 2005-12-29 2007-10-22 동부일렉트로닉스 주식회사 Eco셀 그리고, eco셀의 배치 및 루팅방법
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
CN100446195C (zh) * 2006-08-23 2008-12-24 上海华虹Nec电子有限公司 改善氟硅玻璃填隙性的方法
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US11339430B2 (en) 2007-07-10 2022-05-24 Life Technologies Corporation Methods and apparatus for measuring analytes using large scale FET arrays
EP4134667A1 (en) 2006-12-14 2023-02-15 Life Technologies Corporation Apparatus for measuring analytes using fet arrays
US8349167B2 (en) 2006-12-14 2013-01-08 Life Technologies Corporation Methods and apparatus for detecting molecular interactions using FET arrays
US8262900B2 (en) 2006-12-14 2012-09-11 Life Technologies Corporation Methods and apparatus for measuring analytes using large scale FET arrays
JP2009049085A (ja) * 2007-08-15 2009-03-05 Oki Electric Ind Co Ltd 窒化シリコン膜の製造方法
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US20100301398A1 (en) 2009-05-29 2010-12-02 Ion Torrent Systems Incorporated Methods and apparatus for measuring analytes
US20100137143A1 (en) 2008-10-22 2010-06-03 Ion Torrent Systems Incorporated Methods and apparatus for measuring analytes
US8776573B2 (en) 2009-05-29 2014-07-15 Life Technologies Corporation Methods and apparatus for measuring analytes
US20120261274A1 (en) 2009-05-29 2012-10-18 Life Technologies Corporation Methods and apparatus for measuring analytes
TWI400534B (zh) * 2009-07-15 2013-07-01 Chunghwa Picture Tubes Ltd 薄膜電晶體光感測器以及製作氟矽氧碳氫化合物介電層之方法
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
JP5952813B2 (ja) 2010-06-30 2016-07-13 ライフ テクノロジーズ コーポレーション Isfetアレイをテストする方法及び装置
CN109449171A (zh) 2010-06-30 2019-03-08 生命科技公司 用于检测和测量化学反应和化合物的晶体管电路
EP2588851B1 (en) 2010-06-30 2016-12-21 Life Technologies Corporation Ion-sensing charge-accumulation circuit and method
US11307166B2 (en) 2010-07-01 2022-04-19 Life Technologies Corporation Column ADC
WO2012006222A1 (en) 2010-07-03 2012-01-12 Life Technologies Corporation Chemically sensitive sensor with lightly doped drains
EP2617061B1 (en) 2010-09-15 2021-06-30 Life Technologies Corporation Methods and apparatus for measuring analytes
US9970984B2 (en) 2011-12-01 2018-05-15 Life Technologies Corporation Method and apparatus for identifying defects in a chemical sensor array
US8786331B2 (en) 2012-05-29 2014-07-22 Life Technologies Corporation System for reducing noise in a chemical sensor array
US9080968B2 (en) 2013-01-04 2015-07-14 Life Technologies Corporation Methods and systems for point of use removal of sacrificial material
US9841398B2 (en) 2013-01-08 2017-12-12 Life Technologies Corporation Methods for manufacturing well structures for low-noise chemical sensors
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN106304597B (zh) 2013-03-12 2019-05-10 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
US8963216B2 (en) 2013-03-13 2015-02-24 Life Technologies Corporation Chemical sensor with sidewall spacer sensor surface
US9835585B2 (en) 2013-03-15 2017-12-05 Life Technologies Corporation Chemical sensor with protruded sensor surface
CN105051525B (zh) 2013-03-15 2019-07-26 生命科技公司 具有薄导电元件的化学设备
US20140264472A1 (en) 2013-03-15 2014-09-18 Life Technologies Corporation Chemical sensor with consistent sensor surface areas
US20140336063A1 (en) 2013-05-09 2014-11-13 Life Technologies Corporation Windowed Sequencing
US10458942B2 (en) 2013-06-10 2019-10-29 Life Technologies Corporation Chemical sensor array having multiple sensors per well
TWI794007B (zh) 2014-12-18 2023-02-21 美商生命技術公司 積體電路裝置、感測器裝置及積體電路
US10077472B2 (en) 2014-12-18 2018-09-18 Life Technologies Corporation High data rate integrated circuit with power management
KR20170097712A (ko) 2014-12-18 2017-08-28 라이프 테크놀로지스 코포레이션 대형 fet 어레이를 사용한 분석물 측정을 위한 방법과 장치
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4436584A (en) * 1983-03-21 1984-03-13 Sperry Corporation Anisotropic plasma etching of semiconductors
JP2737478B2 (ja) * 1991-09-30 1998-04-08 日本電気株式会社 半導体装置の表面保護膜の形成方法
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5449432A (en) * 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
US5468296A (en) * 1993-12-17 1995-11-21 Lsi Logic Corporation Apparatus for igniting low pressure inductively coupled plasma
US5753975A (en) * 1994-09-01 1998-05-19 Kabushiki Kaisha Toshiba Semiconductor device with improved adhesion between titanium-based metal wiring layer and insulation film
JP3348263B2 (ja) * 1995-02-08 2002-11-20 富士通株式会社 半導体装置の製造方法
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
JPH1050687A (ja) * 1996-08-01 1998-02-20 Toshiba Corp 薄膜形成装置および薄膜形成方法
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US6310300B1 (en) * 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
US5858869A (en) * 1997-06-03 1999-01-12 Industrial Technology Research Institute Method for fabricating intermetal dielectric insulation using anisotropic plasma oxides and low dielectric constant polymers
JPH10340898A (ja) * 1997-06-05 1998-12-22 Sumitomo Metal Ind Ltd 半導体装置及びその製造方法
JPH11111712A (ja) * 1997-10-01 1999-04-23 Fujitsu Ltd 低誘電率絶縁膜とその形成方法及びこの膜を用いた半導体装置
JPH11111845A (ja) * 1997-10-03 1999-04-23 Toshiba Corp 半導体装置及びその製造方法
US5876798A (en) * 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
JPH11330070A (ja) * 1998-05-14 1999-11-30 Matsushita Electric Ind Co Ltd 絶縁膜の形成方法
JP3104750B2 (ja) * 1998-06-17 2000-10-30 日本電気株式会社 半導体装置の製造方法
JP3148183B2 (ja) * 1998-08-31 2001-03-19 日本電気株式会社 半導体装置の製造方法
US6165915A (en) * 1999-08-11 2000-12-26 Taiwan Semiconductor Manufacturing Company Forming halogen doped glass dielectric layer with enhanced stability
US6410457B1 (en) * 1999-09-01 2002-06-25 Applied Materials, Inc. Method for improving barrier layer adhesion to HDP-FSG thin films
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100759721B1 (ko) * 2002-01-02 2007-09-20 에이저 시스템즈 인크 질소함유부와 산소함유부를 포함하는 분할 배리어층
KR100933854B1 (ko) * 2008-01-14 2009-12-24 주식회사 하이닉스반도체 반도체 소자의 패턴 형성방법
US7906272B2 (en) 2008-01-14 2011-03-15 Hynix Semiconductor Inc. Method of forming a pattern of a semiconductor device
KR20230043089A (ko) * 2016-11-29 2023-03-30 에이에스엠 아이피 홀딩 비.브이. 산화물 박막의 증착을 위한 반응기

Also Published As

Publication number Publication date
JP2001267315A (ja) 2001-09-28
SG93911A1 (en) 2003-01-21
TW518693B (en) 2003-01-21
EP1111664A2 (en) 2001-06-27
JP4721510B2 (ja) 2011-07-13
US6372291B1 (en) 2002-04-16
EP1111664A3 (en) 2004-06-09
KR100726517B1 (ko) 2007-06-11

Similar Documents

Publication Publication Date Title
KR100726517B1 (ko) 고밀도 플라즈마 반응기에서 실리콘 질화물의 인시튜 증착 및 집적화
US6713390B2 (en) Barrier layer deposition using HDP-CVD
US6633076B2 (en) Methods and apparatus for producing stable low k FSG film for HDP-CVD
US5908672A (en) Method and apparatus for depositing a planarized passivation layer
US7081414B2 (en) Deposition-selective etch-deposition process for dielectric film gapfill
EP1077477B1 (en) Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
US6803325B2 (en) Apparatus for improving barrier layer adhesion to HDP-FSG thin films
US7326657B2 (en) Post-deposition treatment to enhance properties of Si-O-C low k films
US6413871B2 (en) Nitrogen treatment of polished halogen-doped silicon glass
US6468927B1 (en) Method of depositing a nitrogen-doped FSG layer
US6375744B2 (en) Sequential in-situ heating and deposition of halogen-doped silicon oxide
JP2001148382A (ja) 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
US6289843B1 (en) Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
US6667248B2 (en) Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
EP1146142A2 (en) Process for forming fluorosilicate glass layers using high density plasma, for copper damascene integrated circuits

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120530

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee