DE10311312B4 - Isolatorstruktur und Verfahren zur Erzeugung von Isolatorstrukturen in einem Halbleitersubstrat - Google Patents

Isolatorstruktur und Verfahren zur Erzeugung von Isolatorstrukturen in einem Halbleitersubstrat Download PDF

Info

Publication number
DE10311312B4
DE10311312B4 DE10311312A DE10311312A DE10311312B4 DE 10311312 B4 DE10311312 B4 DE 10311312B4 DE 10311312 A DE10311312 A DE 10311312A DE 10311312 A DE10311312 A DE 10311312A DE 10311312 B4 DE10311312 B4 DE 10311312B4
Authority
DE
Germany
Prior art keywords
insulator
semiconductor substrate
deposition process
halogen
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE10311312A
Other languages
English (en)
Other versions
DE10311312A1 (de
Inventor
Jörg Radecker
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qimonda AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to DE10311312A priority Critical patent/DE10311312B4/de
Priority to US10/798,863 priority patent/US7052970B2/en
Publication of DE10311312A1 publication Critical patent/DE10311312A1/de
Application granted granted Critical
Publication of DE10311312B4 publication Critical patent/DE10311312B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Verfahren zum Erzeugen von Isolatorstrukturen (8) in einem Halbleitersubstrat (1), bei dem
– von einer Substratoberfläche (10) des Halbleitersubstrats (1) her Isolatorgräben (21) in das Halbleitersubstrat (1) eingebracht werden und
– die Isolatorgräben (21) im Zuge eines auf einem hochdichten Plasma gestützten HDP-Abscheidungsprozesses mindestens teilweise mit einer Hauptlage (33) aus einem mit einem Halogen oder einer Halogenverbindung dotierten Isolatormaterial gefüllt werden,
dadurch gekennzeichnet,
dass vor einer Abscheidung der Hauptlage (33) im Zuge des HDP-Abscheidungsprozesses eine Barrierenschicht (32) erzeugt wird, die ein Ausgasen des Halogens aus dem dotierten Isolatormaterial verhindert und eine zur Bildung eines Oxids geringer Güte entlang einer Grenzfläche zum Halbleitersubstrat (1) führende Wechselwirkung des Halogens mit dem Halbleitersubstrat (1) blockiert.

Description

  • Die Erfindung betrifft ein Verfahren zum Erzeugen von Isolatorstrukturen in einem Halbleitersubstrat, bei dem von einer Substratoberfläche des Halbleitersubstrats her Isolatorgräben in das Halbleitersubstrat eingebracht werden und die Isolatorgräben im Zuge eines auf einem hochdichten Plasma gestützten HDP-Abscheidungsprozesses mindestens teilweise mit einer Hauptlage aus einem mit einem Zusatzstoff dotierten Isolatormaterial gefüllt werden. Die Erfindung betrifft ferner eine Isolatorstruktur.
  • In der Halbleiterprozesstechnologie werden Abmessungen von auf bzw. in einem Halbleitersubstrat (Wafer) hergestellten Halbleiterbauteilen stetig verringert, um einer Ausbeute an Halbleiterbauteilen pro Wafer zu steigern und eine Ansprechzeit bzw. eine Leistungsaufnahme der Halbleiterbauteile zu senken.
  • Im Zuge einer Prozessierung von Halbleiterbauteilen in einem Wafer erfolgt in einem Prozessmodul eine Abfolge von Prozessschritten zur Ausformung von Isolatorstrukturen. Isolatorstrukturen trennen etwa in einer gleichen Metallisierungsebene angeordnete Leiterbahnen elektrisch voneinander (intermetal dielectric, IMD) oder isolieren unterhalb einer Substratoberfläche des Halbleitersubstrats ausgebildete leitende Abschnitte voneinander (shallow trench isolation, STI). Die leitenden Abschnitte sind dabei beispielsweise als Source/Drain-Bereiche von Transistoren ausgebildete dotierte Abschnitte des Halbleitersubstrats oder in das Halbleitersubstrat eingebrachte Strukturen aus leitfähigem Material, etwa Verbindungsleitungen und Elektroden von Kondensatorstrukturen aus dotiertem Polysilizium.
  • Ein übliches Isolatormaterial zur Herstellung der Isolatorstrukturen ist Siliziumoxid. Dabei wird das Siliziumoxid bevorzugt mittels eines auf einem hochdichten Plasma gestützten Abscheidungsprozesses (high density plasma chemical vapour deposition, HDP/CVD) abgeschieden. Mit dem HDP/CVD-Abscheidungsprozess werden Siliziumoxidschichten hoher Konformität erzeugt. Aus solchen Siliziumoxidschichten gebildete Isolatorstrukturen weisen eine hohe Dichte und eine hohe Qualität auf.
  • Aus der EP 0 936 665 A1 ist ein Verfahren bekannt, im Zuge dessen ein Isolatorgraben mittels einer Schichtfolge aus thermischem Siliziumoxid, CVD-Siliziumoxid und einem HDP-CVD-Siliziumoxid gefüllt wird. Dabei schützt das CVD-Siliziumoxid während der Abscheidung des HDP-CVD-Siliziumoxids das Halbleitersubstrat gegen eine Plasmainduzierte Schädigung.
  • In der US 6,037,018 ist ein weiteres Verfahren zum Füllen von Isolatorgräben mittels HDPCVD-Oxiden beschrieben. Dabei unterliegen einer Hauptlage aus HDPCVD-Oxid eine erste Lage aus thermischem Oxid und eine zweite Lage aus einem LPCVD-Oxid (O3-TEOS). Durch eine spezielle Art der Abscheidung des LPCVD-Oxids wird eine während des HDPCVD-Prozesses zwischen den Isolatorgräben auf der Substratoberfläche aufliegende Maskenschicht sowie das Halbleitersubstrat gegen Plasma-induzierte Schäden geschützt.
  • Aus der US 6,057,209 ist es bekannt, eine Siliziumoxidfüllung von Isolatorgräben durch eine stickstoffhaltige Barrierenschicht gegen das Eindiffundieren von Dotierstoffen aus dem umgebenden Halbleitersubstrat zu schü tzen.
  • Ein weiteres Verfahren zur Füllung von Isolatorgräben mit einer Schichtenfolge aus thermischem Oxid, LPCVD bzw. APCVD und HDPCVD-Siliziumoxid geht aus der US 6,033,970 hervor. Dabei führt das Vorsehen des APCVD bzw. LPCVD-Siliziumoxids zu verbesserten Isolationseigenschaften der in die Isolatorgräben eingebrachten Isolatorstrukturen, wobei gleichzeitig die guten Fülleigenschaften eines HDPCVD-Prozesses genutzt werden.
  • Ein Verfahren zur Füllung von Isolatorgräben, bei dem das Innere der Isolatorgräben zunächst mit einem Siliziumnitrid-Liner ausgekleidet wird, ist in der DE 102 28 691 A1 beschrieben.
  • Zu kleineren Abmessungen hin ergibt sich für Isolatorstrukturen zwischen Leiterbahnen einer Metallisierungsebene zunehmend die Anforderung, eine mit kleinerem Abstand zunächst wachsende kapazitive Kopplung benachbarter Leiterbahnen durch Wahl eines Isolatormaterials mit niedriger Permittivität (low-k dielectric) gering zu halten. Es sind etwa aus der US 6,375,744 B2 (Murugesh et al.) Verfahren bekannt, die die Permittivität des abgeschiedenen Isolatormaterials durch die Beigabe von fluorhaltigen Zusatzstoffen (fluorine-based additives) während des Abscheidungsprozesses und deren teilweisen Einbau in das Isolatormaterial verringern. Dabei wird davon ausgegangen, dass Beifügungen etwa von elektronegativen Fluor die Polarisierbarkeit eines auf diese Weise erzeugten Si-O-F-Gefüges reduzieren und daher ein Si-O-F-Gefüge eine geringere Permittivität bzw. Dielektrizitätszahl aufweist als ein Siliziumoxid ohne Beifügungen. Für Siliziumoxidschichten, denen Fluor beifügt ist, sind in diesem Zusammenhang die Begriffe Fluorsilikatglas (fluorinated silicate glas, FSG) und fluordotierte Siliziumoxidschicht (flourine doped silicon oxide film) üblich, während zur begrifflichen Unterscheidung dazu von undotiertem Siliziumoxid (undoped silicon oxide) gespro chen wird, wenn das Siliziumoxid aus chemischen Vorläuferverbindungen (Präkursoren) ohne Halogenanteile hervorgeht.
  • Im Halbleitersubstrat ausgeführte Isolatorstrukturen gehen in der Regel aus einem Füllen von einer Substratoberfläche her in das Halbleitersubstrat eingebrachten Isolatorgräben hervor. Da im Halbleitersubstrat ausgebildete Strukturen aktiver Bereiche bezüglich planarer Abmessungen oftmals besser skalierbar sind als bezüglich einer zur Substratoberfläche vertikalen Abmessung, steigt das Aspektverhältnis (aspect ratio, AR) zwischen einer Tiefe der Isolatorgräben und einer Öffnungsweite der Isolatorgräben an der Substratoberfläche. Für minimale Strukturgrößen kleiner 100 Nanometer sind absehbar Isolatorgräben mit einem Aspektverhältnis AR > 5:1 erforderlich.
  • Mit steigendem Aspektverhältnis wird ein fehlerloses, vollständiges (void free) Füllen der Isolatorgräben erschwert. Mit undotiertem Siliziumoxid lassen sich mit herkömmlichen HDP/CVD-Abscheidungsprozessen Isolatorgräben lediglich bis zu einem Aspektverhältnis von AR < 4 im Wesentlichen fehlerlos füllen.
  • Etwa aus der US 6,372,291 B2 (Hua et al.) ist es bekannt, dass durch eine Beifügung von Fluor oder einer Fluorverbindung während des Abscheidungsprozesses der Füllvorgang positiv beeinflusst wird und ein fehlerloses, gerichtetes Füllen vom Grabenboden her auch von Isolatorgräben mit einem Aspektverhältnis AR > 4:1 bis AR < 7:1 ermöglicht wird. Dabei wird angenommen, dass das Fluor in Form von freien Radikalen eine Ätzkomponente bildet, die einem Aufwachsen von Material im Bereich der Grabenöffnungen und damit einem Zuwachsen der Isolatorgräben im Bereich der Grabenöffnungen vor einer vollständigen Füllung eines unteren Grabenbereichs entgegenwirkt (sputtering). In der Folge werden die Isolatorgräben gerichtet vom Grabenboden her gefüllt (bottom-up fill).
  • Die US 2002/0038901 A1 bezieht sich auf ein Verfahren zum Füllen von Isolatorgräben, wobei zunächst an den Wänden der Isolatorgräben ein thermischer Siliziumoxidfilm erzeugt wird. Die mit dem Siliziumoxidfilm ausgekleideten Isolatorgräben werden mit fluor-dotiertem Silikat-Glas gefüllt.
  • Auf ein Verfahren zum Auffüllen von Isolatorgräben zwischen leitfähigem Aluminiumstrukturen mit einem fluor-dotierten Silikat-Glas bezieht sich die US 2002/0127880 A1. Unmittelbar nach Abscheidung des fluor-dotierten Silikat-Glases wird in-situ ein nicht-dotiertes Siliziumoxid abgeschieden.
  • Wird ein in einem Halbleitersubstrat eingebrachter Isolatorgraben in der beschriebenen Weise gefüllt, so kommt es in nachteiliger Weise zu einer Wechselwirkung von aus dem dotierten Siliziumoxid ausgasenden bzw, ausdiffundierenden Fluor mit dem Material des Halbleitersubstrats, typischerweise monokristallinem Silizium. Auf Grund der Wechselwirkung entsteht in der Isolatorstruktur entlang einer Grenzfläche zum Halbleitersubstrat ein Oxid geringer Güte. Das Oxid geringer Güte weist gegenüber dem Isolatormaterial eine bezüglich üblicher Ätzprozesse veränderte, geringere Ätzresistenz auf.
  • In der 1 sind zwei in ein Halbleitersubstrat 1 eingebrachte Isolatorgräben 21 beiderseits eines durch das Halbleitersubstrat 1 gebildeten Stegs 2 in einem Querschnitt schematisch dargestellt.
  • Auf dem Steg 2 ist ein remanenter Abschnitt einer für eine vorangehende Prozessierung notwendigen Schutzschicht 11 angeordnet. Die Isolatorgräben 21 sind mit einer im Zuge eines HDP/CVD-Abscheidungsprozesses abgeschiedenen Isolatorfüllung 3 gefüllt, die sich bis über eine Substratoberfläche 10 hinaus erstreckt. Oberhalb der Stege 22 bilden sich für den HDP-Abscheidungsprozess typische Facetten (facets) 30. Das Material der Isolatorfüllung 3 ist fluordotiertes Siliziumoxid. Aus der Isolatorfüllung 3 gast bzw. diffundiert Fluor aus. An der Grenzfläche zum Steg 22 bilden sich infolge einer Wechselwirkung des Fluors mit dem Silizium des Halbleitersubstrats Defektbereiche 6. Das Siliziumoxid der Isolatorfüllung 3 ist in den Defektbereichen 6 von geringer Güte.
  • Üblicherweise wird im Anschluss an das Füllen der Isolatorgräben im Zuge des HDP/CVD-Abscheidungsprozesses oberhalb der Substratoberfläche abgeschiedenes Isolatormaterial planarisiert, etwa mittels eines chemisch mechanischen Polierverfahrens (chemical mechanical polishing, CMP). Bei darauf folgenden Ätzungen wird auch das Oxid geringer Güte in den Defektbereichen angegriffen. Die Isolatorstruktur wird in der Folge in den Defektbereichen bis unterhalb der Substratoberfläche zurückgebildet.
  • Nach dem Abtrag des Isolatormaterials aus den oberhalb der Substratoberfläche angeordneten Abschnitten weist die im Isolatorgraben ausgebildete Isolatorstruktur an den Grenzflächen zum Halbleitersubstrat Lücken auf. Die Lücken können in nachfolgenden Prozessschritten, etwa bei der Ausbildung von leitfähigen Strukturen, mit leitfähigen Materialien gefüllt werden und in der Folge die Ursache von Kurzschlüssen sein.
  • In der 2 ist ein auf einen Defektbereich 6 zurückzuführender Kurzschluss in der Draufsicht schematisch dargestellt. Der Defektbereich 6 erstreckt sich in einem Isolatorgraben 21 längs eines Stegs 22 aus kristallinem Silizium. Ein die Isolatorgräben 21 füllendes Isolatormaterial ist bis zu einer Substratoberfläche zurückgebildet. Auf der Substratoberfläche sind zwei jeweils aus einem Gateleiter (Gateconductor, GC) gebildete Gateleiterstrukturen angeordnet, die beispielsweise voneinander isolierte Wortleitungen zur Ansteuerung von in aktiven Bereichen 7 (active areas, AA) ausgebildeten Transistoren 73 formen. Dazu wurde der Gateleiter flächig abgeschieden und durch einen Ätzschritt strukturiert. Beim Ätzschritt wurden auf der Substratoberfläche aufliegende Abschnitte des Gateleiters zwischen den Gateleiterstrukturen 72 entfernt. In den Defektbereichen 6 unterhalb der Substratoberfläche ver bleiben Restanteile des Gateleiters und schließen in der Folge die beiden Gateleiterstrukturen 72 kurz.
  • Es ist ferner bekannt, dass Defektbereiche mit Oxid niedriger Güte nicht entstehen, wenn fluordotiertes Siliziumoxid auf einer das Halbleitersubstrat bedeckenden dünnen Siliziumnitridschicht (nitride liner) abgeschieden wird. Es kommt in diesem Fall zu keiner wahrnehmbaren Wechselwirkung von Fluor mit dem monokristallinen Silizium des Halbleitersubstrats.
  • In der 3 ist ein einer Rasterelektronenmikroskop(scanning electron microscopy, SEM)-Aufnahme nachempfundener Querschnitt durch eine mit einem Nitridliner 12 abgedeckte Struktur aus Stegen 22 und Isolatorgräben 21 in einem Halbleitersubstrat 1 schematisch dargestellt. Die Gräben weisen bei einer Weite von etwa 135 nm eine Tiefe von 570 nm auf. Das Aspektverhältnis AR der Isolatorgräben 21 ist größer 4:1. Es sind keine Defektbereiche erkennbar. Die Isolatorgräben 21 sind ohne Fehlstellen gefüllt.
  • In nachteiliger Weise weisen aber p-Kanal-Transistoren, die in üblicher Technik in durch einen vor dem HDP/CVD-Abscheidungsprozess aufgebrachten Siliziumnitridliner abgedeckten Abschnitten des Halbleitersubstrats ausgebildet werden gegenüber solchen p-Kanal Transistoren, die nicht auf vormals mit einem Siliziumnitridliner abgedeckten Abschnitten des Halbleitersubstrats ausgebildet werden, eine vergleichsweise hohe Degradationsrate auf.
  • Der Erfindung liegt die Aufgabe zugrunde, ein Verfahren zur Erzeugung von Isolatorstrukturen durch Füllen von in ein Halbleitersubstrat eingebrachten Isolatorgräben zur Verfügung zu stellen, bei dem Isolatorgräben mit hohem Aspektverhältnis zuverlässig und vollständig gefüllt werden und eine Funktio nalität von nachfolgend im Halbleitersubstrat ausgebildeten Halbleitereinrichtungen gewährleistet bleibt. Der vorliegenden Erfindung liegt zudem die Aufgabe vor, eine Isolatorstruktur zur Verfügung zu stellen, durch die eine Funktionsintegrität der nachfolgend im Halbleitersubstrat ausgebildeten Halbleitereinrichtungen, insbesondere von p-Kanal Transistoren, sicherstellt ist.
  • Diese Aufgabe wird bei einem Verfahren der eingangs genannten Art durch die im kennzeichnenden Teil des Patentanspruchs 1 genannten Merkmale gelöst. Eine die Aufgabe lösende Isolatorstruktur ist im Patentanspruch 14 wiedergegeben. Vorteilhafte Weiterbildungen ergeben sich jeweils aus den Unteransprüchen.
  • Das erfindungsgemäße Verfahren zum Erzeugen von Isolatorstrukturen in einem Halbleitersubstrat sieht also in zunächst bekannter Weise ein Einbringen von Isolatorgräben von einer Substratoberfläche her in das Halbleitersubstrat und ein Anfüllen der Isolatorgräben mit einer Hauptlage aus einem mit einem Zusatzstoff dotierten Isolatormaterial im Zuge eines auf einem hochdichten Plasma gestützten (HDP-)Abscheidungsprozesses vor.
  • Erfindungsgemäß wird nun vor einer Abscheidung der Hauptlage im Zuge des HDP-Abscheidungsprozesses in-situ eine Barrierenschicht erzeugt, die eine Wechselwirkung des Zusatzstoffes mit dem Halbleitersubstrat blockiert.
  • Es konnte nämlich zunächst beobachtet werden, dass p-Kanal-Transistoren, die in üblicher Technik außerhalb der Isolatorstrukturen im Bereich der Substratoberfläche des Halbleitersubstrats ausgebildet werden, einer fortschreitenden Degradation unterliegen, wenn die p-Kanal-Transistoren in vor dem HDP/CVD-Abscheidungsprozess in herkömmlicher Weise mit einem Siliziumnitridliner abgedeckten Abschnitten ausgebildet werden. An in sonst gleicher Weise ausgebildeten p-Kanal-Transistoren konnte jedoch unerwartet eine deutlich verringerte Degradation festgestellt werden, wenn statt des Siliziumnitridliners eine erfindungsgemäß erzeugte Barrierenschicht gegen ein Ausgasen der Zusatzstoffe vorgesehen wurde.
  • Es wird dabei angenommen, dass eine für eine Degradation der p-Kanal-Transistoren maßgebende Eigenschaft der Siliziumnitrid- bzw. Barrierenschicht im Herstellungsprozess selbst bzw. in der Nachbarschaft des Herstellungsprozesses zu weiteren Prozessschritten begründet liegt. Die erfindungsgemäß hergestellte Barrierenschicht unterscheidet sich etwa von bekannten Plasmanitridschichten typischerweise durch den engen örtlichen und zeitlichen Zusammenhang mit einer unmittelbar anschließenden Abscheidung von mit einem Zusatzstoff dotierten Siliziumoxid.
  • Darüber hinaus ist für eine Entfernung eines herkömmlichen Siliziumnitridliners eine relativ aufwändige Prozessierung notwendig, da sie in der Regel auch eine Entfernung einer mit dem Siliziumnitridliner verbundenen Oxidschicht einschließlich eines damit erforderlichen Anneal-Schritts erfordert. Die erfindungsgemäße vorgesehene Barrierenschicht lässt sich dagegen relativ einfach zusammen mit der Rückbildung von oberhalb der Substratoberfläche aufgebrachten Isolatormaterial entfernen.
  • In bevorzugter Weise wird im Zuge des HDP-Abscheidungsprozesses unmittelbar nach dem Erzeugen der Barrierenschicht das mit einem Zusatzstoff dotierte Isolatormaterial in einem Hauptabscheidungsschritt abgeschieden und dabei eine Hauptlage der Isolatorstruktur erzeugt.
  • Das erfindungsgemäße Verfahren ermöglicht es also zum einen, Isolatorgräben mit hohem Aspektverhältnis in nahezu idealer Weise ohne Ausbildung von durch Oxid geringer Güte gekennzeichnete Defektbereiche zu füllen. Das erfindungsgemäße Verfahren ermöglicht es zudem, in anderen Abschnitten des Halbleitersubstrats p-Kanal-Transistoren mit geringer Degradationsneigung auszubilden.
  • Der beobachtete Effekt ist besonders vorteilhaft, wenn im Zuge des HDP-Abscheidungsprozesses vor dem Abscheiden der Barrierenschicht ein Vorabscheidungsprozess unter Ausschluss von Halogenen oder Halogenverbindungen gesteuert und dabei eine Zusatzlage der Isolatorstruktur vorgesehen wird.
  • Durch die Zusatzlage erfolgt in einfacher Weise eine vorteilhafte Anpassung der Hauptlage bzw. der Barrierenschicht an das Halbleitersubstrat. Ein Material, bzw. eine Zusammensetzung der Zusatzlage wird so gewählt, dass Wechselwirkungen der Zusatzlage mit dem Halbleitersubstrat, etwa bezüglich einer Beschaffenheit der Grenzfläche zwischen Zusatzlage und Halbleitersubstrat sowie Adhäsions- und Diffusionseigenschaften, bekannt und in einfacher Weise beherrschbar sind. Eine weitere Anpassung des Halbleitersubstrats an die Eigenschaften der Hauptlage bzw. die Barriereschicht erübrigt sich. Die Zusatzlage wird bevorzugt aus undotiertem Siliziumoxid gebildet. Die Dicke der Zusatzlage wird so gewählt, dass das Halbleitersubstrat mindestens im Bereich der Isolatorgräben möglichst vollständig durch sie abgedeckt wird.
  • In besonders vorteilhafter Weise werden der Vorabscheidungsprozess, im Zuge dessen die Zusatzlage erzeugt wird, die Erzeugung der Barrierenschicht und der Hauptabscheidungsprozess, im Zuge dessen die Hauptlage erzeugt wird, aufeinander folgend und in-situ in der gleichen Prozesskammer gesteuert.
  • Übergänge zwischen der Zusatzlage und der Barriereschicht, bzw. zwischen der Barriereschicht und der Hauptlage werden keiner anderen als einer gesteuerten Prozessumgebung ausgesetzt, so dass an den Übergängen keine undefinierten Interface-Oberflächen ausgebildet werden. Zudem ist ein solches Verfahren schnell und ermöglicht einen hohen Durchsatz an Halbleitersubstraten.
  • Als Zusatzstoff ist bevorzugt ein Halogen oder eine Halogenverbindung in Betracht zu ziehen, wobei das Halogen im Zuge eines HDP/CVD-Abscheidungsprozesses als Ätzkomponente wirken kann. Als Halogen wird in vorteilhafter Weise Fluor vorgesehen, das aus Stickstofftrifluorid NF3 als chemische Vorläuferverbindung (Präkursor) hervorgeht.
  • Als Isolatormaterial wird bevorzugt Siliziumoxid vorgesehen, dessen Prozessierung in einem weiten Spektrum bekannt und gut beherrschbar ist.
  • Die Vorteile des erfindungsgemäßen Verfahrens kommen inbesondere dann zum Tragen, wenn ein während des HDP/CVD-Abscheidungsprozesses oberhalb der Substratoberfläche abgeschiedenes Isolatormaterial im Zuge eines nachfolgenden Ätzprozesses oder eines CMP-Prozesses bis zur Substratoberfläche zurückgebildet wird. Bei bekannten Verfahren werden dabei innerhalb der Isolatorgräben Defektbereiche mit Oxid geringer Güte freigelegt, durch das Ätzverfahren zurückgebildet und nachfolgend in nachteiliger Weise mit leitfähigen Material füllbar. Durch das erfindungsgemäße Verfahren wird die Ausbildung von Defektbereichen vermieden.
  • Insbesondere dann, wenn nach der Ausbildung der Isolatorstrukturen auf der Substratoberfläche außerhalb der Isolatorstrukturen abschnittsweise p-Kanal-Transistoren ausgebildet werden, wird als besonderer Vorteil des erfindungsgemäßen Verfahrens einer schnellen Degradation der p-Kanal-Transistoren vorgebeugt.
  • Als chemische Vorläuferverbindungen werden dann im Zuge des Vorabscheidungsprozesses bevorzugt Silan und Sauerstoff zugeführt. Zumischbare Inertgase sind dabei Ar, He und H2.
  • Während des Hauptabscheidungsprozesses werden nach einer besonders bevorzugten Ausführungsform des erfindungsgemäßen Verfahrens Silan, Sauerstoff und Stickstofftrifluorid NF3 als chemische Vorläuferverbindungen zugeführt. Im Unterschied zu üblichen Verfahren, im Zuge derer als Vorläuferverbindung für fluordotiertes Siliziumoxid Siliziumtetrafluorid SiF4 zugeführt wird, lässt sich damit ein Fluoranteil in der Hauptlage der Isolatorstruktur unabhängig vom Siliziumanteil einstellen. In der Folge lassen sich durch den gewonnenen zusätzlichen Prozessparameter die Eigenschaften des fluordotierten Siliziumoxids weiter an Prozesserfordernisse anpassen.
  • Die Barrierenschicht wird in bevorzugter Weise aus Si-N, Si-O-N, Si-C, Si-O-C, amorphen Silizium und/oder nitridiertem Siliziumoxid ausgebildet. Dabei weist eine erfindungsgemäß erzeugte Siliziumnitridschicht bezüglich der Ausbildung von p-Kanal Transistoren im Halbleitersubstrat deutlich bessere Eigenschaften aus als herkömmlich erzeugte Siliziumnitridliner.
  • Die Siliziumnitridschicht wird bevorzugt unter Verwendung der Vorlaüferverbindungen N2 und SiH4 gebildet, wobei daneben Inertgase wie Ar, He und H2 zugegeben werden können.
  • Eine Verwendung des erfindungsgemäßen Verfahrens ist insbesondere dann vorteilhaft, wenn die Isolatorstrukturen aus dem Füllen von Isolatorgräben hervorgehen, die ein Aspektverhältnis AR > 4:1 aufweisen.
  • Mit dem erfindungsgemäßen Verfahren wird in einem Halbleitersubstrat eine erfindungsgemäße Isolatorstruktur erzeugt. Die Isolatorstruktur umfasst zunächst eine aus einem mit einem Halogen dotierten Siliziumoxid gebildete und aus einem HDP-Abscheidungsprozess hervorgegangene Hauptlage. Erfindungsgemäß weist die Isolatorstruktur eine Barrierenschicht auf, die die Hauptlage vom Halbleitersubstrat trennt. Die Barrierenschicht geht aus einem in unmittelbaren Zusammenhang mit der Ausbildung der Hauptlage stehenden HDP-Abscheidungsprozesses hervor und verhindert eine Wechselwirkung von aus der Hauptlage ausgasenden Halogen mit dem Halbleitersubstrat.
  • In besonders vorteilhafter Weise ist zwischen der Barrierenschicht und dem Halbleitersubstrat eine Zusatzlage der Isolatorfüllung ausgebildet.
  • Die Barrierenschicht besteht in bevorzugter Weise aus Si-N, Si-C, Si-O-N, Si-O-C, amorphem Silizium und/oder nitridiertem Siliziumoxid. Die genannten Materialien sind geeignet, ein Ausgasen eines Halogens, insbesondere von Fluor, aus dem dotierten Siliziumoxid zu verhindern. Ferner stehen für die genannten Materialien geeignete Präkursoren für einen HDP/CVD-Abscheidungsprozess zur Verfügung.
  • Die erfindungsgemäße Isolatorstruktur ist insbesondere dann vorteilhaft, wenn die Isolatorstruktur in einem Isolatorgraben angeordnet ist, dessen Aspektverhältnis AR größer 4:1 ist. Bei Aspektverhältnissen AR > 4:1 zeichnen sich aus mindestens teilweise aus halogendotierten Siliziumoxid gebildete Isolatorstrukturen durch eine vergleichsweise geringe Zahl von Fehlstellen aus.
  • Nachfolgend wird die Erfindung anhand der Figuren näher erläutert, wobei einander entsprechenden Komponenten die selben Bezugszeichen zugeordnet sind. Es zeigen:
  • 1 Einen einer SEM-Aufnahme nachempfundenen maßstabsgetreuen Querschnitt durch eine nach einem ersten bekannten Verfahren prozessierte erste Isolatorstruktur in einem ersten Prozessstadium,
  • 2 eine einer SEM-Aufnahme nachempfundene maßstabsgetreue Draufsicht auf die nach dem ersten bekannten Verfahren prozessierte Isolatorstruktur in einem zweiten Prozessstadium,
  • 3 einen einer SEM-Aufnahme nachempfundenen maßstabsgetreuen Querschnitt durch eine nach einem zweiten bekannten Verfahren prozessierte Isolatorstruktur,
  • 4 einen einer SEM-Aufnahme nachempfundenen maßstabsgetreuen Querschnitt durch eine nach einem ersten Ausführungsbeispiel des erfindungsgemäßen Verfahrens prozessierte Isolatorstruktur,
  • 5 einen einer SEM-Aufnahme nachempfundenen maßstabsgetreuen Querschnitt durch eine nach einem zweiten Ausführungsbeispiel des erfindungsgemäßen Verfahrens prozessierte Isolatorstruktur und
  • 6 einen einer SEM-Aufnahme nachempfundenen maßstabsgetreuen Querschnitt durch eine nach einem dritten Ausführungsbeispiel des erfindungsgemäßen Verfahrens prozessierte Isolatorstruktur.
  • Die 1 bis 3 wurden bereits eingangs erläutert.
  • Anhand einer in der 4 schematisch dargestellten Beispielstruktur von in einem Halbleitersubstrat 1 eingebrachten Isolatorgräben 21 und aus dem einkristallinen Silizium des Halbleitersubstrats 1 gebildeten Stegen 22 wird das Prinzip des erfindungsgemäßen Verfahrens verdeutlicht.
  • Zunächst werden in das mindestens im betrachteten Abschnitt mit einer Schutzschicht 11, etwa einem Padnitrid, bedeckte Halbleitersubstrat 1 Isolatorgräben 21 eingebracht. Dabei werden zwischen den Isolatorgräben 21 mit remanenten Abschnitten der Schutzschicht 11 versehene Stege 22 ausgebildet. Im gezeichneten Ausführungsbeispiel wird das Halbleitersubstrat 1 in vereinfachter Darstellung ausschließlich aus einkristallinem Silizium gebildet. Tatsächlich sind im Halbleitersubstrat 1 bereits Teile von Kondensator- oder Transistorstrukturen ausgebildet, so dass die Isolatorgräben 21 abschnittsweise an andere Materialien als dem monokristallinen Silizium des Halbleitersubstrats 1 anschließen können.
  • Auf die Beispielstruktur wird zunächst in einer HDP/CVD-Prozesskammer eine nichtdotierte Siliziumoxidschicht als Zusatzlage 31 abgeschieden. Im Anschluss daran ist es erfindungsgemäß vorgesehen, mittels eines Wechsels der zugeführten Vorläufermaterialien in der selben HDP/CVD-Prozesskammer eine Barrierenschicht 32 zu erzeugen, die auf der Zusatzlage 31 aufliegt. Im Anschluss an die Erzeugung der Barrierenschicht 32 wird, wiederum durch einen Wechsel der zugeführten Vorläufermaterialien, die Hauptlage 33 abgeschieden. In diesem Ausführungsbeispiel werden dabei die Isolatorgräben 21 bis zu einer Oberkante der Hauptlage 33 unterhalb der Substratoberfläche 10 gefüllt. Durch einen erneuten einfachen Wechsel der zugeführten Vorläufermaterialien wird im Anschluss in der HDP/CVD-Prozesskammer in-situ eine Abschlusslage 34 ausgebildet, die eine Füllung 3 der Isolatorgräben 21 komplettiert. Damit weist die Isolatorstruktur 8 für nachfolgende Prozessierungsschritte gleiche Eigenschaften auf wie übliche Isolatorstrukturen. Es ist in der Folge keine weitere Anpassung der folgenden Prozessierungsschritte an die erfindungsgemäße Isolatorstruktur 8 notwendig.
  • Der 4 ist die aus der Zusatzlage 31, der Barrierenschicht 32, der Hauptlage 33 und der Abschlusslage 34 zusammengesetzte Isolatorfüllung 3 im Bereich der Isolatorgräben 21 zu entnehmen. Die Höhe der Stege 22 beträgt etwa 320 nm, die Dicke der Schutzschicht 11 130 nm
  • In der 5 ist der erfindungsgemäße Aufbau im Bereich einer Kante 5 dargestellt. Die Barrierenschicht 32 liegt im Bereich der Kante 5 nicht auf dem Material des Halbleitersubstrats 1 auf, sondern bleibt durch die Zusatzschicht 31 vom Halbleitersubstrat getrennt.
  • Eine nach einem weiteren Ausführungsbeispiel des erfindungsgemäßen Verfahren prozessierte Isolatorstruktur 8 ist in der 6 dargestellt. Die Isolatorgräben 21 weisen an der Öffnung eine Weite von etwa 200 nm sowie eine Tiefe von etwa 300 nm auf. Die Stege 22 werden durch jeweils etwa 150 nm dicke, remanente Abschnitte einer Schutzschicht 11 abgedeckt. Auf die durch die Isolatorgräben 21 und die Stege 22 gebildete Struktur wird zunächst eine etwa 100 nm dicke Zusatzlage 31 aus undotiertem Siliziumoxid abgeschieden. Die Abscheidung erfolgt in einer geeigneten Prozesskammer unter Zuführung der Präkursoren Silan SiH4 und Sauerstoff O2. In unmittelbaren Anschluss an die Abscheidung des undotierten Siliziumoxids wird in der selben Prozesskammer in-situ durch einen Wechsel der zugeführten Präkursoren eine dünne Barrierenschicht 32 erzeugt. Wiederum in unmittelbarem Anschluss an die Abscheidung der Barrierenschicht 32 wird in-situ durch die Zuführung der Präkursoren Silan SiH4, Sauerstoff O2 und Stickstofftrifluorid NF3 eine fluordotierte Siliziumoxidschicht als Hauptlage 33 erzeugt. In einer der 6 als Vorlage dienenden SEM-Aufnahme sind keine Defektbereiche mit Oxid geringer Güte erkennbar.
  • Beispiel:
  • Aufbringen einer mehrlagigen Isolatorfüllung in einer HDP/CVD-Prozesskammer:
    • – Vorsehen eines Quellenplasmas,
    • – erste undotierte Abscheidung einer Zusatzlage mit einer Vorspannung nach bekannter Art,
    • – Erzeugen einer Barrierenschicht,
    • – fluordotierte Abscheidung einer Hauptlage und
    • – undotierte Abscheidung einer Abschlusslage mit einer Vorspannung.
  • 1
    Halbleitersubstrat
    10
    Substratoberfläche
    11
    Schutzschicht
    12
    Nitridliner
    21
    Isolatorgraben
    22
    Steg
    3
    Isolatorfüllung
    30
    Facette
    31
    Zusatzlage
    32
    Barrierenschicht
    33
    Hauptlage
    34
    Abschlusslage
    5
    Kante
    6
    Defektbereiche
    7
    akiver Bereich
    72
    Gateleiterstruktur
    73
    Transistor

Claims (20)

  1. Verfahren zum Erzeugen von Isolatorstrukturen (8) in einem Halbleitersubstrat (1), bei dem – von einer Substratoberfläche (10) des Halbleitersubstrats (1) her Isolatorgräben (21) in das Halbleitersubstrat (1) eingebracht werden und – die Isolatorgräben (21) im Zuge eines auf einem hochdichten Plasma gestützten HDP-Abscheidungsprozesses mindestens teilweise mit einer Hauptlage (33) aus einem mit einem Halogen oder einer Halogenverbindung dotierten Isolatormaterial gefüllt werden, dadurch gekennzeichnet, dass vor einer Abscheidung der Hauptlage (33) im Zuge des HDP-Abscheidungsprozesses eine Barrierenschicht (32) erzeugt wird, die ein Ausgasen des Halogens aus dem dotierten Isolatormaterial verhindert und eine zur Bildung eines Oxids geringer Güte entlang einer Grenzfläche zum Halbleitersubstrat (1) führende Wechselwirkung des Halogens mit dem Halbleitersubstrat (1) blockiert.
  2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass im Zuge des HDP-Abscheidungsprozesses vor dem Abscheiden der Barrierenschicht (32) ein Vorabscheidungsprozess unter Ausschluss von Halogenen oder Halogenverbindungen gesteuert und dabei eine Zusatzlage (31) der Isolatorstruktur (8) erzeugt wird.
  3. Verfahren nach Anspruch 2, dadurch gekennzeichnet, dass der Vorabscheidungsprozess der Zusatzlage (31), die Erzeugung der Barrierenschicht (32) und ein die Hauptlage (33) betreffender Hauptabscheidungsprozess aufeinander folgend und in einer gemeinsamen Prozesskammer gesteuert werden.
  4. Verfahren nach einem der Ansprüche 1 bis 3, dadurch gekennzeichnet, dass als Halogen Fluor oder eine Fluorverbindung vorgesehen wird.
  5. Verfahren nach einem der Ansprüche 1 bis 4, dadurch gekennzeichnet, dass als Isolatormaterial Siliziumoxid vorgesehen wird.
  6. Verfahren nach einem der Ansprüche 1 bis 5, dadurch gekennzeichnet, dass im Zuge des HDP-Abscheidungsprozesses nach dem Erzeugen der hauptlage (33) ein Hilfsabscheidungsprozesses unter Ansschluss von Halogenen oder Halogenverbindungen gesteuert wird und dabei eine Abschlusslage (34) der Isolatorstruktur (8) vorgesehen wird.
  7. Verfahren nach einem der Ansprüche 1 bis 6, dadurch gekennzeichnet, dass ein Material, welches im Zuge des HDP-Abscheidungsprozesses oberhalb der Substratoberfläche (10) abgescheiden wird, bis zur Substratoberfläche (10) zurückgebildet wird.
  8. Verfahren nach einem der Ansprüche 1 bis 7, dadurch gekennzeichnet, dass außerhalb der Isolatorstrukturen (8) im Bereich der Substratoberfläche (10) p-Kanal-Transistoren (73) vorgesehen werden.
  9. Verfahren nach einem der Ansprüche 6 bis 8, dadurch gekennzeichnet, dass im Zuge der Vorabscheidungsprozesses Silan und Sauerstoff als chemische Vorläuferverbindungen zugeführt werden.
  10. Verfahren nach einem der Ansprüche 1 bis 9, dadurch gekennzeichnet, dass im Zuge des HDP-Abscheidungsprozesses Silan, Sauerstoff und NF3 als chemische Vorläuferverbindungen zugeführt werden.
  11. Verfahren nach einem der Ansprüche 1 bis 10, dadurch gekennzeichnet, dass das Material der Barrierenschicht (32) aus einer Si-N, Si-O-N, Si-C, Si-O-C, amorphes Silizium und nitridiertes Siliziumoxid umfassenden Gruppe gewählt wird.
  12. Verfahren nach Anspruch 11, dadurch gekennzeichnet, dass als Material der Barrierenschicht (32) Si-N gewählt wird und zur Erzeugung der Barrierenschicht (32) Silan und N2 als Präkursoren zugeführt werden.
  13. Verfahren nach Anspruch 11, dadurch gekennzeichnet, dass die Isolatorgräben (21) mit einem Aspektverhältnis größer 5:1 vorgesehen werden.
  14. Isolatorstruktur (8) in einem Halbleitersubstrat (1), umfassend eine aus mit einem Halogen dotierten Siliziumoxid gebildete und aus einem HDP-Abscheidungsprozess hervorgegangene Hauptlage (33), gekennzeichnet durch eine die Hauptlage (33) vom Halbleitersubstrat (1) trennende und in unmittelbarem Zusammenhang mit der Hauptlage (33) mittels eines HDP-Abscheidungsprozesses gebildete Barrierenschicht (32), die ein Ausgasen des Halogens aus der Hauptlage (33) verhindert und eine zur Bildung eines Oxids geringer Güte entlang einer Grenzfläche zum Halbleitersubstrat (1) führende Wechselwirkung des Halogens mit dem Halbleitersubstrat (1) blockiert.
  15. Isolatorstruktur nach Anspruch 14, gekennzeichnet durch eine die Barrierenschicht (32) vom Halbleitersubstrat (1) trennende und in unmittelbarem Zusammenhang mit der Barrierenschicht (32) mittels eines HDP-Abscheidungsprozesses gebildete Zusatzlage (31).
  16. Isolatorstruktur nach Anspruch 15, dadurch gekennzeichnet, die Zusatzlage (31) aus undotiertem Siliziumoxid gebildet ist.
  17. Isolatorstruktur nach einem der Ansprüche 14 bis 16, dadurch gekennzeichnet, dass die Barrierenschicht (32) aus Si-N, Si-O-N, Si-C, Si-O-C, amorphem Silizium und/oder nitridiertem Siliziumoxid besteht.
  18. Isolatorstruktur nach einem der Ansprüche 14 bis 17, dadurch gekennzeichnet, dass das Halogen Fluor ist.
  19. Isolatorstruktur nach einem der Ansprüche 14 bis 18, dadurch gekennzeichnet, dass die Isolatorstruktur (8) in einem Isolatorgraben (21) mit einem Aspektverhältnis größer 5:1 ausgebildet ist.
  20. Isolatorstruktur nach einem der Ansprüche 14 bis 19, gekennzeichnet durch eine auf der Hauptlage (33) angeordnete Abschlusslage (34) aus undotiertem Siliziumoxid.
DE10311312A 2003-03-14 2003-03-14 Isolatorstruktur und Verfahren zur Erzeugung von Isolatorstrukturen in einem Halbleitersubstrat Expired - Fee Related DE10311312B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE10311312A DE10311312B4 (de) 2003-03-14 2003-03-14 Isolatorstruktur und Verfahren zur Erzeugung von Isolatorstrukturen in einem Halbleitersubstrat
US10/798,863 US7052970B2 (en) 2003-03-14 2004-03-12 Method for producing insulator structures including a main layer and a barrier layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE10311312A DE10311312B4 (de) 2003-03-14 2003-03-14 Isolatorstruktur und Verfahren zur Erzeugung von Isolatorstrukturen in einem Halbleitersubstrat

Publications (2)

Publication Number Publication Date
DE10311312A1 DE10311312A1 (de) 2004-10-28
DE10311312B4 true DE10311312B4 (de) 2007-08-16

Family

ID=33038732

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10311312A Expired - Fee Related DE10311312B4 (de) 2003-03-14 2003-03-14 Isolatorstruktur und Verfahren zur Erzeugung von Isolatorstrukturen in einem Halbleitersubstrat

Country Status (2)

Country Link
US (1) US7052970B2 (de)
DE (1) DE10311312B4 (de)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005088694A1 (ja) * 2004-03-16 2005-09-22 Ishikawajima-Harima Heavy Industries Co., Ltd. 半導体装置の製造方法
KR100689826B1 (ko) * 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
KR100790296B1 (ko) * 2006-12-04 2008-01-02 주식회사 하이닉스반도체 플래시 메모리 소자의 제조방법
KR100818711B1 (ko) * 2006-12-07 2008-04-01 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US9396997B2 (en) * 2010-12-10 2016-07-19 Infineon Technologies Ag Method for producing a semiconductor component with insulated semiconductor mesas
CN103151295B (zh) * 2011-12-07 2016-02-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
KR102468781B1 (ko) 2015-07-01 2022-11-22 삼성전자주식회사 반도체 소자의 제조방법
DE102022107732A1 (de) 2022-03-31 2023-10-05 scia Systems GmbH Verfahren zum Herstellen einer Schichtstruktur, eine Schichtstruktur und ein Akustische-Wellen-Bauelement

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0936665A1 (de) * 1998-02-12 1999-08-18 Nec Corporation Halbleitervorrichtung mit flachem Isolationsgraben und dessen Herstellungsverfahren
US6033970A (en) * 1997-11-06 2000-03-07 Lg Semicon Co., Ltd. Method for forming device-isolating layer in semiconductor device
US6037018A (en) * 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6057209A (en) * 1997-07-10 2000-05-02 Advanced Micro Devices, Inc. Semiconductor device having a nitrogen bearing isolation region
US20020038901A1 (en) * 2000-10-04 2002-04-04 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6375744B2 (en) * 1997-04-02 2002-04-23 Applied Materials, Inc. Sequential in-situ heating and deposition of halogen-doped silicon oxide
US20020127880A1 (en) * 2000-09-25 2002-09-12 Yoshiyuki Tanaka Production method of semiconductor device
DE10228691A1 (de) * 2001-07-13 2003-03-13 Infineon Technologies Corp Verfahren zur Lückenausfüllung durch sequentielles HDP-CVD bei hohem Seitenverhältnis

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004111429A (ja) * 2002-09-13 2004-04-08 Renesas Technology Corp 半導体装置

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6375744B2 (en) * 1997-04-02 2002-04-23 Applied Materials, Inc. Sequential in-situ heating and deposition of halogen-doped silicon oxide
US6057209A (en) * 1997-07-10 2000-05-02 Advanced Micro Devices, Inc. Semiconductor device having a nitrogen bearing isolation region
US6033970A (en) * 1997-11-06 2000-03-07 Lg Semicon Co., Ltd. Method for forming device-isolating layer in semiconductor device
EP0936665A1 (de) * 1998-02-12 1999-08-18 Nec Corporation Halbleitervorrichtung mit flachem Isolationsgraben und dessen Herstellungsverfahren
US6037018A (en) * 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US20020127880A1 (en) * 2000-09-25 2002-09-12 Yoshiyuki Tanaka Production method of semiconductor device
US20020038901A1 (en) * 2000-10-04 2002-04-04 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same
DE10228691A1 (de) * 2001-07-13 2003-03-13 Infineon Technologies Corp Verfahren zur Lückenausfüllung durch sequentielles HDP-CVD bei hohem Seitenverhältnis

Also Published As

Publication number Publication date
DE10311312A1 (de) 2004-10-28
US7052970B2 (en) 2006-05-30
US20040241929A1 (en) 2004-12-02

Similar Documents

Publication Publication Date Title
DE102004050391B4 (de) Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
DE60005874T2 (de) Herstellungsverfahren für einen porösen Siliziumoxid-Film
DE10230088B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE19935946B4 (de) Verfahren zum Ausbilden einer dielektrischen Schicht
DE69733842T2 (de) Trench-seitenwänden aus n2o-nitriertem oxid zur verhinderung von bor-ausdiffusion und zur stress-reduzierung
DE102019201354A1 (de) Gate-Schnitt-Struktur mit Liner-Abstandshalter und zugehöriges Verfahren
DE102008026134A1 (de) Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102005028640A1 (de) Verfahren zur Herstellung eines Transistors mit einem Dreifachkanal in einem Speicherbauelement
DE102007052051B4 (de) Herstellung verspannungsinduzierender Schichten über einem Bauteilgebiet mit dichtliegenden Transistorelementen
DE19844451A1 (de) Sperrschicht und Herstellungsverfahren dafür
DE60005875T2 (de) Herstellungsverfahren für einen porösen Siliziumdioxid-Film
DE10154346C2 (de) Ausffüllen von Substratvertiefungen mit siliziumoxidhaltigem Material durch eine HDP-Gasphasenabscheidung unter Beteiligung von H¶2¶O¶2¶ oder H¶2¶O als Reaktionsgas
DE60034979T2 (de) Eine verbesserte Methode für die Abscheidung und Planarisierung von fluorierten BPSG Filmen
DE102016100323B4 (de) Verringern der Dual-Damascene-Verwerfung in integrierten Schaltkreisstrukturen
DE10235793B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung (MOS-Transistor)
DE10311312B4 (de) Isolatorstruktur und Verfahren zur Erzeugung von Isolatorstrukturen in einem Halbleitersubstrat
DE102005056262A1 (de) Verfahren zum Herstellen einer Schichtanordnung, Verfahren zum Herstellen eines elektrischen Bauelementes, Schichtanordnung und elektrisches Bauelement
DE102020129523A1 (de) Duale dielektrische schicht für schliessende verbindungsstelle in luftspaltstrukturen
DE102007030058B3 (de) Technik zur Herstellung eines dielektrischen Zwischenschichtmaterials mit erhöhter Zuverlässigkeit über einer Struktur, die dichtliegende Leitungen aufweist
DE102007046847A1 (de) Technik zum Kompensieren einer Differenz im Abscheideverhalten in einem dielektrischen Zwischenschichtmaterial
DE102004052577A1 (de) Technik zur Herstellung einer dielektrischen Ätzstoppschicht über einer Struktur, die Leitungen mit kleinem Abstand enthält
DE102005063129B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Isolationsgraben mit reduzierter Seitenwandverspannung
DE112007000751T5 (de) Graben-Isolationsstruktur mit einem erweiterten Abschnitt
DE102015213530B4 (de) Verfahren zur Herstellung eines eingebetteten Kondensators
EP1019958A1 (de) Verfahren zur ausbildung einer grabenstruktur in einem siliziumsubstrat

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8327 Change in the person/name/address of the patent owner

Owner name: QIMONDA AG, 81739 MUENCHEN, DE

8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee