DE102020129523A1 - Duale dielektrische schicht für schliessende verbindungsstelle in luftspaltstrukturen - Google Patents

Duale dielektrische schicht für schliessende verbindungsstelle in luftspaltstrukturen Download PDF

Info

Publication number
DE102020129523A1
DE102020129523A1 DE102020129523.5A DE102020129523A DE102020129523A1 DE 102020129523 A1 DE102020129523 A1 DE 102020129523A1 DE 102020129523 A DE102020129523 A DE 102020129523A DE 102020129523 A1 DE102020129523 A1 DE 102020129523A1
Authority
DE
Germany
Prior art keywords
dielectric layer
opening
air gap
conformal dielectric
conformal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020129523.5A
Other languages
English (en)
Inventor
Vincent J. McGahay
Craig R. Gruszecki
Ju Jin An
Tim H. Lee
Todd J. Van Kleeck
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102020129523A1 publication Critical patent/DE102020129523A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Eine Struktur umfasst eine Luftspaltstruktur, umfassend: eine Öffnung in einer ersten dielektrischen Schicht zwischen benachbarten Leitern und eine nicht konforme dielektrische Schicht über der Öffnung. In einigen Fällen verengt die nicht konforme dielektrische Schicht einen Endabschnitt der Öffnung des Luftspalts, verschließt die Öffnung aber möglicherweise nicht. In anderen Fällen kann die nicht konforme Schicht den Endabschnitt der Öffnung verschließen und eine Verbindungsstelle darin umfassen. Die Luftspaltstruktur kann auch eine konforme dielektrische Schicht auf der nicht konformen dielektrischen Schicht aufweisen. Die konforme Schicht verschließt entweder den Endabschnitt der Öffnung oder, falls vorhanden, die Verbindungsstelle. Die Struktur kann auch eine Verdrahtungsschicht über der Luftspaltstruktur umfassen.

Description

  • Hintergrund
  • Die vorliegende Erfindung betrifft die Herstellung von integrierten Schaltungen (ICs) und insbesondere eine Struktur mit einer Luftspaltstruktur und einer Verdrahtungsschicht und ein damit verbundenes Verfahren. Die Struktur und das Verfahren verwenden eine duale dielektrische Schicht mit einer konformen dielektrischen Schicht über einer nicht konformen dielektrischen Schicht über einer Öffnung, um eine jede Verbindungsstelle in der nicht konformen dielektrischen Schicht zu schließen.
  • In einer Vielzahl von IC-Systemen werden Luftspaltstrukturen bereitgestellt, um das Leistungsvermögen zu verbessern. Zum Beispiel werden Hochfrequenzschalter (HF-Schalter) häufig in Telekommunikationsgeräten wie Smartphones verwendet, um hochfrequente Telekommunikationssignale über Übertragungswege zu leiten. Zum Beispiel werden HF-Schalter häufig in Smartphones verwendet, um die Verwendung mit verschiedenen digitalen drahtlosen Technologiestandards zu ermöglichen, die in verschiedenen Regionen verwendet werden. Aktuelle HF-Schalter werden im Allgemeinen unter Verwendung von Halbleiter-auf-Isolator (SOI) -Substraten hergestellt. SOI-Substrate verwenden in der Regel ein geschichtetes Silizium-Isolator-Siliziumsubstrat anstelle eines herkömmlicheren Siliziumsubstrats (Bulk-Substrat). SOI-basierte Bauelemente unterscheiden sich von herkömmlichen in Silizium gefertigten Bauelementen dadurch, dass der Siliziumübergang über einem elektrischen Isolator liegt, typischerweise Siliziumdioxid oder (seltener) Saphir. Bei HF-Schaltern, die in SOI-Substraten ausgebildet sind, besteht eine Herausforderung darin, zwei konkurrierende Parameter zu steuern: den Einschaltwiderstand (Ron), d.h. den Widerstand des Schalters beim Einschalten, und die Ausschaltkapazität (Coff), die das Ausmaß von Übersprechen oder Rauschen angibt, das innerhalb des Systems auftreten kann. D.h., die Menge der übertragenen Signale auf einer Schaltung erzeugt einen unerwünschten Effekt auf einer anderen Schaltung. Ron sollte möglichst niedrig sein, wenn der HF-Schalter eingeschaltet ist, um den Stromverbrauch zu reduzieren, und Coff sollte minimal sein, um ein unerwünschtes Kopplungsrauschen zu verringern.
  • Zur Verbesserung des Leistungsvermögens werden Luftspaltstrukturen in HF-Schaltersystemen zwischen den Drähten gebildet, um den Wert von Coff zu senken. Luftspaltstrukturen werden typischerweise dadurch gebildet, dass ein offener Raum erzeugt und der offene Raum dann durch Abscheiden einer dielektrischen Schicht, z.B. auf TEOS (Tetraethylorthosilikat, Si(OC2H5)4) -basiertes oder auf Silan (SiH4) -basiertes Siliziumdioxid (SiO2), verschlossen wird. Siliziumdioxid auf TEOS-Basis ist konform und füllt zu viel des Luftspalts, wodurch die Verbesserung des Leistungsvermögens verringert wird. Siliziumdioxid auf Silan-Basis ist nicht konform und erzeugt Luftspalte mit guter Größe, führt aber zu einer Schwächung über dem Luftspalt. Die Schwächung hat typischerweise die Form einer großen Verbindungsstelle dort, wo sich das Dielektrikum verschließt, z.B. in einer anschaulichen Ausführungsform vertikal 170-270 Nanometer (nm) lang. Die Verbindungsstelle kann durch Feuchtigkeit, z.B. von nachfolgenden Nassätzungen, durchbrochen werden, die in den Luftspalt eindringt und in den Schichten über der Verbindungsstelle Blasen verursacht, wodurch Defekte entstehen. Ein Ansatz zur Lösung des Problems verengt den Spalt mit Hilfe von Abstandshaltern, aber dies verringert die Breite des Luftspalts und beschränkt somit eine jede Verbesserung des Leistungsvermögens. Ein anderer Ansatz verwendet eine dickere dielektrische Schicht, um die Öffnung und die höheren Kontakte zu verschließen, aber dieser Prozess entfernt die Verdrahtungsschichten über dem Luftspalt und erfordert möglicherweise unterschiedlich große Kontakte auf dem Chip.
  • Zusammenfassung
  • Ein erster Aspekt der Erfindung ist auf eine Struktur gerichtet, umfassend: eine Luftspaltstruktur mit: einer Öffnung in einer ersten dielektrischen Schicht zwischen benachbarten Leitern, einer nicht konformen dielektrischen Schicht über der Öffnung, wobei die nicht konforme dielektrische Schicht einen Endabschnitt der Öffnung schließt, einer Verbindungsstelle in der nicht konformen dielektrischen Schicht über dem Endabschnitt der Öffnung; einer konformen dielektrischen Schicht über der nicht konformen dielektrischen Schicht, wobei die konforme dielektrische Schicht so strukturiert ist, dass sie die Verbindungsstelle in der nicht konformen dielektrischen Schicht verschließt und frei von einer Verdrahtung ist; und eine Verdrahtungsschicht über der Luftspaltstruktur.
  • Ein zweiter Aspekt der Erfindung umfasst eine Struktur, umfassend: eine Luftspaltstruktur mit: einer Öffnung in einer ersten dielektrischen Schicht zwischen benachbarten Leitern, einer nicht konformen dielektrischen Schicht über der Öffnung, wobei die nicht konforme dielektrische Schicht einen Endabschnitt der Öffnung des Luftspalts verengt, aber die Öffnung nicht verschließt, und einer konformen dielektrischen Schicht auf der nicht konformen dielektrischen Schicht, wobei die konforme dielektrische Schicht so strukturiert ist, dass sie den Endabschnitt der Öffnung verschließt; und eine Verdrahtungsschicht über der Luftspaltstruktur.
  • Ein dritter Aspekt der Erfindung betrifft ein Verfahren, umfassend: ein Bilden einer Luftspaltstruktur mit: einem Bilden einer Öffnung in einer ersten dielektrischen Schicht zwischen benachbarten Leitern; einem Bilden einer nicht konformen dielektrischen Schicht über der Öffnung bis zu einem aus: einem Stoppen vor einem Schließen der Öffnung, um einen Endabschnitt der Öffnung offen zu lassen, und einem Schließen des Endabschnitts der Öffnung, wobei eine Verbindungsstelle in der nicht konformen dielektrischen Schicht über dem Endabschnitt der Öffnung erzeugt wird; einem Bilden einer konformen dielektrischen Schicht auf der nicht konformen dielektrischen Schicht bis zu einem aus: einem Schließen des Endabschnitts der Öffnung dort, wo der Endabschnitt offen verbleibt, um die Luftspaltstruktur zu bilden, und einem Schließen der Verbindungsstelle in der nicht konformen dielektrischen Schicht dort, wo die Verbindungsstelle in der nicht konformen dielektrischen Schicht erzeugt wird, um die Luftspaltstruktur zu bilden, wobei die konforme dielektrische Schicht frei von einer Verdrahtung ist; und ein Bilden einer Verdrahtungsschicht über der Luftspaltstruktur.
  • Das Vorstehende und andere Merkmale der Erfindung sind aus der folgenden detaillierten Beschreibung der Ausführungsformen der Erfindung ersichtlich.
  • Figurenliste
  • Die Ausführungsformen dieser Erfindung sind mit Bezug auf die folgenden Abbildungen ausführlich beschrieben, wobei gleiche Bezeichnungen gleiche Elemente bezeichnen und wobei:
    • 1 eine Querschnittsansicht einer anfänglichen Struktur für ein Verfahren gemäß den Ausführungsformen der Erfindung zeigt.
    • 2 eine vergrößerte Querschnittsansicht eines anschaulichen Transistor-Gates zeigt.
    • 3 eine Querschnittsansicht der Ätzung einer Öffnung entsprechend der Ausführungsform der Erfindung zeigt.
    • 4 eine Querschnittsansicht eines Entfernens einer Luftspaltmaske gemäß der Ausführungsform der Erfindung zeigt.
    • 5 eine Querschnittsansicht eines optionalen Aussparens einer Öffnung gemäß der Ausführungsform der Erfindung zeigt.
    • 6 eine vergrößerte Querschnittsansicht eines Details der Ausführungsform von 5 zeigt.
    • 7A und 7B Querschnittsansichten eines Bildens einer nicht konformen dielektrischen Schicht einer dualen dielektrischen Schicht gemäß den Ausführungsformen der Erfindung zeigen.
    • 8A und 8B Querschnittsansichten eines Bildens einer konformen dielektrischen Schicht aus einer dualen dielektrischen Schicht gemäß den Ausführungsformen der Erfindung zeigen.
    • 9A und 9B Querschnittsansichten eines Bildens einer Verdrahtungsschicht und der sich ergebenden Strukturen gemäß den Ausführungsformen der Erfindung zeigen.
  • Es wird darauf hingewiesen, dass die Zeichnungen der Erfindung nicht unbedingt maßstabsgerecht sind. Die Zeichnungen sollen nur typische Aspekte der Erfindung darstellen und sollten daher nicht als den Umfang der Erfindung beschränkend betrachtet werden. In den Zeichnungen stellen gleiche Bezugszeichen gleichartige Elemente zwischen den Zeichnungen dar.
  • Detaillierte Beschreibung
  • Die vorliegende Erfindung betrifft eine Struktur mit einer Luftspaltstruktur und einer Verdrahtungsschicht. Die Luftspaltstruktur kann umfassen: eine Öffnung in einer ersten dielektrischen Schicht zwischen benachbarten Leitern und eine nicht konforme dielektrische Schicht über der Öffnung. In einigen Fällen verengt die nicht konforme dielektrische Schicht einen Endabschnitt der Öffnung des Luftspalts, verschließt die Öffnung aber möglicherweise nicht. In anderen Fällen kann die nicht konforme Schicht den Endabschnitt der Öffnung verschließen und eine Verbindungsstelle darin aufweisen. Die Luftspaltstruktur kann auch eine konforme dielektrische Schicht auf der nicht konformen dielektrischen Schicht aufweisen. Die konforme Schicht verschließt entweder den Endabschnitt der Öffnung oder, falls vorhanden, die Verbindungsstelle. Es ist ebenfalls ein Verfahren zum Bilden der Struktur vorgesehen. Der Luftspalt reduziert die Kapazität zwischen einem Transistor-Gate und benachbarten Drähten, Kontakten und Durchkontaktierungen, die z.B. zur Kontaktierung von Source und Drain des Transistors verwendet werden. Die dualen dielektrischen Schichten können einen Endabschnitt der Öffnung des Luftspalts schließen und zusätzlich eine Verbindungsstelle in einer der dielektrischen Schichten schließen. Die dualen dielektrischen Schichten, die die Öffnung und/oder Verbindungsstelle schließen, verhindern Defekte durch Feuchtigkeit, die bei der nachfolgenden Verarbeitung, z.B. Nassätzungen, in den Luftspalt eindringen kann.
  • Mit Bezug auf 1 ist ein Querschnitt einer Ausgangsstruktur für ein Verfahren zum Bilden einer Struktur 230 (9A-9B) gemäß Ausführungsformen der Erfindung dargestellt. 1-8B zeigen eine Bildung einer Luftspaltstruktur 188 (siehe z.B. 7B und 8B), und 9A-B zeigen ein Bilden einer Verdrahtungsschicht 210. 1 zeigt ein Halbleiterbauelement 100 nach einer Bildung einer Vorrichtungsschicht 102 und einer dielektrischen Schicht 104. Die dielektrische Schicht 104 ist eine erste dielektrische Schicht, die als eine Verbindungsschicht fungiert. Die Vorrichtungsschicht 102 ist so dargestellt, dass sie ein Halbleiter-auf-Isolator (SOI) -Substrat 106 mit einem Halbleitersubstrat 108 mit einer darüberliegenden Isolatorschicht 110 und einer darüberliegenden Halbleiter-auf-Isolator (SOI) -Schicht 112 umfasst. Das Substrat 108 und die SOI-Schicht 112 können ohne Beschränkung Silizium, Germanium, Siliziumgermanium, Siliziumcarbid und solche umfassen, die im Wesentlichen aus einem oder mehreren Ill-V-Verbindungshalbleitern mit einer durch die Formel AlX1GaX2InX3AsY1PY2NY3SbY4 definierten Zusammensetzung gebildet sind, wobei X1, X2, X3, Y1, Y2, Y3 und Y4 relative Anteile darstellen, die jeweils größer oder gleich Null sind, und wobei X1+X2+X3+Y1+Y2+Y3+Y4 = 1 (wobei 1 die gesamte relative Molmenge ist). Andere geeignete Materialien umfassen II-VI-Verbindungshalbleiter mit einer Zusammensetzung von ZnA1CdA2SeB,TeB2, wobei A1, A2, B1 und B2 relative Anteile sind, die jeweils größer oder gleich Null sind und wobei A1+A2+B1+B2 = 1 (1 ist die gesamte Molmenge). Darüber hinaus kann ein Teil oder das gesamte Halbleitersubstrat 108 und/oder die SOI-Schicht 112 verspannt sein. Zum Beispiel kann die SOI-Schicht 112 verspannt sein. Die SOI-Schicht 112 kann durch Flachgrabenisolationen (STI) 114 unterteilt sein. Die Isolatorschicht 110 kann jedes geeignete dielektrische Material für die gewünschte Anwendung umfassen, z.B. Siliziumdioxid (SiO2) oder (seltener) Saphir. Die Isolatorschicht 110 und/oder das STI 114 kann auch dasselbe Material aufweisen, z.B. Siliziumdioxid oder jedes andere hier beschriebene dielektrische Zwischenschichtmaterial. Während die Erfindung mit Bezug auf ein SOI-Substrat und mit Bezug auf einen HF-Schalter beschrieben wird, wird davon ausgegangen, dass die Ausführungsformen auf verschiedene alternative Halbleiterbauelemente angewendet werden können, wie z.B., aber nicht nur, auf rauscharme Verstärker (LNA) und Leistungsverstärker. Darüber hinaus können die Ausführungsformen auf verschiedene Substrate angewendet werden, wie z.B. ein Bulk-Substrat, Nanosheet, Nanodrähte usw.
  • Die Vorrichtungsschicht 102 umfasst auch eine Anzahl von darin ausgebildeten Transistoren 116. Jeder Transistor 116 kann jede jetzt bekannte oder später entwickelte Transistorstruktur umfassen, wie z.B. dotierte Source/Drain-Gebiete (nicht dargestellt) in der SOI-Schicht 112 mit einem Transistor-Gate 118 darüber und dazwischen. 2 zeigt eine vergrößerte Querschnittsansicht eines anschaulichen Transistor-Gates 118. Jedes Transistor-Gate 118 kann neben anderen Strukturen einen Körper 120 aus Polysilizium oder einen Metall-Gate-Leiter (allgemein als „PC“ bezeichnet), Abstandshalter 122 um den Körper 120, ein Gate-Dielektrikum 124 unter dem Körper 120, eine Silizidschicht 125 über dem Körper 120 (d.h. eine Silizium-Metall-Legierung) und eine Ätzstoppschicht 126 über der Silizidschicht 125 und/oder den Abstandshaltern 122 aufweisen. Die Abstandshalter 122 können jedes jetzt bekannte oder später entwickelte Abstandshaltermaterial wie z.B. Siliziumnitrid (Si3N4) umfassen und das Gate-Dielektrikum 124 kann jedes jetzt bekannte oder später entwickelte Gate-Dielektrikum umfassen, wie z.B.: Hafniumsilikat (HfSiO), Hafniumoxid (HfO2), Zirkoniumsilikat (ZrSiOx), Zirkoniumoxid (ZrO2), Siliziumoxid (SiO2), Siliziumnitrid (Si3N4), Siliziumoxynitrid (SiON), ein High-k-Material oder eine beliebige Kombination dieser Materialien. Die Ätzstoppschicht 126 kann jedes jetzt bekannte oder später entwickelte Ätzstoppmaterial wie z.B. Siliziumnitrid umfassen. Die Silizidschicht 125 kann jedes jetzt bekannte oder später entwickelte Silizidmaterial umfassen, z.B. Titan, Nickel, Kobalt usw. Jedes Transistor-Gate 118 kann in die Seite hinein, aus der Seite heraus oder entlang der Seite verlaufen, die in der Abbildung dargestellt ist. Während der Transistor 116 als Planartransistor dargestellt ist, kann die Erfindung auf jede Art von Transistor angewendet werden, wie z.B. ohne Beschränkung auf: FinFETs, Nanosheet-FETs, Nanodraht-FETs, usw.
  • Mit erneutem Bezug auf 1 kann die hier beschriebene dielektrische Schicht 104 eine Reihe von Schichten umfassen, darunter eine Kontakt- oder lokale Verbindungsschicht 130 (allgemein als Kontaktflächenschicht (CA) bezeichnet) und eine erste Metallschicht 132. Eine jede Schicht 130 bzw. 132 kann ein Zwischenschicht-Dielektrikum (ILD) 134 bzw. 136 aufweisen. Die ILDs 134 und 136 können ohne Beschränkung umfassen: Siliziumnitrid (Si3N4), Siliziumdioxid (SiO2), fluoriertes SiO2 (FSG), hydriertes Siliziumoxycarbid (SiCOH), poröses SiCOH, Borphosphorsilikatglas (BPSG), Silsesquioxane, mit Kohlenstoff (C) dotierte Oxide (insbesondere Organosilikate), die Siliziumatome (Si), Kohlenstoffatome (C), Sauerstoffatome (O) und/oder Wasserstoffatome (H) aufweisen, duroplastische Polyarylenether, SiLK (ein Polyarylenether, der von der Dow Chemical Corporation erhältlich ist), ein Silizium-Kohlenstoff aufweisendes Spin-on-Polymermaterial, das von JSR Corporation erhältlich ist, ein anderes Material mit niedriger Dielektrizitätskonstante (<3,9) oder Schichten davon. Jede Schicht 130, 132 kann auch eine entsprechende Deckschicht 138, 140 an einer oberseitigen Oberfläche davon aufweisen. Jede Deckschicht 138, 140 kann eine oder mehrere Schichten aufweisen, z.B. eine Siliziumdioxidschicht 142 und eine Ätzstoppschicht 144, die aus Siliziumnitrid (Nitrid), Siliziumcarbonitrid (SiCN) usw. gebildet wird, wie in der Technik bekannt ist. Verständlicherweise können auch verschiedene andere Formen von Deckschichten verwendet werden. Es wird weiterhin betont, dass die Deckschichten 138, 140 zwar als identisch dargestellt sind, es sich aber um unterschiedliche Materialien, Dicken usw. handeln kann.
  • Eine Anzahl von Kontakte 150 kann sich über die ILD 134 der Kontakt- oder lokalen Verbindungsschicht 130 (im Folgenden als „lokale Verbindungsschicht 130“ bezeichnet) bis zu verschiedenen Abschnitten der Vorrichtungsschicht 102 erstrecken. In dem gezeigten Beispiel erstrecken sich die Kontakte 150 bis zu den Source/Drain-Bereichen der Transistoren 116. Jeder Kontakt 150 kann einen Leiter wie Aluminium oder Kupfer innerhalb eines Ruthenium-Liners aus hochschmelzendem Metall aufweisen; es können jedoch auch andere hochschmelzende Metalle wie Tantal (Ta), Titan (Ti), Wolfram (W), Iridium (Ir), Rhodium (Rh) und Platin (Pt) usw. oder eine Mischung davon verwendet werden. Typischerweise erstrecken sich die Kontakte 150 meist vertikal innerhalb des Halbleiterbauelements 100, um die Leiter in deren Schichten zu verbinden, insbesondere vertikal wie auf der Seite abgebildet ist. Die erste Metallschicht 132 kann eine Anzahl von Metalldrähte 152 aufweisen. Jeder Metalldraht 152 kann die gleichen Materialien verwenden, wie sie für die Kontakte 150 aufgeführt sind. Im Gegensatz zu den Kontakten 150 erstrecken sich die Metalldrähte 152 meist horizontal oder seitlich in einer Schicht innerhalb des Halbleiterbauelements 100, um die Kontakte 150 darin zu verbinden, d.h. in eine Seite hinein, aus einer Seite heraus oder über eine Seite hinweg, wie abgebildet ist. Auf diese Weise kann die erste Metallschicht 132 einen Metalldraht 152 aufweisen, der seitlich parallel zum Transistor-Gate 118 in der Vorrichtungsschicht 102 verläuft, d.h. vertikal über, aber parallel zum Transistor-Gate 118. Das Halbleiterbauelement 100, wie in 1 dargestellt, kann mit jeder heute bekannten oder später entwickelten Halbleiterherstellungstechnik hergestellt werden, z.B. durch Materialabscheidung, fotolithografische Strukturierung und Ätzen, Dotierung usw. Obwohl die Kontakte 150 und die Drähte 152 in 1 als einzelne Damascene-Ebenen dargestellt sind, können sie mit Hilfe von Dual-Damascene-Ebenen, die mit einem hochschmelzenden Metall beschichtetes Kupfer oder Wolfram aufweisen, wie in der Technik bekannt ist, hergestellt werden.
  • „Abscheiden“ oder „Abscheidung“, wie hier verwendet, kann alle jetzt bekannten oder später entwickelten Techniken umfassen, die für das abzuscheidende Material geeignet sind, einschließlich, aber nicht beschränkt auf, zum Beispiel eine chemische Gasphasenabscheidung (CVD), Niederdruck-CVD (LPCVD), plasmagestützte CVD (PECVD), Semi-Atmosphären-CVD (SACVD) und High-Density-Plasma-CVD (HDPCVD), schnelle thermische CVD (RTCVD), Ultra-Hochvakuum-CVD (UHVCVD), CVD mit begrenzter Reaktionsverarbeitung (LRPCVD), metallorganische CVD (MOCVD), Kathodenzerstäubungsabscheidung, lonenstrahlabscheidung, Elektronenstrahlabscheidung, laserunterstützte Abscheidung, thermische Oxidation, thermische Nitrierung, Spin-on-Verfahren, physikalische Gasphasenabscheidung (PVD), Atomlagenabscheidung (ALD), chemische Oxidation, Molekularstrahlepitaxie (MBE), Plattierung, Verdampfung.
  • 1 und 3 zeigen auch ein Bilden einer Öffnung 166 in der dielektrischen Schicht 104 zwischen benachbarten Leitern, z.B. Kontakten 150 und/oder Metalldrähten 152. Die Öffnung 166 kann auf verschiedene Weise gebildet werden. 1 zeigt ein Bilden einer Luftspaltmaske 160, die einen Abschnitt 162 der dielektrischen Schicht 104 über der Vorrichtungsschicht 102 freilegt. Die Maske 160 kann z.B. nach der Damascene-Planarisierung der ersten Metallschicht 132, z.B. durch ein chemisch-mechanisches Polieren (CMP), gebildet werden und kann jedes jetzt bekannte oder später entwickelte Maskenmaterial aufweisen. Die Maske 160 wird auf konventionelle Weise strukturiert und geätzt, um darin Öffnungen 164 zu erzeugen.
  • 3 zeigt eine Querschnittsansicht eines Ätzens der Öffnung 166 durch die dielektrische Schicht 104 unter Verwendung der Luftspaltmaske 160 über dem Transistor-Gate 118. Die Öffnung 166 legt Seitenwände 170 der dielektrischen Schicht 104 frei, z.B. die ILDs 134, 136 der dielektrischen Schicht 104. Ein Ätzen bezieht sich im Allgemeinen auf ein Entfernen von Material aus einem Substrat (oder von auf dem Substrat gebildeten Strukturen) und wird oft mit einer angeordneten Maske durchgeführt, so dass das Material von bestimmten Bereichen des Substrats selektiv entfernt werden kann, während das Material in anderen Bereichen des Substrats nicht beeinflusst wird. Im Allgemeinen gibt es zwei Kategorien von Ätzungen, (i) Nassätzen und (ii) Trockenätzen. Nassätzen wird mit einem Lösungsmittel (wie z.B. einer Säure oder einer Base) durchgeführt, das aufgrund seiner Fähigkeit ausgewählt werden kann, ein bestimmtes Material (wie z.B. ein Oxid) selektiv aufzulösen, während ein anderes Material (wie z.B. Polysilizium oder Nitrid) relativ intakt bleibt. Diese Fähigkeit, bestimmte Materialien selektiv zu ätzen, ist grundlegend für viele Halbleiterherstellungsprozesse. Ein Nassätzen ätzt im Allgemeinen ein homogenes Material (z.B. Oxid) isotrop, aber ein Nassätzen kann auch einkristalline Materialien (z.B. einen Siliziumwafer) anisotrop ätzen. Trockenätzen kann mit einem Plasma durchgeführt werden. Plasmasysteme können in mehreren Modi arbeiten, indem die Parameter des Plasmas eingestellt werden. Beim gewöhnlichen Plasmaätzen entstehen energiereiche freie Radikale, die neutral geladen sind und an der Oberfläche des Wafers reagieren. Da neutrale Teilchen den Wafer aus allen Winkeln angreifen, ist dieser Prozess isotrop. Beim lonenfräsen oder Sputterätzen wird der Wafer mit energiereichen Ionen von Edelgasen bombardiert, die sich dem Wafer ungefähr aus einer Richtung nähern, und daher ist dieser Prozess stark anisotrop. Das plasmaunterstützte Ätzen (reactive ion etching, RIE) arbeitet unter Bedingungen zwischen Sputtern und Plasmaätzen und kann zur Erzeugung tiefer, schmaler Merkmale, wie z.B. STI-Gräben, verwendet werden. In 3 kann das Ätzen einen RIE umfassen. Die Öffnung 166 kann sich über das Transistor-Gate 118 bis zu einer Tiefe erstrecken, die vom Benutzer ausgewählt werden kann, z.B. basierend auf der Ätzdauer oder der Chemie. Im Hinblick auf eine Tiefe der Öffnung 166 kann das Ätzen der Öffnung 166 aufhören, wenn die Öffnung 166: auf die Ätzstoppschicht 126 (wie dargestellt) trifft oder diese erreicht; die Ätzstoppschicht 126 vertieft; die Ätzstoppschicht 126 entfernt (über diese hinausreicht) und die Silizidschicht 125 freilegt; den Körper 120 freilegt, z.B. wenn die Silizidschicht 125 nicht vorhanden ist oder vollständig entfernt wurde; oder die Ätzstoppschicht 126 nicht freilegt, indem sie sich nicht durch die ILD 134 oberhalb des Gates 118 erstreckt. Dementsprechend kann das Ätzen von 3 gesteuert werden, um das Ausmaß der Belichtung einer Oberseite 168 von Transistor-Gate 118 zu wählen.
  • 4 zeigt eine Querschnittsansicht der Struktur nach dem Entfernen der Luftspaltmaske 160 (3). Die Luftspaltmaske 160 (3) kann unter Verwendung eines jeden jetzt bekannten oder später entwickelten Lackstreifen in-situ oder ex-situ entfernt werden. Die Öffnungen 166 können eine Vielzahl von seitlichen Formen annehmen. Zum Beispiel können die Öffnungen 166 seitlich längliche Öffnungen (in die Seite hinein und aus der Seite heraus) über dem Transistor-Gate 118 darstellen. Das heißt, anstelle von einfachen vertikalen Öffnungen weisen die Öffnungen 166 eine Länge, z.B. kurz vor einem Transistor-Gate 118, auf, so dass sie parallel verlaufen. Alternativ kann ein Teil der Öffnung 166 in einer seitlich angeordneten T-Form geätzt werden, d.h. in einer T-Form, die horizontal in der Ebene der Seite angeordnet ist; oder die Öffnungen 166 können so gestaltet sein, dass sie neben den Kontakten 150 schmaler sind, um die Wahrscheinlichkeit zu verringern, dass der Kontakt 150 die Luftspaltstruktur 188 schneidet; oder die Öffnungen 166 können als viele, nicht unbedingt längliche unverbundene Öffnungen geätzt werden. Die Luftspaltöffnungen 166 können auch mit unterschiedlichen Breiten ausgebildet werden. Zum Beispiel kann die Breite der Luftspaltöffnung 166 verringert sein, z.B. in der Nähe von Kontakten 150 oder später gebildeten Durchkontaktierungen, um die Wahrscheinlichkeit zu verringern, dass die Luftspaltstruktur 188 die Kontakte oder Durchkontaktierungen aufgrund einer Fehlausrichtung schneidet.
  • In diesem Stadium kann die Öffnung 166 geschlossen werden, um die Luftspaltstruktur 188 zu bilden (siehe z.B. 7B und 8B). Alternativ zeigt 5 eine Querschnittsansicht eines optionalen Vertiefens der freiliegenden Seitenwände 170 von ILD 134, 136 der dielektrischen Schicht 104 in der Öffnung 166. Neben anderen Vorteilen bewirkt das Vertiefen der Seitenwände 170 eine Vergrößerung der Öffnung 166 und damit der Luftspalte 188 (7B und 8B), wodurch die effektive Dielektrizitätskonstante der dielektrischen Schicht 104 verringert wird, während die obere Öffnung des Luftspalts, die im nächsten Verfahrensschritt verschlossen werden soll, schmaler bleibt als der Luftspalt selbst. Wenn Siliziumdioxidfilme für die lokalen Verbindungsschichten 130 und die ersten Metallschichten 132 verwendet werden und Siliziumnitrid für die Deckschicht(en) 138, 140, dann könnte eine Flusssäure (HF) -Nassätzung für diese Vertiefung verwendet werden (in 5 nur der Kürze halber durch Pfeile gekennzeichnet). Die HF-Konzentrationen könnten im Bereich von einer Verdünnung mit Wasser von 10:1 bis 500:1 liegen, wie in der Technik bekannt ist. Da die Dielektrika der Schichten 130 und 132 schneller ätzen als das Dielektrikum der Deckschicht(en) 138, 140 (1), zeigt 6, dass die Öffnungsweiten BB und CC breiter sind als die obere Luftspaltöffnung AA. Die Vertiefung kann z.B. ein Nassätzen umfassen, wie an anderer Stelle hier beschrieben ist. In einer in 5 und 6 gezeigten Ausführungsform kann das Vertiefen der freiliegenden Seitenwände 170 von ILD 134, 136 der dielektrischen Schicht 104 in der Öffnung 166 eine Kante 180, 182 von mindestens einer der lokalen Verbindungsdeckschicht 138 und der ersten Metalldeckschicht 140 in der Öffnung 166 freilegen. Gemäß der Beschreibung hierin helfen die Kanten 182 beim Schließen der Öffnung 166, um einen Luftspalt zu bilden, z.B. dadurch, dass ein Abschnüren der Öffnung 166 erleichtert wird. In diesem Stadium kann das Vertiefen auch dazu verwendet werden, die Öffnung 166 weiter zu vertiefen. Wenn z.B. die Öffnung 166 nicht durch die ILD 134 verläuft, um auf die Ätzstoppschicht 126 zu treffen oder diese zu berühren, kann die Aussparung die Öffnung 166 bis dorthin verlängern. In ähnlicher Weise könnte das Vertiefen die Öffnung 166 bis, siehe 2, zur Ätzstoppschicht 126 ausdehnen oder das Silizid 125 freilegen oder den Körper 120 freilegen. Außerdem könnte die Aussparung die Öffnung 166 weiter in die ILD 134 hineinreichen, ohne jedoch das Gate 118 freizulegen. Auf diese Weise kann das Ausmaß, in dem das Transistor-Gate 118 einer aus der Öffnung 166 gebildeten Luftspaltstruktur 188 (siehe z.B. 9A-B) ausgesetzt wird, zusätzlich zu der durch das Ätzen von 3 gegebenen Kontrolle genau gesteuert werden. Ein Zwischenraum (A-A in 6) zwischen den Kanten 182 kann eine Breite von z.B. 160 bis 200 nm aufweisen, die zur Unterstützung des Schließens der Öffnung 166 gesteuert werden kann. Gemäß der Beschreibung verringert die Verwendung der doppelten dielektrischen Schicht 189 (8A-B) zum Schließen der Öffnung 166 die Kritikalität der Breite zwischen den Kanten 182.
  • 7A-8B zeigen Querschnittsansichten eines Bildens einer Luftspaltstruktur 188 über dem Transistor-Gate 118 unter Verwendung einer dielektrischen dualen Deckschicht 189 (siehe z.B. 8A-B) gemäß den Ausführungsformen der Erfindung.
  • 7A und 7B zeigen ein Bilden einer nicht konformen dielektrischen Schicht 190 über der Öffnung 166. 7A zeigt ein Bilden einer nicht konformen dielektrischen Schicht 190, die vor dem Verschließen der Öffnung 166 aufhört, um einen Endabschnitt 192 der Öffnung 166 offen zu lassen. 7B zeigt die Bildung einer nicht konformen dielektrischen Schicht 190, die den Endabschnitt 192 der Öffnung 166 verschließt und offen lässt. In einer Ausführungsform kann die nicht konforme dielektrische Schicht 190 ein Siliziumdioxid auf Silanbasis aufweisen. Zum Beispiel kann die nicht konforme dielektrische Schicht 190 ein mittels einer chemischen Gasphasenabscheidung (CVD) abgeschiedenes Siliziumdioxid auf Silan-Basis umfassen. Insbesondere kann die nicht konforme dielektrische Schicht 190 eine plasmagestützte chemische Gasphasenabscheidung (PECVD) von Siliziumdioxid auf Silanbasis umfassen. Es können auch andere nicht konforme Dielektrika verwendet werden. PECVD-Siliziumdioxid auf Silan-Basis und ein nicht konformes dielektrisches Material können ausgewählt werden, weil sie eine sehr schlechte Stufenabdeckung haben, was zu einem größeren Luftspaltvolumen führt. Folglich kann die Öffnung 166 frei von der darin befindlichen nicht konformen dielektrischen Schicht 190 sein, z.B. an den Seitenwänden 170 der dielektrischen Schicht 104 - ILDs 134, 136, oder eine Spur der Schicht 190 (nicht dargestellt) kann die Seitenwände 170 beschichten. Gemäß der Darstellung in 7B kann, wenn die nicht konforme dielektrische Schicht 190 den Endabschnitt 192 der Öffnung 166 schließt, eine Verbindungsstelle 194 in der nicht konformen dielektrischen Schicht 190 über dem Endabschnitt 192 der Öffnung 166 erzeugt werden. Wie bereits erwähnt, führt die Verwendung eines nicht konformen Siliziumdioxids auf Silan-Basis zu einer Schwächung in Form einer Verbindungsstelle 194 über der Luftspaltstruktur 188. Im Gegensatz zur konventionellen Verarbeitung wird die Bildung der nicht konformen dielektrischen Schicht 190 gestoppt, bevor die Verbindungsstelle 194 sehr groß ist. In einem Beispiel kann die Verbindungsstelle 194 eine Länge zwischen 100 und 160 Nanometer (nm) aufweisen, nominell 130 nm, was deutlich kürzer ist als konventionelle Verbindungsstellen in solchen Schichten, wenn eine nicht konforme Schicht allein zum Verschließen der Öffnung 166 verwendet wird, z.B. 170-270 nm. Wie bereits erwähnt, kann die Verbindungsstelle 194, wenn sie so belassen wird, durch Feuchtigkeit, z.B. von nachfolgenden Nassätzungen, durchbrochen werden, die in die Luftspaltstruktur 188 eindringt und Blasen in den Schichten über der Verbindungsstelle verursacht, wodurch Defekte entstehen. Die nicht konforme dielektrische Schicht 190 kann eine Dicke zwischen z.B. 2100 und 2300 Ängström (Ä) aufweisen.
  • Wie bereits erwähnt, verwenden Ausführungsformen der Erfindung die duale dielektrische Schicht 189 (8A-B), um die Öffnung 166 zu schließen. Zu diesem Zweck, wie in 8A und 8B gezeigt, umfassen die Ausführungsformen der Erfindung weiterhin ein Bilden einer konformen dielektrischen Schicht 196 über der nicht konformen dielektrischen Schicht 190. Gemäß der Darstellung in 8A wird, wenn die nicht konforme dielektrische Schicht 190 den Endabschnitt 192 der Öffnung 166 nicht schließt, d.h. der Endabschnitt 192 offen bleibt, eine konforme dielektrische Schicht 196 gebildet, um den Endabschnitt 192 der Öffnung 166 zu verschließen und die Luftspaltstruktur 188 zu bilden. Im Gegensatz dazu, wie in 8B gezeigt, wo die nicht konforme dielektrische Schicht 190 den Endabschnitt 192 der Öffnung 166 schließt und die Verbindungsstelle 194 in der nicht konformen dielektrischen Schicht 190 erzeugt wird, wird die konforme dielektrische Schicht 196 die Verbindungsstelle 194 in der nicht konformen dielektrischen Schicht 190 schließen, um die Luftspaltstruktur 188 zu bilden. Die konforme dielektrische Schicht 196 kann z.B. durch Abscheidung von Siliziumdioxid auf der Basis von Tetraethylorthosilikat (TEOS) oder fluoriertem TEOS (FTEOS), z.B. einem PECVD-Siliziumdioxid auf TEOS-Basis, gebildet werden. Als solche umfasst die konforme dielektrische Schicht 196 Kohlenstoff (C), während die nicht konforme dielektrische Schicht 190 keinen Kohlenstoff umfasst. Es können auch andere konforme Dielektrika verwendet werden. Es wird darauf hingewiesen, dass die nicht konforme dielektrische Schicht 190 frei von einer Verdrahtung ist. Obwohl die konforme dielektrische Schicht 196 keine Metall- oder Verdrahtungsschicht umfasst, kann sie im Allgemeinen einen untersten Abschnitt der Drähte 214 aufweisen. Die konforme dielektrische Schicht 196 kann eine Dicke zwischen 2100 und 2300 Å aufweisen, wodurch die duale dielektrische Schicht 189 (8A-B) eine Dicke von 4200 bis 4600 Å aufweist.
  • Wie in 7B und 8A beobachtet, können die Kanten 182 der ersten Metalldeckschicht 140 (1) der ersten Metallschicht 132 die Öffnung 166 abschnüren, um das Schließen der Luftspaltstruktur 188 zu unterstützen. Die Verwendung der dualen dielektrischen Schicht 189 (8A-B) zum Verschließen der Öffnung 166 verringert jedoch die Kritikalität der Breite der Öffnung. Die Luftspaltstruktur 188 legt keinen Kontakt 150 oder Metalldraht 152 frei. Das heißt, die ILDs 134, 136 der dielektrischen Schicht 104 um die Luftspaltstruktur 188 bedecken jeden leitfähigen Draht 152 in der ersten Metallschicht 132 oder jeden leitfähigen Kontakt 150 in der lokalen Verbindungsschicht 130. Die Luftspaltstruktur 188 kann eines der seitlichen Layouts der Öffnung 166 aufweisen, wie beschrieben ist.
  • 9A-B zeigen Querschnittsansichten des Bildens einer Verdrahtungsschicht 210 über der Luftspaltstruktur 188 in den entsprechenden Ausführungsformen der 8A und 8B. Die Verdrahtungsschicht 210 kann mit jedem heute bekannten oder später entwickelten Verfahren gebildet werden. Zum Beispiel kann die Verdrahtungsschicht 210 gebildet werden, indem man eine zweite dielektrische Schicht 212 über der doppelten dielektrischen Schicht 189 bildet und darin Verdrahtungsöffnungen strukturiert, einen Liner und einen Leiter aufbringt und planarisiert, um Drähte 214 zu erzeugen. Die zweite dielektrische Schicht 212 kann jedes ILD-Material umfassen, das hierfür die ILDs 134, 136 aufgeführt ist. Gemäß der Darstellung ist eine untere Fläche 216 der Verdrahtungsschicht 210 (z.B. die untere Fläche der zweiten dielektrischen Schicht 212 oder Draht(Drähte) 214 darin) von einem Verschlusspunkt 218 (z.B. am Endabschnitt 192 oder oberhalb der Verbindungsstelle 194) der Luftspaltstruktur 188 durch mindestens einen Teil der konformen dielektrischen Schicht 196 beabstandet. Vor oder mit Bildung der Verdrahtungsschicht 210 können beliebige Kontakte 220 durch die duale dielektrische Schicht 189 gebildet werden, z.B. durch ein Bilden von Öffnungen dafür, Abscheiden eines Liners und eines Leiters und einem Planarisieren, um Kontakte 220 zu erzeugen. Die Materialien für die Kontakte 220 und/oder die Verdrahtung 214 können wie hier für die Kontakte 150 und die Verdrahtung 152 beschrieben sein. Die Drähte 214 und die Kontakte 220 können auch getrennt strukturiert, aber gleichzeitig metallisiert und planarisiert werden. In diesem Fall können die Drähte 214 in die konforme dielektrische Schicht 196 reichen.
  • 9A und 9B zeigen auch eine Struktur 230 gemäß den Ausführungsformen der Erfindung. Mit Bezug auf 9A kann die Struktur 230 die Luftspaltstruktur 188 mit der Öffnung 166 in der dielektrischen Schicht 104 zwischen benachbarten Leitern umfassen, z.B. Kontakte 150 und/oder Drähte 152. Die nicht konforme dielektrische Schicht 190 liegt über der Öffnung 166 und verengt den Endabschnitt 192 der Öffnung 166, verschließt aber nicht die Öffnung. Die Kanten 182 können beim Verschließen der Öffnung 166 helfen, um einen Luftspalt zu bilden, z.B. dadurch, dass ein Abschnüren der Öffnung 166 erleichtert wird. Die nicht konforme dielektrische Schicht 190 kann z.B. ein Siliziumdioxid auf Silanbasis umfassen. Die Öffnung 166 kann ohne die darin befindliche nicht konforme dielektrische Schicht 190 sein, z.B. an den Seitenwänden 170 der dielektrischen Schicht 104, ILDs 134, 136, oder es können sich Spuren der Schicht 190 (nicht dargestellt) an den Seitenwänden 170 befinden. Die Struktur 230 kann auch die konforme dielektrische Schicht 196 über der nicht konformen dielektrischen Schicht 190 umfassen. In dieser Ausführungsform verschließt die konforme dielektrische Schicht 196 den Endabschnitt 192 der Öffnung 166. Die konforme dielektrische Schicht 196 kann zum Beispiel aufweisen: Siliziumdioxid auf TEOS-Basis oder Siliziumdioxid auf FTEOS-Basis. Folglich umfasst die konforme dielektrische Schicht 196 Kohlenstoff (C). Es können auch andere konforme Dielektrika verwendet werden. Die nicht konforme dielektrische Schicht 190 kann eine Dicke zwischen 2100 und 2300 Å aufweisen und die konforme dielektrische Schicht 196 kann eine Dicke zwischen 2100 und 2300 Å aufweisen. Die Struktur 230 kann auch die Verdrahtungsschicht 210 über der Luftspaltstruktur 188 umfassen. Die Verdrahtungsschicht 210 kann eine zweite dielektrische Schicht 212 mit wenigstens einem Draht 214 darin enthalten. Die Struktur 230 weist auch eine untere Oberfläche 216 der Verdrahtungsschicht 210 auf, die von dem Verschlusspunkt 218 der Luftspaltstruktur 188 um mindestens einen Teil der konformen dielektrischen Schicht 196 beabstandet ist.
  • 9B zeigt eine Querschnittsansicht einer anderen Ausführungsform der Struktur 230, die der von 9A ähnlich ist, außer dass die nicht konforme dielektrische Schicht 190 die Verbindungsstelle 194 darin über dem Endabschnitt 192 der Öffnung 166 umfasst. Hier schließt die nicht konforme dielektrische Schicht 190 den Endabschnitt 192 der Öffnung 166 und bildet die Verbindungsstelle 194. Die Verbindungsstelle 194 kann eine Länge zwischen 100 und 160 nm aufweisen. Die konforme dielektrische Schicht 196 liegt über der nicht konformen dielektrischen Schicht 190 und verschließt die Verbindungsstelle 194 in der nicht konformen dielektrischen Schicht 190. Die konforme dielektrische Schicht 196 ist frei von einer Verdrahtung, z.B. die Verdrahtung 214 in der Verdrahtungsschicht 210. Vielmehr befindet sich die Verdrahtungsschicht 210 über der Luftspaltstruktur 188 mit der dualen dielektrischen Schicht 189, die die Öffnung 166 verschließt. Die Verdrahtungsschicht 210 kann eine zweite dielektrische Schicht 212 mit der darin befindlichen Verdrahtung 214 umfassen.
  • Die Struktur 230 kann verwendet werden, um eine Vielzahl von Vorrichtungen zu bilden, wie z.B. ohne Beschränkung: einen RFSOI-Schalter, einen Verstärker mit niedriger Amplitude, einen Leistungsverstärker usw. Die Verwendung der Luftspaltstruktur 188 entsprechend den verschiedenen Ausführungsformen der Erfindung bietet einen Mechanismus zur Reduzierung der Ausschaltkapazität und des Einschaltwiderstands jeder Vorrichtung, die sie verwendet, indem einer der Hauptfaktoren für die intrinsische FET-Kapazität gesteuert wird: die effektive Dielektrizitätskonstante der lokalen Verbindungsschicht 130 und der ersten Metallschicht 132 (1). Die duale dielektrische Schicht 189 gewährleistet einen verbesserten Verschluss der Öffnung 166. Ausführungsformen der Erfindung benötigen weniger Prozessschritte im Vergleich zu konventionellen Lösungen, die Abstandshalter verwenden, um das Schließen jeder Verbindungsstelle zu gewährleisten. Darüber hinaus reduziert die beschriebene Verarbeitung die Empfindlichkeit der Öffnung 166 der Luftspaltstruktur 188 in der Breite. Ausführungsformen der Erfindung ermöglichen auch eine gemeinsame Kontakthöhe 150 bei der Verarbeitung des Luftspalts und der luftspaltfreien Struktur, da kein dickeres Dielektrikum verwendet oder die Höhe der Kontakte in verschiedenen Teilen des Chips erhöht werden muss.
  • Das oben beschriebene Verfahren wird bei der Herstellung von Chips für integrierte Schaltungen verwendet. Die resultierenden integrierten Schaltungschips können vom Hersteller in der Form von rohen Wafern (d.h. als ein einziger Wafer mit mehreren ungehausten Chips), als nackter Chip oder in gehauster Form vertrieben werden. Im letzteren Fall wird der Chip in einem Einzelchip-Gehäuse (wie z.B. einem Kunststoffträger, mit Anschlüssen, die auf einer Hauptplatine oder einem anderen übergeordneten Träger befestigt sind) oder in einem Multichip-Gehäuse (wie z.B. ein Keramikträger mit Oberflächenverbindungen und/oder vergrabene Verbindungen) montiert. In jedem Fall wird der Chip dann mit anderen Chips, diskreten Schaltungselementen und/oder anderen Signalverarbeitungsgeräten als Teil von entweder (a) einem Zwischenprodukt, wie z.B. einer Hauptplatine, oder (b) einem Endprodukt integriert. Das Endprodukt kann ein jedes Produkt sein, das integrierte Schaltungschips umfasst, von Spielzeug und anderen Low-End-Anwendungen bis hin zu fortgeschrittenen Computerprodukten mit einem Bildschirm, einer Tastatur oder einem anderen Eingabegerät und einem Zentralprozessor.
  • Die hier verwendete Terminologie dient nur zur Beschreibung bestimmter Ausführungsformen und ist nicht als Beschränkung der Erfindung gedacht. Gemäß der Verwendung hierin sollen die Singularformen „ein, eine, einer“ und „der, die, das“ auch die Pluralformen einschließen, es sei denn, aus dem Kontext geht eindeutig etwas anderes hervor. Es wird ferner davon ausgegangen, dass die Begriffe „umfasst“ und/oder „umfassend“ bei der Verwendung in dieser Beschreibung ein Vorhandensein von angegebenen Merkmalen, ganzen Zahlen, Schritten, Operationen, Elementen und/oder Komponenten angeben, aber nicht das Vorhandensein oder die Hinzufügung von einem oder mehreren anderen Merkmalen, ganzen Zahlen, Schritten, Operationen, Elementen, Komponenten und/oder Gruppen davon ausschließen. „Optional“ oder „fakultativ“ bedeutet, dass das nachfolgend beschriebene Ereignis oder der nachfolgend beschriebene Umstand eintreten kann oder nicht eintreten kann und dass die Beschreibung Fälle, in denen das Ereignis eintritt, und Fälle umfasst, in denen es nicht eintritt.
  • Eine ungenaue Sprache, wie sie hier in der gesamten Beschreibung und in den Ansprüchen verwendet wird, kann angewandt werden, um jede quantitative Darstellung zu modifizieren, die zulässigerweise variieren könnte, ohne zu einer Änderung der Grundfunktion zu führen, auf die sie sich bezieht. Dementsprechend ist ein Wert, der durch einen oder mehrere Begriffe wie „ungefähr“, „annähernd“ und „im Wesentlichen“ modifiziert wird, nicht auf den genau angegebenen Wert zu beschränken. Zumindest in einigen Fällen kann die ungenaue Sprache der Genauigkeit eines Instruments zur Messung des Wertes entsprechen. Hier und in der gesamten Beschreibung und in den Ansprüchen können Bereichseinschränkungen kombiniert und/oder ausgetauscht werden, solche Bereiche sind gekennzeichnet und schließen alle darin enthaltenen Unterbereiche ein, es sei denn, der Kontext oder die Sprache weist auf etwas anderes hin. Das auf einen bestimmten Wert eines Bereichs angewandte „Näherungswert“ gilt für beide Werte und kann, sofern nicht anderweitig von der Genauigkeit des den Wert messenden Instruments abhängig, +/- 10% des/der angegebenen Wertes/Werte angeben.
  • Die entsprechenden Strukturen, Materialien, Handlungen und Äquivalente aller Mittel- oder Stufen-plus-Funktion-Elemente in den nachfolgenden Ansprüchen sollen jede Struktur, jedes Material oder jede Handlung zur Ausführung der Funktion in Kombination mit anderen beanspruchten Elementen, wie speziell beansprucht, umfassen. Die Beschreibung der vorliegenden Erfindung wurde zu Illustrations- und Beschreibungszwecken vorgelegt, soll aber weder erschöpfend noch auf die Erfindung in der offengelegten Form beschränkt sein. Viele Modifikationen und Variationen werden sich gegenüber denjenigen von gewöhnlichen Fachkenntnissen in der Kunst zeigen, ohne vom Umfang und Geist der Erfindung abzuweichen. Die Ausführungsform wurde gewählt und beschrieben, um die Prinzipien der Erfindung und der praktischen Anwendung am besten zu erläutern und um es anderen, die über gewöhnliche Fertigkeiten in der Kunst verfügen, zu ermöglichen, die Erfindung für verschiedene Ausführungsformen mit verschiedenen Modifikationen zu verstehen, die sich für die jeweilige beabsichtigte Verwendung eignen.

Claims (20)

  1. Struktur, umfassend: eine Luftspaltstruktur, umfassend: eine Öffnung in einer ersten dielektrischen Schicht zwischen benachbarten Leitern, eine nicht konforme dielektrische Schicht über der Öffnung, wobei die nicht konforme dielektrische Schicht einen Endabschnitt der Öffnung verschließt, eine Verbindungsstelle in der nicht konformen dielektrischen Schicht über dem Endabschnitt der Öffnung und eine konforme dielektrische Schicht über der nicht konformen dielektrischen Schicht, wobei die konforme dielektrische Schicht so strukturiert ist, dass sie die Verbindungsstelle in der nicht konformen dielektrischen Schicht verschließt und frei von einer Verdrahtung ist; und eine Verdrahtungsschicht über der Luftspaltstruktur.
  2. Struktur nach Anspruch 1, wobei die nicht konforme dielektrische Schicht ein Siliziumdioxid auf Silanbasis umfasst.
  3. Struktur nach Anspruch 1, wobei die konforme dielektrische Schicht eines umfasst aus: Siliziumdioxid auf der Basis von Tetraethylorthosilikat (TEOS) und Siliziumdioxid auf der Basis von fluoriertem TEOS (FTEOS).
  4. Struktur nach Anspruch 1, wobei die Verbindungsstelle eine Länge zwischen 100 und 160 Nanometer aufweist.
  5. Struktur nach Anspruch 1, wobei die konforme dielektrische Schicht Kohlenstoff (C) umfasst.
  6. Struktur nach Anspruch 1, wobei die Öffnung der Luftspaltstruktur frei von der darin befindlichen nicht konformen dielektrischen Schicht ist.
  7. Struktur nach Anspruch 1, wobei die nicht konforme dielektrische Schicht eine Dicke zwischen 2100 und 2300 Ångström und die konforme dielektrische Schicht eine Dicke zwischen 2100 und 2300 Ångström aufweist.
  8. Struktur nach Anspruch 1, wobei eine untere Oberfläche der Verdrahtungsschicht von einem Verschlusspunkt der Luftspaltstruktur durch mindestens einen Abschnitt der konformen dielektrischen Schicht beabstandet ist.
  9. Struktur, umfassend: eine Luftspaltstruktur, umfassend: eine Öffnung in einer ersten dielektrischen Schicht zwischen benachbarten Leitern, eine nicht konforme dielektrische Schicht über der Öffnung, wobei die nicht konforme dielektrische Schicht einen Endabschnitt der Öffnung verengt, aber die Öffnung nicht verschließt, und eine konforme dielektrische Schicht auf der nicht konformen dielektrischen Schicht, wobei die konforme dielektrische Schicht so strukturiert ist, dass sie den Endabschnitt der Öffnung verschließt; und eine Verdrahtungsschicht über der Luftspaltstruktur.
  10. Struktur nach Anspruch 9, wobei die nicht konforme dielektrische Schicht ein Siliziumdioxid auf Silanbasis umfasst.
  11. Struktur nach Anspruch 9, wobei die konforme dielektrische Schicht eines umfasst aus: Siliziumdioxid auf der Basis von Tetraethylorthosilikat (TEOS) und Siliziumdioxid auf der Basis von fluoriertem TEOS (FTEOS).
  12. Struktur nach Anspruch 9, wobei die konforme dielektrische Schicht Kohlenstoff (C) umfasst.
  13. Struktur nach Anspruch 9, wobei die Öffnung der Luftspaltstruktur frei von der darin befindlichen nicht konformen dielektrischen Schicht ist.
  14. Struktur nach Anspruch 9, wobei die nicht konforme dielektrische Schicht eine Dicke zwischen 2100 und 2300 Ångström und die konforme dielektrische Schicht eine Dicke zwischen 2100 und 2300 Ångström aufweist.
  15. Struktur nach Anspruch 9, wobei eine untere Oberfläche der Verdrahtungsschicht von einem Verschlusspunkt der Luftspaltstruktur durch mindestens einen Abschnitt der konformen dielektrischen Schicht beabstandet ist.
  16. Verfahren, umfassend: ein Bilden einer Luftspaltstruktur, umfassend: ein Bilden einer Öffnung in einer ersten dielektrischen Schicht zwischen benachbarten Leitern; ein Bilden einer nicht konformen dielektrischen Schicht über der Öffnung bis zu einem von: einem Stoppen vor einem Schließen der Öffnung, um einen Endabschnitt der Öffnung offen zu lassen, und einem Schließen des Endabschnitts der Öffnung, wobei eine Verbindungsstelle in der nicht konformen dielektrischen Schicht über dem Endabschnitt der Öffnung erzeugt wird; ein Bilden einer konformen dielektrischen Schicht auf der nicht konformen dielektrischen Schicht bis zu einem von: einem Schließen des Endabschnitts der Öffnung dort, wo der Endabschnitt offen verbleibt, um die Luftspaltstruktur zu bilden, und einem Schließen der Verbindungsstelle in der nicht konformen dielektrischen Schicht dort, wo die Verbindungsstelle in der nicht konformen dielektrischen Schicht erzeugt wird, um die Luftspaltstruktur zu bilden, wobei die konforme dielektrische Schicht frei von einer Verdrahtung ist; und ein Bilden einer Verdrahtungsschicht über der Luftspaltstruktur.
  17. Verfahren nach Anspruch 16, wobei das Bilden der nicht konformen dielektrischen Schicht ein Abscheiden von Siliziumdioxid auf Silan-Basis umfasst und das Bilden der konformen dielektrischen Schicht ein Abscheiden umfasst von einem aus: Siliziumdioxid auf Tetraethylorthosilikat-Basis (TEOS) und Siliziumdioxid auf fluoriertem TEOS (FTEOS).
  18. Verfahren nach Anspruch 16, wobei dort, wo die Verbindungsstelle in der nicht konformen dielektrischen Schicht erzeugt wird, die Verbindungsstelle eine Länge zwischen 100 und 160 Nanometer aufweist.
  19. Verfahren nach Anspruch 16, wobei die konforme dielektrische Schicht Kohlenstoff (C) umfasst.
  20. Verfahren nach Anspruch 16, wobei eine untere Oberfläche der Verdrahtungsschicht von einem Verschlusspunkt der Luftspaltstruktur durch mindestens einen Abschnitt der konformen dielektrischen Schicht beabstandet ist.
DE102020129523.5A 2019-12-10 2020-11-10 Duale dielektrische schicht für schliessende verbindungsstelle in luftspaltstrukturen Pending DE102020129523A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/708,866 US11127678B2 (en) 2019-12-10 2019-12-10 Dual dielectric layer for closing seam in air gap structure
US16/708,866 2019-12-10

Publications (1)

Publication Number Publication Date
DE102020129523A1 true DE102020129523A1 (de) 2021-06-10

Family

ID=75962582

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020129523.5A Pending DE102020129523A1 (de) 2019-12-10 2020-11-10 Duale dielektrische schicht für schliessende verbindungsstelle in luftspaltstrukturen

Country Status (4)

Country Link
US (2) US11127678B2 (de)
CN (1) CN112951762B (de)
DE (1) DE102020129523A1 (de)
TW (1) TWI765406B (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113644048B (zh) * 2020-04-27 2023-12-22 联华电子股份有限公司 半导体元件及其制造方法
US20230058468A1 (en) * 2021-08-23 2023-02-23 United Microelectronics Corp. Method of fabricating an air gap
US11910722B2 (en) * 2021-12-06 2024-02-20 International Business Machines Corporation Subtractive top via as a bottom electrode contact for an embedded memory

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5641712A (en) 1995-08-07 1997-06-24 Motorola, Inc. Method and structure for reducing capacitance between interconnect lines
US5847464A (en) * 1995-09-27 1998-12-08 Sgs-Thomson Microelectronics, Inc. Method for forming controlled voids in interlevel dielectric
US6165897A (en) * 1998-05-29 2000-12-26 Taiwan Semiconductor Manufacturing Company Void forming method for fabricating low dielectric constant dielectric layer
TW418497B (en) * 1999-04-27 2001-01-11 Taiwan Semiconductor Mfg Method for forming air gap between wiring structures
US6445072B1 (en) * 2000-07-17 2002-09-03 Advanced Micro Devices, Inc. Deliberate void in innerlayer dielectric gapfill to reduce dielectric constant
US6764919B2 (en) * 2002-12-20 2004-07-20 Motorola, Inc. Method for providing a dummy feature and structure thereof
DE102004003337A1 (de) * 2004-01-22 2005-08-18 Infineon Technologies Ag Plasmaangeregtes chemisches Gasphasenabscheide-Verfahren, Silizium-Sauerstoff-Stickstoff-haltiges Material und Schicht-Anordnung
US7396732B2 (en) * 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
JP4918778B2 (ja) * 2005-11-16 2012-04-18 株式会社日立製作所 半導体集積回路装置の製造方法
US7534696B2 (en) * 2006-05-08 2009-05-19 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
US7531407B2 (en) * 2006-07-18 2009-05-12 International Business Machines Corporation Semiconductor integrated circuit devices having high-Q wafer backside inductors and methods of fabricating same
US7566627B2 (en) * 2007-06-29 2009-07-28 Texas Instruments Incorporated Air gap in integrated circuit inductor fabrication
US8071459B2 (en) * 2008-04-17 2011-12-06 Freescale Semiconductor, Inc. Method of sealing an air gap in a layer of a semiconductor structure and semiconductor structure
US8058138B2 (en) * 2008-07-17 2011-11-15 Micron Technology, Inc. Gap processing
US7943474B2 (en) * 2009-02-24 2011-05-17 International Business Machines Corporation EDRAM including metal plates
US7892926B2 (en) * 2009-07-24 2011-02-22 International Business Machines Corporation Fuse link structures using film stress for programming and methods of manufacture
US7790601B1 (en) 2009-09-17 2010-09-07 International Business Machines Corporation Forming interconnects with air gaps
US8232618B2 (en) * 2010-08-11 2012-07-31 International Business Machines Corporation Semiconductor structure having a contact-level air gap within the interlayer dielectrics above a semiconductor device and a method of forming the semiconductor structure using a self-assembly approach
US8735279B2 (en) * 2011-01-25 2014-05-27 International Business Machines Corporation Air-dielectric for subtractive etch line and via metallization
US8471343B2 (en) * 2011-08-24 2013-06-25 International Bussiness Machines Corporation Parasitic capacitance reduction in MOSFET by airgap ild
CN107579038B (zh) * 2011-12-29 2021-02-26 英特尔公司 具有罩层的气隙互连以及形成的方法
US9490163B2 (en) * 2012-08-31 2016-11-08 Taiwan Semiconductor Manufacturing Company Limited Tapered sidewall conductive lines and formation thereof
JP2015026766A (ja) * 2013-07-29 2015-02-05 株式会社東芝 不揮発性半導体記憶装置およびその製造方法
US9305835B2 (en) * 2014-02-26 2016-04-05 International Business Machines Corporation Formation of air-gap spacer in transistor
JP6295802B2 (ja) 2014-04-18 2018-03-20 ソニー株式会社 高周波デバイス用電界効果トランジスタおよびその製造方法、ならびに高周波デバイス
WO2015195081A1 (en) * 2014-06-16 2015-12-23 Intel Corporation Seam healing of metal interconnects
US9305836B1 (en) * 2014-11-10 2016-04-05 International Business Machines Corporation Air gap semiconductor structure with selective cap bilayer
US9443956B2 (en) * 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer
US9484250B2 (en) * 2015-03-10 2016-11-01 International Business Machines Corporation Air gap contact formation for reducing parasitic capacitance
KR102403741B1 (ko) * 2015-06-16 2022-05-30 삼성전자주식회사 반도체 장치
US9607882B2 (en) * 2015-08-31 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
JP6828689B2 (ja) * 2015-10-16 2021-02-10 ソニー株式会社 半導体装置、および半導体装置の製造方法
US9362355B1 (en) * 2015-11-13 2016-06-07 International Business Machines Corporation Nanosheet MOSFET with full-height air-gap spacer
US9728447B2 (en) * 2015-11-16 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-barrier deposition for air gap formation
US10256296B2 (en) * 2015-11-24 2019-04-09 International Business Machines Corporation Middle-of-line (MOL) capacitance reduction for self-aligned contact in gate stack
US9653348B1 (en) * 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10157777B2 (en) 2016-05-12 2018-12-18 Globalfoundries Inc. Air gap over transistor gate and related method
US10211146B2 (en) * 2016-05-12 2019-02-19 Globalfoundries Inc. Air gap over transistor gate and related method
US10157778B2 (en) * 2016-05-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US9892961B1 (en) * 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10522642B2 (en) * 2016-12-14 2019-12-31 Taiwan Semiconductor Manufacturing Co. Ltd. Semiconductor device with air-spacer
US20190206718A1 (en) 2018-01-02 2019-07-04 Globalfoundries Inc. Back-end-of-line structures with air gaps
US10680102B2 (en) * 2018-09-27 2020-06-09 International Business Machines Corporation Reduction of top source/drain external resistance and parasitic capacitance in vertical transistors
US10971583B2 (en) * 2018-11-13 2021-04-06 Globalfoundries U.S. Inc. Gate cut isolation including air gap, integrated circuit including same and related method
US10903111B2 (en) * 2019-03-20 2021-01-26 International Business Machines Corporation Semiconductor device with linerless contacts
US11482456B2 (en) * 2019-03-21 2022-10-25 Globalfoundries U.S. Inc. Forming two portion spacer after metal gate and contact formation, and related IC structure
US11417749B2 (en) * 2019-06-14 2022-08-16 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with airgap and method of forming
US11018221B2 (en) * 2019-08-12 2021-05-25 Globalfoundries U.S. Inc. Air gap regions of a semiconductor device

Also Published As

Publication number Publication date
US11127678B2 (en) 2021-09-21
CN112951762A (zh) 2021-06-11
CN112951762B (zh) 2024-05-07
TWI765406B (zh) 2022-05-21
US20210175166A1 (en) 2021-06-10
TW202137400A (zh) 2021-10-01
US11705396B2 (en) 2023-07-18
US20210358840A1 (en) 2021-11-18

Similar Documents

Publication Publication Date Title
DE102017207873B4 (de) Verfahren zum Bilden eines Luftspalts für eine Halbleitervorrichtung
DE102017207777B4 (de) Luftspalt über Transistorgate und entsprechender RFSOI-Schalter
DE112017003172B4 (de) Bildung eines Luftspalt-Abstandhalters für nanoskalige Halbleiterbauelemente und Halbleitervorrichtung
DE102019201354B4 (de) Verfahren für eine Gate-Schnitt-Struktur mit Liner-Abstandshalter
DE102016114705B4 (de) Ätzstoppschicht für Halbleiter-Bauelemente
DE102012219171B4 (de) Verfahren zum Bilden einer dreidimensionalen (3D) integrierten Schaltung und entsprechend gebildete dreidimensionale (3D) integrierte Schaltung
DE102020129523A1 (de) Duale dielektrische schicht für schliessende verbindungsstelle in luftspaltstrukturen
DE102016114912B4 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
DE10056871B4 (de) Feldeffekttransistor mit verbessertem Gatekontakt und Verfahren zur Herstellung desselben
DE102010029533B3 (de) Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
DE102013108147B4 (de) Verfahren und Struktur für vertikalen Tunnel-Feldeffekttransistor und planare Vorrichtungen
DE102007020268B3 (de) Halbleiterbauelement und Verfahren zum Verhindern der Ausbildung von elektrischen Kurzschlüssen aufgrund von Hohlräumen in der Kontaktzwischenschicht
DE102020111378B4 (de) Reduzierte rc-verzögerung in halbleitervorrichtungen
DE102008026134A1 (de) Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102005039323A1 (de) Leitbahnanordnung sowie zugehöriges Herstellungsverfahren
DE102004005697B4 (de) Herstellungsverfahren für eine widerstandsfähige Via-Struktur und zugehörige Via-Struktur
DE102010002451A1 (de) Kontaktelemente von Halbleiterbauelementen, die einen kontinuierlichen Übergang zu Metallleitungen einer Metallisierungsschicht besitzen
DE102017124072A1 (de) Zusammenschaltungsstruktur für halbleitervorrichtung und verfahren zu ihrer herstellung
DE102004052577B4 (de) Verfahren zur Herstellung einer dielektrischen Ätzstoppschicht über einer Struktur, die Leitungen mit kleinem Abstand enthält
DE102013104368A1 (de) Verfahren für die Ausbildung einer Verbindungsstruktur
DE10056868A1 (de) Halbleiterbauteil mit verringerter Leitungskapazität und verringertem Übersprechrauschen
DE102009055433B4 (de) Kontaktelemente von Halbleiterbauelementen, die auf der Grundlage einer teilweise aufgebrachten Aktivierungsschicht hergestellt sind, und entsprechende Herstellungsverfahren
DE102019215117B4 (de) Verfahren zum Bilden einer Verbindungsstruktur mit reduzierter Variation im Widerstand
DE102018206438B4 (de) Verfahren zur Herstellung von Kontaktstrukturen
DE102016114724A1 (de) Verfahren zum Ausbilden von Gräben mit unterschiedlichen Tiefen

Legal Events

Date Code Title Description
R012 Request for examination validly filed