TW518693B - In situ deposition and integration of silicon nitride in a high density plasma reactor - Google Patents

In situ deposition and integration of silicon nitride in a high density plasma reactor Download PDF

Info

Publication number
TW518693B
TW518693B TW089124839A TW89124839A TW518693B TW 518693 B TW518693 B TW 518693B TW 089124839 A TW089124839 A TW 089124839A TW 89124839 A TW89124839 A TW 89124839A TW 518693 B TW518693 B TW 518693B
Authority
TW
Taiwan
Prior art keywords
layer
reaction chamber
plasma
fsg
substrate
Prior art date
Application number
TW089124839A
Other languages
English (en)
Inventor
Zhong-Qiang Hua
Kasra Khazeni
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW518693B publication Critical patent/TW518693B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

518693 A7 B7 五、發明說明( 經濟部智慧財產局員工消費合作社印製
登明背景: 本發明是有關適用於在半 而政α、 干,體基材製程上沉積一介 包層的万法和設備。本發 、反廄裒中、产 別疋有關於一在高密度電漿 反應…氣化破璃層和氮切沉積和整合的方法。 半導體元件在幾何上持續縮減尺寸’以便在每一製造 晶圓上提供更多的元件和更快速的元件。自從數十年前半 導體積體電路的引進,積髀雷 — ^ 返躓包路隨耆每一新世代元件向更 :密度的集積度發展。目前,一些元件是以結構間小於Ο ” 微米的技術製造。在一些例子中,結構間的間隔為1, 米。此等結構的例子為導線或金屬層±輕細的軌跡。一般 而言,此等執跡是以鋁製成。近來,以銅沉積軌跡的技術 已被發展出來。以銅來設計此等軌跡的理由在於鋼的導電 性比鋁好。 介電材質‘導體層(例如氧化矽層)經常沉積於圖案化 導體層之間和之上。此介電層可適用於多種目的,包括金 屬層間的電性絕緣、絕緣位於同一層的導電結構,以及保 1隻金屬層/結構免於物理或化學危害。當導電結構間的空間 或間隙變得更小時,元件間的電容將會變大。電容的增加 會減緩積體電路的運作速度。降低電容的一個方法是使用 低介電係數的絕緣材質。此種材質一般稱作低介電材質。 一種沉積低介電材質填充間隙的處理方法是結合鹵 素原子摻入二氧化矽層。例如,結合函素的方法揭露於美 國專利申請案第08/548,391號,其申請日為1 995年10月2 發明名稱為”METHOD AND APPARATUS FOR 曰 本紙張尺度適用中國國家標準(CNS)A4規格(210 χ 297公釐) 「丨丨:丨丨«裝------ (請先閱讀背面之注意事項再填寫本頁) 111111. %:· 518693 A7 B7 五、發明說明() IMPROVING FILM STABILITY OF HALOGEN-DOPED SILICON OXIDE FILMS”,以及美國專利申請案第 08/538,696號,其申請日為1995年10月2日,發明名稱 為 ” USE OF SiF4 TO DEPOSIT-DOPED FILMS OF GREATER STABILITY”,前述兩專利申請案在此併入作為 參考。吾人相信,li素的摻雜(例如氟)可降低氧化矽薄膜 的介電係數,因為i素的高電負度降低了全部矽氧氟網狀 結構的極性。氟摻雜氧化矽薄膜通常稱作氟化矽酸鹽玻璃 (FSG)薄膜。 氟的含量決定了 FSG層的性質,例如介電係數。氟含 量是由傅立葉轉換紅外線光譜(FTIR)藉由兩吸收峰值的 高度比的項來量測。第一個峰值(SiF)的高度代表存在的矽 氟鍵。第二個峰值(SiO)的高度代表存在的矽氧鍵。FSG内 的平均氟濃度&峰值的高度比(%PHR)表示如下: %PHR = SiF/SiO X 100% 以%PHR所得之FSG内的氟含量約略正比於FSG層内的氟 原子百分比(at.%F)。at.%F有時以下式來估計: at.%F = (%PHR) X K, K為一經驗式所決定之常數。氟濃度(at.%F)可以二次離子 質譜(SIMS)、全反射稀釋(ATR)或元素分析等方法來決 定。 一種沉積介電層的方法是藉由氣體的化學反應。例如 藉由化學氣相沉積(CVD)的沉積製程。恆溫CVD製程在基 材表面提供一反應性氣體,並在此發生熱誘導化學反應而 -- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 「!:丨丨令 (請先閱讀背面之注意事項再填寫本頁) 1 ·ϋ ΛΜ— ί J ,· vm MV Μ·· MM I 霉 · 言 經濟部智慧財產局員工消費合作社印製 518693 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 產生一所需要的薄膜。在某些恆溫CVD製程中的高溫會傷 害元件結構中的金屬層。另一方面,電漿加強化學氣相沉 積(P E C V D)製程利用電容搞式射頻(r f )能量於接近基材的 區域激發且/或分解反應物氣體,因而產生高反應性物種的 電漿。此等高反應性的物種降低了化學反應發生所需之能 量,因而降低了 CVD製程所需的溫度。不幸的是pECVD製 程會因其下方結構的地形而產生不同的沉積速率。此種現 象將會在間隙的底部形成空洞。 高密度電漿CVD(HDP-CVD)系統可以改善填充間 隙。在HDP-CVD中,RF線圈在低壓的狀態下產生一感應 耦式電漿。此電漿的密度和電容耦式PECVD電漿相較之下 其密度大100或更多倍。可以確信的是,使用較低反應室 壓力的HDP-CVD系統所提供的活性物質具有一長的平均 自由徑。長的手> 均自由徑和高密度的結合使得空間上接近 的間隙的最底部也有足夠數目的電漿去進行反應,而提供 一絕佳的薄膜對間隙的填充能力。向密度伴隨HDp_cVD 會促使沉積時產生濺鍍。可確信濺鍍會減緩在間隙上方的 >儿積速度而使仔間隙不會過早封閉。 不幸的是’當FSG層分隔銅導電軌跡時存在有一些問 題。一個問題是銅在F S G層中的高擴散性。再者,較差的 FSG層會自大氣或沉積製程時反應產物中吸收水氣。可藉 由在FSG層之上或FSG層和銅金屬層之間沉積一氮化梦層 來避免銅擴散或吸收水氣的現象。此氮化矽層為一擴散阻 障層。銅在氮化矽中擴散的長度約為15〇埃至2〇〇埃。因 —丨—丨—丨丨丨丨—丨•丨丨I丨丨丨丨訂·1丨丨丨丨—丨— (請先閱讀背面之注意事項再填寫本頁) 表紙張尺度適用中國國家標準(CNS)A4規格(210 X i 518693 A7 B7 經濟部智慧財產局員工消費合作社印製 第6頁 五、發明說明( 此,200埃厚度或更厚的氮仆 w 、、 鼠化發層(Sl3N4)可以避免銅擴散 進入位於氮化矽層之上或之下的介電層。不幸的是,在約 攝氏350度,FSG層氟會有出氣現象,並且在位於上方的氮 化石夕層形A「氣泡」。此等氣泡會導致氮切層的損傷。 一種典型順序利用HDP_Cvd沉積薄膜是引氬氣進入 反應室,然後在約40亳托耳的壓力下產生氬電漿。一旦電 j產生之後,反應室内的壓力降至5毫托耳(例如藉由打開 節流閥),而後將沉積氣體引進反應室並沉積薄膜。不幸 的是,藉由此法進行沉積的前幾秒鐘,因為每一個管口壓 力的差異使得沉積氣體無法均句的流人。當沉積氣二開始 泥入,若電漿已經存在,則沉積開始進行。因此,一開始 在電漿已存在下突然流入的沉積氣體會導致約數百埃厚 的不均句起始層。薄膜的不均勻性可藉由量測薄膜上一定 數目(例如,49)等距點的厚度和取其厚度分布曲線的半高 寬而得到。如前述方法的薄膜沉積,形成電漿的時間為Μ 秒鐘’其呈現不均勻度約為4·75%。不均勻度在3〇秒厚降 至3 · 5 % ’且在6 0秒後慢慢增加至4 %。 在沉積厚薄膜(例如,厚度超過1 〇 〇 〇埃)時,一舻不合 造成問題’因為起始厚度只佔全部厚度一極小的百^比: 例如,10,000埃厚度的薄膜具有300埃的不均勻起始層。、 均勾起始層只佔全部厚度3%。但是,若薄膜厚度小= 埃,同樣300埃厚度的不均勻起始層將佔全部厚度戈 更多。在帽蓋層一般不希望此種不均勻性存在。 因此在此技藝中需要一種具有氮化矽帽蓋層的穩定 木紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------裝--------訂—丨—----線 (請先閱讀背面之注意事項再填寫本頁) 518693 A7 B7 五、發明說明( 低介電常數FSG薄膜,在高溫具有強黏著性和一種伴隨的 沉積方法。 發明目的及概述: 本發明的方法是藉由沉積一氧化矽層(例如FsG)於基 材上,並在形成帽蓋層覆蓋該層之前先以氧處理此一介電 層來克服習知技術的缺點。氧處理可以穩定F s G層。在本 發明之一實施例中,FSG層的氟含量約大於7%,藉由辛高 比來量測,並藉由H D P - C V D來沉積和以氧電漿來處理。一 薄氮化矽層(厚度小於1000埃)以低壓衝擊沉積於FSG層之 上,如以下更完整的敘述。 低介電層的沉積是在一包含含矽氣體、含氟氣體和含 氧氣體的沉積反應室内,產生第一電漿和藉由第一電聚沉 積此第一介電層。第二介電層可以藉由引入一或更多製程 氣體進入沉積反應室’進行低壓衝擊起始電聚,並藉由第 二電漿沉積此第二介電層。低壓碰撞可藉由製程氣體的流 入而使得反應室内的壓力介於5至1 00亳托爾之間,打開偏 愿一段時間足以建立一弱電聚’此弱電漿可為電容搞式的 電漿。在建立弱電漿之後開啟源電壓並接著關閉偏壓。 另外,藉由沉積具有第一氟氧原子數比的FSG層形成 低介電常數薄膜,處理FSG層以減低氟對氧的比值,接著 依序沉積一氮化矽層於FSG層之上。在較佳的情況下,於 同一反應室中沉積FSG層、進行氧處理及沉積氮化石夕層而 不需將基材自反應室中移出。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 請 先 閱 讀 背 面 之 注 意 事 項-
I 訂 經濟部智慧財產局員工消費合作社印製
1、發明說明( 經濟部智慧財產局員工消費合作社印製 門 實她例中’低介電常數層是藉由在二氮化矽層 H FSG層而形成。每1化矽層均藉由㈣衝擊而 '’且FSG層以氧處理來增加薄膜的穩定性。 *月〈各種樣怨可以藉由適用於控制半導體晶圓 :程系統的程式碼來實施。程式碼可儲存於適合的電腦可 •儲存媒;,中。程式碼可被組合來控制沉積裝置,其包 括:沉積反應室、連結反應室的氣體控制面板、連結反應 室的電漿產生系統'連結氣體控制面板的控制器、源電壓 '、£态和偏壓供應器。控制器—般包括具有程式碼的電 腦可讀取儲存媒介。 “根據本發明不同的實施例,所沉積的薄膜呈現出低介 屯系數好的熱穩定性和強黏著性。此外,藉由原位 HDP-CVD沉積二薄膜可以增加製程的集積度。 圖式簡單說明:_ 第1 A圖係根據本發明所緣示高密度化學氣相沉積系統之 一實施例的簡化示意圖; 第1B圖係繪示適用於和第丨八圖的典型cvd製程反應室連 結的氣體環的剖面示意圖; 第1C圖係繪示適用於和第ία圖的典型cvd製程反應室連 結的監視器和光筆的簡化示意圖; 第1D圖係繪示適用於控制第1 a圖的典型CVD製程反應室 的典型製程控制電腦程式的流程圖; 第2圖係根據本發明所繪示典型結構,其包括介電層之一 --------------------^---------. (請先閲讀背面之注意事項再填寫本頁)
518693 A7 B7 五、發明說明() 實施例的剖面示意圖; 第3圖係根據本發明所繪示典型低介電常數薄膜的剖面示 意圖; 第4圖係根據根據本發明所繪示沉積低介電常數薄膜方法 之實施例的流程圖; 第5圖係根據本發明所繪示沉積帽蓋層方法之實施例的流 程圖; 第6(a)圖至第6(h)圖係根據本發明之一實施例所描繪進行 積體雙金屬鑲嵌製程之一積體電路的部分剖面示 意圖;以及 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第7(a)圖至第7(h)圖係低介電常數薄膜之熱吸收光譜圖。 圖號對照說明: 10 高密度電漿化學氣相沉積系統 13 反應室 14 圓頂 16 電漿處理區域 17 基材 18 基材支撐單元 19 基材收納部位 20 靜電夾子 21 基本部份 22 主體單元 23 加熱器板 24 冷卻板 25 節流閥主體 26 雙葉片節流閥 27 閘閥 28 渦輪增壓分子幫浦 29 頂線圈 30 邊線圈 3 1 A 頂源射頻產生器 3 1B 邊源射頻產生器 3 1C 偏壓射頻產生器 第9頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 518693 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 3 1 A 、3 1 B 匹配網路 32C 偏壓匹配網路 33 氣體傳送系統 34A-34F 氣體來源 35 ' 35 A、38、38A 氣體傳送線路 35A' r-35Ff 氣流控制器 36 環空間 37 氣體環 39、 40 氣體喷嘴 41 主體空間 43 A 、43B、43C 閥 44 真空前線 45 頂喷嘴 46 頂孔 48 頂空間 50 電漿清洗系統 5 1 微波產生器 53 反應器腔 54 輸出入蜂 55 供應管 56 較低製程位置 57 較南承載位置 60 系統控制器 61 處理器 62 記憶裝置/ · 6565A 陰極射線管 66 光筆 70 真空系統 70 電腦程式 73 製程選擇器副程式 75 製程定序副程式 7 7a-77c反應室管理副程式 80 基材位置副程式 80A 源電漿系統 80B 偏壓電漿系統 83 製程氣體控制副程式 85 壓力控制副程式 87 加熱器控制副程式 90 電漿控制副程式 100 ' 200 積體電路 203 、206 金屬氧化物半導體電 晶體 220 場氧化區域 212 源極區域 215 汲極區域 218 閘極區域 第10頁 (請先閱讀背面之注意事項再填寫本頁) 訂---------線泰 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 518693 A7 B7 五、發明說明( 221 前金屬介電層 240 ' 242 ' 244 > 246 224 接觸窗 227a 、 228a 、 229a 227b > 228b > 229b 227c ' 228c - 229c 226 介層窗 224 金屬接觸 300 氧化矽薄膜 304、305 導電性軌跡 308 、 606 -618 3 10 表面 602 氧化物層 608 光阻層, 612 晶種層 615、628 金屬線 625 内連線 230a 230b 230c 金屬層 227、228、229金屬介電層 616 阻障層 間隙填充層 314 帽蓋層 230 絕緣層 226 介層窗接觸 302 氮化矽層 306、600 基材 622 FSG層 3 12 604 610 614 624 氧 620 626 蝕刻終止層 間隙 銅金屬層 介層窗 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 發明詳細說明: I.簡介 本發明之方法的實施例沉積具有低介電常數且穩^ 的多層介電薄膜。此薄膜以氧處理而穩定,並以一均勻卫 小於1000埃厚的氮化矽層為帽蓋。本發明之方法的特定賀 施例為沉積氟化矽坡鹽璃(FSG)。此FSG的氟厶蚤θ 一 0里疋猎由调 本紙張尺度適用中國國家標準(CNS)A^i"m〇 x 518693 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 三葉轉換紅外線光譜(FTIR)中的峰高比(% pHR)來量測。 SiF的吸收峰值典型的是位於波數約89〇cmel。si〇的吸收 峰值典型的是位於波數約1〇4〇至1100cm-i。此FSG的氣濃 度以如上所述之峰高比的百分比(percentage peak height ratio(% PHR))所測量。在一特別實施例中,此fsg層有一 氟含量’如由% PHR所測量的,大約7%或再高一些。 低壓衝擊經由在衝擊形成電漿之前設計穩定的氣體 流而提供高均勻性的薄層。兩層可以於同一位置以HDp_ CVD進行沉積,因此增強製程的集積度。 II·典型的基材處理系統 第1 A圖係繪示一高密度電漿化學氣相沉積(HDP-cvd) 系統1 0的實施例,本發明所提供之介電層可於其中進行沉 積。系統1 0包搖一反應室1 3、一真空系統70,一源電漿系 統8 0A、一偏壓電漿系統80B、一氣體傳送系統33,以及一 遠端電漿清洗系統50。 反應室1 3的上半部的部份包括一圓頂1 4,其由陶瓷介 電材質所製成,諸如鋁氧化物或鋁氮化物。圓頂1 4定義一 電漿處理區域16的上半邊界。電漿處理區域16的底部邊界 為基材17和基材支撐單元18的上表面。 加熱器板23和冷卻板24位於上方,且和圓頂14熱連 結。加熱器板23和冷卻板24允許圓頂溫度的變化在1 〇〇艺 到200°C的範圍被控制在1 〇°C内。如此允許為了各樣的流程 而最佳化圓頂的溫度。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------;---裝--------訂---------線—- (請先閱讀背面之注意事項再填寫本頁) 518693 經濟部智慧財產局員工消費合作社印制衣 A7 B7 五、發明說明() 譬如’在清洗過程或蝕刻製程中,圓頂的溫度就會較 沉積製程時的溫度高。圓頂溫度的準確的控制同時也降低 片狀或微粒在此反應室和改善沉積層和基材之間的黏 著。 反應室13較低的邵份包括一主體單元22,其連接反應 室到真2系統。基材支撐單元1 8之一基本部份2 1被裝置接 通’並與主體單元22形成一連續的内部的表面。基材由一 位於反應室1 3側邊的載入/移出的開口(未示於圖上)藉由 一機械人(未示於圖上)被移入和移出反應室13。升降栓(未 不於圖上)由一馬達(未示於圖上)操作而升降,將基材由位 於較高承載位置57的機械人手臂移至較低製程位置%,在 此基材位於基材支撐單元18上的一基材收納部位19之 上。 基材收納#位1 9包括一個靜電夾子2〇,以確保基材在 製程中位於基材支撐單元1 8上。於本較佳實施例中,基材 支撐單元1 8是由鋁氧材質或鋁陶瓷材質製成。此基材支撐 單元18—般均有加熱與冷卻單元來調節基材17的溫度。譬 如,基材支撐單元1 8可包含一加熱單元,如阻抗加熱器。 此外,基材17在反應室13中被電漿中的離子衝擊而整個或 部分被加熱。基材支撐單元1 8經常包含一熱交換單元,例 如有冷卻流體的導管。基材收納部位1 9可包括槽或通道, 用於分配熱轉移媒體,例如背面的氣體(如氦)。此熱轉移 媒體比真2具有較高熱量的傳導率,因此在基材支援單元 1 8和此基材1 7之間替換幫助熱量移轉。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------;—--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 518693 A7 B7 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 五、發明說明( 真空系統70包括節流閥主體25,其内有雙葉片節流閥 26 ’並附於閘閥27和渦輪增壓分子幫浦28之上。值得注意 的是’節流閥主體25對氣流提供最小的阻礙,並且允許對 稱的幫浦’如在同時申請中且與本案具有相同專利權受讓 人的美國專利申請案第08/574,83 9號所描述者,其於1995 年1 2月1 2曰申請,在此併入該案以作參考。閘閥2 7能隔離 的繁浦28和節流閥主體25,且當節流閥閥26完全打開時, 其可藉由限制排氣流動容量控制反應室内的壓力。此節流 閥閥、閘閥及渦輪增壓分子幫浦的排列允許準確和穩定控 制反應室内的壓力介於大約1毫托耳到2托耳之間。 源電漿系統80A包括頂線圈29和邊線圈30,並裝設於 圓頂1 4。一個對稱的接地屏蔽(未示於圖上)減少此等線圈 的電性耦合。頂線圈29的電源來自於頂源射頻(SRF)產生 器31A,邊線齒30的電源來自於邊源射頻(SRF)產生器 3 1 B ’各線圈均被允許有獨立的電源層次和操作頻率。雙 重線圈系統允許控制反應室1 3内的自由基離子密度,因而 改善電漿的均勻性。邊線圈3 0和頂線圈2 9典型的是感應驅 動’因此不需要輔助電極。於本實施例中,頂源射頻產生 器3 1 A以標稱2百萬赫提供高達2500瓦特的射頻電源,而邊 源射頻產生器3 1 B則以標稱2百萬赫提供高達5000瓦特的 射頻電源。頂與邊射頻產生器的操作的頻率能自標稱操作 頻率偏移(例如到1.7-1.9百萬赫和1.9-2.1百萬赫),以改善 電漿產生的效率。 偏壓電漿系統80B包括偏壓射頻(BRF)產生器3 1C和偏 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------^---, 裳--------訂---------線"τ (請先閱讀背面之注意事項再填寫本頁) 518693 A7 五、發明說明( (請先閱讀背面之注意事項再填寫本頁) 壓匹配網路32C。偏壓電聚系統8〇B電容式地連接部分基材 η到主體單元22’用以擔任輔助電極。一般而言,醫產 生器31C被連結到基材支料元18, 4是位在基材收响單 元19的偏壓電極或靜電夾子20。偏壓電漿系統8〇b適用於 增強電漿物種的傳送(例如離子),此菩♦將 }此寺屯漿物種是由源電 漿系統80A建立於基材的表面。於本實施例巾,偏壓射頻 產生器以13.56百萬赫的頻率提供高達5〇〇〇瓦特的射頻電 源。 ’、 經濟部智慧財產局員工消費合作社印制农 射頻產生器3 1 A和3 1 B包括數位控制合成器和以大約 1.8到大約2.1百萬赫的頻率範圍操作。每一個產生器包括 一個射頻控制電路(未示於圖上),射頻控制電路量測自反 應室與線圈被反射回到產生器的功率,並且調整操作的頻 率以獲得最低的反射功率,即如熟習此項技藝者所知。射 頻產生器典型岛是設計用來操作進入5〇歐姆的特性阻抗 的負載。射頻功率自負載所反射回來的相較於產生器有不 同特性阻抗。此可降低移轉到此負載的功率。另外,自負 載反射回產生器的功率可能造成超載和損害產生器。因為 電漿的阻抗可約從小於5歐姆到超過900歐姆,全視電聚密 度而定;在其他因素下,因為反射功率通常是頻率的函 數,根據反射的功率調整產生器頻率可以增加功率由射頻 產生器轉移至電漿而保護產生器。另外的途徑用來降低反 射功率和改善效率是利用匹配網路。 匹配網路32A和32B使產生器31 A和3 1B的輸出抗阻配 合其分別的線圈2 9和3 0。當負載變化時,此射頻控制電路 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 518693 經濟部智慧財產局員工消費合作社印製 A7 --— ___B7 五、發明說明() 可藉由更改匹配網路内的電容值來調整兩匹配網路,以使 產生器配合負載。當由負載反射回產生器的功率超過一確 定的限制時,射頻控制電路可調整一匹配網路。提供一不 變的匹配的方式,和藉由調整匹配網路以有效的使射頻控 制電路失效,是設定反射功率限制在反射功率的任何期望 值之上。此可藉由於最近的條件掌握匹配網路常數來寶助 在一些條件之下使電漿穩定。 其他措施亦可幫助穩定電漿。譬如,射頻控制電路能 被使用於決定此功率運送到此負載(電漿)和可增減此產生 器輸出供給功率,以保持於一層的沉積中輸出的功率大致 不變。 氣體傳送系統33自一些來源34A-34F經由氣體傳送線 路38(僅一些被顯示)提供氣體至反應室,以供處理基材之 用。如熟習此技藝者所知者,實際使用的來源34A-34F和 實際連接到反應室1 3的傳送線路3 8部分是依發生於反應 室13的製程而定。氣體在反應室13被引導穿過一個氣體環 3 7和一個頂喷嘴45。第1 B圖是一個簡化的反應室丨3的部份 剖面視圖,用於展示詳細的氣體環3 7。 於本實施例中,第一與第二的氣體來源34A和34B以及 第一和第二氣流控制器3 5 A’和3 5B\經由氣體傳送線路3 8提 供氣體至氣體環3 7的環空間3 6(僅一些被顯示)。氣體環3 7 有複數個來源氣體噴嘴3 9(僅一個被顯示),用於在基材之 上提供均勻的氣體流。噴嘴長度與噴嘴角允許改變,以適 合均勻性剖面與在其它反應室内用於特定流程之氣體利 ------— _ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------;---裝--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 518693 A7 B7 五、發明說明() 用率的效率。於一較佳實施例中,氣體環3 7有丨2個氧化鋁 陶瓷材質的來源氣體噴嘴。 氣體環37同時也有複數個氧化劑的氣體噴嘴4〇(僅一 個被顯示);於較佳實施例中,其與較短的來源氣體噴嘴 3 9共平面,並且於實施例中自主體空間4 1接收氣體。於一 些實施例中,其設計不會在注射氣體進入反應室丨3之前混 合來源氣體與氧化劑氣體。於其他實施例中,氧化劑氣體 與來源氣體經由位於主體空間4 1和氣體環空間3 6的供應 孔(未示於圖上)注射進入反應室13之前可先進行混合。於 一實施例中,第三和第四氣體來源34C和34D,以及第三和 第四的氣流控制器35C’和35D’經由氣體傳送線路38提供氣 體到主體空間。經由氣流控制器35F’,氮來源34F提供氮氣 體(NO到氣體環的氧化劑喷嘴40,且利用氮電漿為製程的 反應室。另外,/氮氣穿過其他的或附加的入口而被運送到 此反應室,例如經由氣流控制器3 5 F ’至頂喷嘴4 5。附加的 閥,例如43B(其他閥未顯示),可關閉自流動控制器到反 應室的氣體。 於實施例中,所有易燃、具毒性或腐蝕的氣體將會被 使用,其或許為在一沉積製程之後用於消除氣體傳送線路 中的剩餘氣體。可以使用三向閥來達成此目的,例如利用 閥43B將傳送線路38A和反應室13隔離,並導通傳送線路 3 8 A和真空前線44。如在第1 A圖所示,其他類似的閥,例 如43A和43C,可協助連通其他氣體傳送線路,例如35A和 35C,此等三向閥的放置應越接近反應室13越好,如此才 ----____ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -----—14 (請先閱讀背面之注意事項再填寫本頁) 訂---- 線#- 經濟部智慧財產局員工消費合作社印製 518693 A7 B7 經濟部智慧財產局員工消費合作社印製 3USJE- 五、發明說明( 可有效的減少位導通的氣體傳送線路(在三向閥和反應室 之間)。雙向(開-關)閥(未示於圖上)另外被放置在流量控制 器(MFC)和反應室之間,或於氣體來源和MFC之間。 請再參照第1 A圖,反應室1 3同時也有頂噴嘴45和頂孔 46。頂喷嘴45和頂孔46允許獨立的控制頂和邊氣體的流 動,其可改善薄膜均勾性和允許微調薄膜的沉積和摻雜參 數。頂孔46是圍繞頂噴嘴45 —環狀開口。於一實施例中, 第一氣體來源34A供給喷嘴39和頂噴嘴45來源氣體。來源 噴嘴MFC 35A1空制送到來源氣體喷嘴39的矽烷數量運和 頂噴嘴MFC 3 5 A控制送到頂氣體噴嘴45矽烷的數量。同樣 的’MFCs35B和35B’兩者同樣是被使用於控制由單一的來 源(例如來源34B)的氧流動到頂孔46和氧化劑氣體噴嘴 40。此氣體供給到頂喷嘴45和頂孔46,並在氣體進入反應 室1 3之前保持分離,或氣體在流入反應室丨3之前可以混合 於頂空間4 8。相同的氣體的來源的分隔可以被使用於供給 反應室不同的部位。 遠端微波產生電漿清洗系統5 0被提供定期自反應室 零組件清潔沉積殘留物。此清洗系統包括遠端微波產生器 51,其可位於反應器腔53内,自一清洗氣體來源3 4E產生 一電漿’清洗氣體來源34E,例如氟分子、三氟化氮及其 他含氟碳化物或等價的物質。此等由電漿產生具有反應性 的物種經由供應管55穿過清洗氣體輸出入埠54 ,被傳送到 反應室1 3。此材料適用於容納清洗電漿(例如腔5 3和供應 管55)必須能夠承受電漿的侵蝕。反應器腔53和輸出入埠 私紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ;-----------訂----------線 (請先閲讀背面之注意事項再填寫本頁) 518693 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 54間的距離越短越實用,因為由反應器腔53產生的所需的 黾及物種的濃度隨著距離而衰退。於一遠端的腔内產生此 清洗電漿允許有效率的微波產生器的使用而不受反應室 内成分,諸如存在於原位電漿的溫度、輻射或發光放電的 衝擊所影響。一般而言,相當靈敏的零組件,例如靜電夾 子20 ’在如一原位電漿清洗流程中不需要以一樣本晶圓或 其他的保護。 系統控制器60控制系統1 〇的運作。於一較佳實施例 中,控制器60包括一記憶裝置62,例如硬式磁碟機、軟式 磁碟機(未示於圖上)及卡機架(未示於圖上)。此卡機架可 包含單主機板電腦(SBC)(未示於圖上)、類比和數位輸入/ 輸出的電路板(未示於圖上)、介面電路板(未示於圖上), 以及步進電機控制器電路板(未示於圖上)。此系統控制器 對於電哼板的是義、插件框架與連接器尺度和類型均符合 VME(Versa Modular European)標準。此 vME標準同時也定 義具有16位元資料匯流排和24位元位址匯流排的匯流排 結構。系統控制器60操作受儲存於硬磁碟磁碟機裝置的一 電腦程式或其他的電腦程式的控制,例如儲存於軟磁片上 的程式。此電腦程式控制,譬如時序、氣體的混合、射頻 功率等級和一特別流程的其他參數。使用者和系統控制器 之間是經由一個監示器為介面,例如陰椏射線管(crt)65 及如第1C圖所描述之光筆66。 第1 C圖係繪示典型的系統使用者界面的部份,此系統 使用者界面適用於連結如第1A圖所繪示的典型cvD製程 --------^ 裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) A7
518693 五、發明說明() 反應室。系統控制器6 0包括處理器6 1,其結合到記憶裝置 62 ° 1己憶裝置62或許為硬式磁碟機,記憶體62當然也可為 其他記憶體,例如唯讀記憶體、可程式化唯讀記憶體、可 擦除可程式化唯讀記憶體、唯讀光碟存取器、磁帶機、軟 式磁碟機和其他。 系統控制器6 0的操作受電腦程式所控制。此電腦程式 控制譬如時序、氣體的混合、射頻功率等級和一特別流程 的其他參數。使用者和系統控制器之間是經由一個監示器 為介面’例如陰極射線管(CRT)65及如第1C圖所描述之光 筆66。於一較佳實施例中,監示器65和65a兩者被用於裝 設在清潔工作間牆壁(65),移提供給操作員使用和其他在 此牆壁(65 A)之後供技術員使用。兩監視器顯示相同的資 訊’但只有一光筆(如66)是能夠使用的。為了選擇一個特 別的榮幕或功也,操作員使用顯示幕一區域並按下一個光 筆上的按益(未示於圖上)。此經由光筆選定的接觸區域藉 由例如改變顏色或顯示一新的目錄來確定。 此%細私式碼能夠在任何傳統的電腦可讀取的程式 浯呑中撰寫,例如68000組合語言、C、C + +語言,或Pascal 私式μ θ或其他語言。適合的程式碼使用傳統的文稿編輯 程式記載在單一檔案内,或複數個檔案内,以及儲存或具 體化於一電腦可使用的媒介,例如電腦之記憶系統。若以 冋階浯s來記載程式碼,程式碼需經過編譯,經編譯而 產生的、爲澤私式碼和編譯前的視窗資料庫程式的目標碼 連結。為了執行已連結的編譯目標碼,此種系統使用者調 ---------:—--------訂---------線 (請先閱讀背面之注意事項再填寫本頁> 經濟部智慧財產局員工消費合作社印製
518693 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 用此目標碼,因為電腦系統載入目標碼於記憶體内,中央 處理早7L自記憶體内讀入和執行目標碼以完成此等在程 式中被識別的工作。 第1D圖係繪示電腦程式7〇的分級控制結構的方塊 圖。使用者鍵入一個製程設定數字和製程反應室數字進入 -製程選擇副程式73經由使用光筆介面反應到功能表或 由陰極射線管監視器螢幕顯示。此製程的設定需預先設定 製程參數以呼叫特定的製程,並且被預先定義的一組數字 所識別。製程選擇副程式73識別⑴於一種多的反應室系統 中所需要的製程反應室,以及(ii)操作此製程反應室為執 行所需求的製程所需求的一組製程參數。製程參數為實行 一個特定的製程,相關於該製程的製程條件,例如製程氣 體成份與流動速率、溫度、壓力、電漿條件,例如射頻功 率等級,以及犮應室圓頂溫度,並且以一製程程式的形式 提供給使用者。製程程式所指定的參數經由利用光筆/陰極 射線管監視介面鍵入。 適用於監視製程的信號經由系統控制器的類比輸入 和數位輸入電路板提供,而控制製程的信號是經由系統栌 制器60的類比輸出和數位輸出電路板輸出。 一個製程定序副程式75包含適用於接受特定的製程 反應室的程式碼,自製程選擇器副程式73接受一組製程參 數’且適用於控制不同的製程反應室的操作。複數使用者 能鍵入製程設定數字和製程反應室數字,或一個使用者能 鍵入多組製程設定數字和製程反應室數字,因而操作定序 本紙張尺度&种關家鮮(c:ns)A4祕⑽x m " ----- --------;-----------訂---------線J--* (請先閱讀背面之注意事項再填寫本頁) 518693 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明() 副程式75依所希望的次序排定於選擇的製程。在較佳的情 =下,定序副程式75包括一程式碼來執行⑴監視製程反應 1:的運作以決定是否使用此等反應室,⑼在使用的製程 反應1中夬定進伃何種製程,和(iii)依可以使用的製程反 應室和戶斤·提出的t程形式進行所希望的製矛呈。對於監視製 秸反應1:,可使用傳統的方法,例如抽樣。當安排的製程 被執行,定序副程式75能被設計考慮製程反應室的現狀和 所選擇的製程所要的製程條件作比較,或每一個特別使用 者所提需求的「時期」,或任何其他系統程式員所依而設 計決定排序優先順序的相關因素。 在定序副程式7 5決定那個處理反應室和製程設定組 合將再下一個去執行之後,定序副程式75藉由傳送特別的 製程設定參數到反應室管理副程式77a-77c開始製程設定 的執行,反應室/管理副程式77a-77c根據經由定序副程式75 所決定的製程設定控制不同的製程反應室(未示於圖上)中 複數個製程目標。特別是,反應室管理副程式77a控制一 個化學氣相沉積反應室,例如第1 A圖中所續*示的HDP-CVD反應室13。 反應室構成元件的副程式的範例像是基材位置副程 式80、製程氣體控制副程式83、壓力控制副程式85、加熱 器控制副程式87及電漿控制副程式90。習知此技藝者當可 瞭解,其它反應室控制副程式亦可納入在反應室1 3中,且 依照所欲執行的製程而定。在操作中,依照特別製程設定 的執行,反應室管理副程式77a選擇性排程或呼叫製程元 ____ ——~- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------»-----------^---------線 J —· (請先閲讀背面之注意事項再填寫本頁) 518693 A7 B7 五、發明說明( (請先閱讀背面之注意事項再填寫本頁) 件副程式。反應室管理副程式77a所定排程的執行類似於 經由定序副程式75所排定的排程在製程反應室和製程設 定的執行。在典型的情況中,反應室管理副程式77a包括 監視不同反應室構成元件的步驟,並根據所執行的適用於 製程設定的製程參數來決定那一個元件需要被操作,以及 執行反應室構成元件副程式。 特別的反應室構成元件副程式的操作請參照第1 D圖 的繪示。基材位置副程式80包含程式碼來控制將基材載入 基材支撐位置18上的反應室構成元件。基材位置副程式8〇 亦可控制一個基材由複數反應室系統中的一 PECvD反應 器或其他的反應器,在完成其他製程之後轉移進入反應室 13 〇 製程氣體控制副程式83具有控制製程氣體成份與流 動速率程式碼。副程式83控制安全關閉閥開/關的部位及昇 /降物質流動控制器,以獲得此需求氣流速率。全部反應室 構成元件副程式,包括處理過程氣體控制副程式83,均為 反應A管理副程式7 7 a所支配。副程式8 3自反應室管理副 程式7 7 a接收有關於所需求氣流速率的製程參數。 經濟部智慧財產局員工消費合作社印製 般而s ’製程氣體控制副程式8 3經由打開氣體供給 線路來操作,以及重複⑴讀取必須的質量流動控制器,(Η) 和接收自反應室管理副程式7所需求的流動速率比較讀 數,以及(iii)若有必要,調整氣體供應線的氣體流速。更 進一步而言,製程氣體控制副程式83可包括監視不安全的 氣流速率,且當偵測到一個不安全的條件時啟動安全關閉 ‘紙張尺度朝帽@家標準(CNS)A4規格) 518693 A7 B7 五、發明說明() 閥。 (請先閱讀背面之注意事項再填寫本頁) 在一些製程中,一惰性氣體(例如氬)在製程氣體被引 入反應室之前先流入反應室13,以穩定反應室内的壓力。 為此等製程,此製程氣體控制副程式8 3的程式包括引惰性 氣體進入反應室13的不走,因為必要於穩定反應室壓力一 段長時間。然後前述的製程步驟才接著進行。 另外,當一製程氣體自一液體前驅物汽化,譬如四氧 乙基矽(Tetraethyloxysilane,TEOS),製程氣體控制副程式 83可包括適用於打入傳輸氣體,例如氦氣穿過位於噴液裝 置内的液體前驅物或適用於引入氦氣至液體嘴射閥。對於 此類製程,製程氣體控制副程式8 3調節傳輸氣體的流速、 噴液裝置内的壓力及噴液裝置内的溫度,以獲得所需求的 製程氣體流速。如上所述,所需求的製程氣體流速被移轉 至製程氣體控帝]副程式83,以作為一製程參數。 經濟部智慧財產局員工消費合作社印製 此外,製程氣體控制副程式83包括獲得必要的傳輸氣 體流速、噴液裝置内壓力及喷液裝置内溫度的步驟,並藉 由執行内含為給定氣體流速的必要數值的儲存表已得到 所需要的製程氣體流速。由傳輸氣體流速、噴液裝置内壓 力及噴液裝置内溫度的監測,一旦得到必要的數值,即可 根據比較此等數值來進行調整。 製程氣體控制副程式83亦可控制熱傳遞氣體的流動 (例如氦氣)以一個獨立的氦控制(IHC)副程式(未示於圖上' 穿過於晶圓夾的内部和外部的通道。此氣體流熱搞合基材 與失子。於一典型的製程中,電漿和化學反應形成材質層 _ ___ 本紙張尺度適財國國家標準(CNS)A4規格(21G X 2¾ ~ 518693 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 並加熱晶圓,氦氣穿過夾子以冷確基材’也可以用水來冷 卻。如此可讓基材的溫度保持在不傷害基材上已存在的結 構的溫度以下。 壓力控制副程式8 5包括程式碼適用於經由調節位於 反應室的排氣部份的節流閥26的開口尺寸來控制反應室 1 3内的壓力。至少存在兩個基本的方法以節流閥來控制反 應室。第一方法除了與確認反應室的壓力有關之外,尚且 與製程氣體流、製程反應室的尺寸及幫浦容量有關。此第 一方法設定節流閥閥26到一個固定的位置。設定節流閥26 到一固定的位置最後可得到一穩態壓力的結果。 相對的,例如以一壓力計量測反應室的壓力,並根據 壓力控制副程式8 5來調整節流閥閥26,均假設控制點是經 由氣體流和消耗量所設定的邊界範圍之内。若量測、比較 及計算伴隨後著的方法未被使用,前者的方法可造成快速 的改變反應室壓力。前者的方法可被滿足而不需要精密的 控制反應室内的壓力;反之,後者的方法則需要一個準確 且可再現及穩定的壓力,例如於一材質層的沉積製程。 當壓力控制副程式8 5被調用,需求壓力等級或壓力程 度被接收當作一來自反應室管理者副程式7 7 a的參數。經 由讀取一或多個連接到反應室傳統的壓力計,壓力控制副 程式8 5操作來測量反應室1 3的壓力,比較測量值和目標壓 力’由相關於目標壓力的儲存壓力表獲得等比例、積分的 和微分(PID)值,以及根據由壓力表所得的piD值調整節流 閥26。另外,壓力控制副程式85可打開或關閉節流閥閥26 本紙張尺度適用中國國家標準(cns)A4規格(21〇 x 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝 -------訂·--- 518693 A7 B7 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 五、發明說明( 到一個特別的開口大小以調節反應室1 3内之壓力到一所 需要的壓·力或壓力範圍 加熱器控制副程式87包括的程式碼適用於控制基材 17的溫度和/或反應室13内的溫度。至少有二種基本方法來 控制反應室溫度。第一種方法除了依賴確認基材溫度的特 徵之外’尚需由電漿傳送全部的功率。此第一種方法可調 整來源射頻功率和/或偏壓射頻功率的等級。一般而言,增 加功率等級會增加基材溫度。遞減功率等級通常會減少基 材溫度。第一種方法亦可用於控制反應室1 3的溫度。 另外’反應至或基材溫度可以例如一熱搞器或^一高溫 計來測量,並由一分開的溫度控制單元來控制溫度。此種 溫度控制單元可包含加熱器元件、冷卻元件或同時包括兩 者。此種加熱/冷卻元件和基材支撐單元18、反應室13或同 時和兩者結合V —些反應室包括一分開的溫度控制單元適 用於圓頂1 4。 當溫度控制副程式8 7被調用,自反應室管理者副程式 77a接收的所需求或標的目標壓力等級會當成一參數。溫 度經由讀取連接到反應室和/或基材的一或多個傳統的溫 度感應器來控制副程式87操作測量反應室1 3和或基材1 7 的溫度,比較測量值和目標溫度,由相關於目標溫度的儲 存溫度表獲得等比例、積分的和微分(pID)值,以及根據由 壓力表所得的PID值調整來源射頻功率產生器3 1 A、偏壓射 頻功率產生器3 1B和反應室/基材的加熱/冷卻元件。另外, 溫度控制副程式8 7可設定來源射頻產生器3 1 A和/或偏差 -----------裝--------訂---------線J —: (請先閲讀背面之注意事項再填寫本頁) 518693 經濟部智慧財產局員工消費合作社印制衣 A7 B7 五、發明說明() 射頻產生器3 1 B到特別的功率等級以調節基材丨7的溫度到 一所需求溫度或溫度範圍。 電漿控制副程式9 0包含程式碼適用於控制頻率和功 率輸出射頻產生器3 1 A和3 1 B的設定,並適用於調整匹配網 路32A和32B。電漿控制副程式90,如上述之反應室構成的 副程式,被反應室管理者副程式77a所調用。凡熟知此技 藝者當可了解,基材的溫度受電漿的控制所調整,溫度控 制副程式87能和電漿控制副程式90部份或完全的合併。 納入上述所有或部分次系統及副程式之系統的範例 如Ultima系統,此系統由應用材料公司(Applied Material) 所製造’其被建構用於實施本發明。此種系統的細節已詳 細說明於美國專利申請案第08/679,927號,1996年7月15 日申請,名稱為 ’’Symmetric Tunable Inductively-Coupled HDP-CVD Reacioi*"’ 共同發明人有 Fred C. Redeker、Farhad Moghadam 、 Hirogi Hanawa 、 Tetsuya Ishikawa 、 Dan Maydan、Shijian Li、Brian Lue、Robert Steger、Yaxin Wang、Manus Wong和Ashok Sinha,在此併入前述專利申 請案之内容以做為參考。 III、結構範例 第2圖係根據本發明所述之積體電路200所繪示之一 簡化的剖面圖。如第2圖所示,積體電路2〇〇包括N型金屬 氧化物半導體和P型金屬氧化物半導體電晶體203和 206,晶體203和206被一場氧化區域220彼此電性隔離。每 —----某 a?耳---- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) --------訂---------線泰 經濟部智慧財產局員工消費合作社印製 518693 A7 __ B7 五、發明說明() 個的電晶體203及206包含一源極區域212、一個汲極區域 2 1 5以及一閘極區域1 1 8。 一個前金屬介電層221分開金屬層240和電晶體203及 206,金屬層240和電晶體203及206藉由位於金屬層230和 電晶體2 0 3及2 0 6之間的接觸窗2 2 4連結。舉例而言,金屬 層240為包含於積體電路200内的四金屬層240、242、244 及246之一。每一金屬層和相鄰金屬層藉由内金屬介電 (IMD)層227、228及229分隔,金屬介電層227、228及229 包括阻障層227a、228a、229a,間隙填充層227b、228b、 229b,以及帽蓋層227c、228c、229c。相鄰金屬層在選擇 的開口藉由介層窗226連接。沉積超過金屬層246的是平坦 化的絕緣層2 3 0包括例如一阻障層2 3 0 a、一間隙填充層 230b及一帽蓋層230c。 在較佳的情況下,根據本發明第一實施例所述一間隙 填充層22 7b、228b、229b及230b包含FSG材質,並以氧處 理過。更佳的是,根據本發明第二實施例所述至少帽蓋層 227c、228c、229c及230c其中之一是使用低壓衝擊沉積而 形成。更佳的是,根據第二實施例的沉積一帽蓋層超過一 間隙填充層’並根據第一實施例所述以氧處理。 本發明所揭露的材質層可使用於每一在積體電路2〇〇 所顯示的介電層。本發明的層亦所揭露的材質層可使用於 鑲嵌層,其中包括一些積體電路。在鑲嵌層之中,一覆蓋 層沉積覆蓋於基材之上,選擇蝕刻直到基材,然後填充以 金屬和回蝕或研磨而形成金屬接觸,例如224。在金屬層 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) --------訂---------線 518693 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明( "'積之後執行一第一覆蓋層沉積和選擇性蚀刻。触刻的 區域則填充以金屬和回蝕或研磨以形成介層窗接觸,例如 226 〇 應注意的是,簡化的積體電路1 00只是為了說明的目 的。热習此技藝者能實施本方法來製備其他的積體電路, 例如微處理器、應用特定積體電路(ASIC)、記憶體工具及 其他類似的電路。 IV、典型的低介電常數薄膜 一般而了 ’按照本發明的實施例所沉積的介電薄膜具 有低介電常數。低介電常數在此是指介電常數低於一未摻 雜之氧化♦薄膜的介電常數。一般而言,氧化矽(Six〇y) 的介電常數大約是4。薄膜的介電常數小於4被認為是低介 電薄膜。薄膜的介電常數大於4被認為是高介電薄膜。低 介電常數能由於氟原子摻雜入一氧化矽薄膜層而合併形 成氟化矽酸玻璃(FSG)。此種介電薄膜可被用於如内金屬 介電(IMD)層或其他的介電層中。如下敘述特定的範例, IMD層形成覆蓋銅軌跡,此等銅執跡或許是只有0.25微米 距離和至少一個4 : 1的深寬比。薄膜包括一薄氮化矽層並 緊密附著於FSG層之上。氮化矽層位於銅和FSG層之間作 為一擴散阻障。因此,此層不只具有較低的介電常數,而 且有優異的間隙填充能力,並且與先前存在於半導體基材 上的銅結構相容。 第3圖是本發明一實施例中具有二層氧化矽薄膜300 衣紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------;—--------訂---------線J ^ (請先閱讀背面之注意事項再填寫本頁) 518693 A7 B7 經濟部智慧財產局員工消費合作社印製 第_30頁 五、發明說明( 的簡化剖面圖。氮化矽材質,例如Si3N4的HDP-CVD層 3 02,沉積覆蓋於導電性軌跡304、305和基材306之上。基 材306可為矽晶圓,或存在結構或材質層的矽圓片。氮化 矽層是作為擴散阻障及連續層,其可增加在其下的金屬軌 跡的可靠度,特別是關於金屬斷裂和電遷移而導致的失 效。氮化碎層3 02可以按照本發明的第二實施例來進行沉 積。?30材質的110?-(:¥0層3 08覆蓋氮化矽層302的表面 3 10。較佳的卩8〇層308在經由傳統的11〇?-(:¥〇形成之後以 氧3 12處理。選擇性的帽蓋層314可被沉積並封住FSG層和 提供一表面和典型的隨後的半導體製程相容。較佳的帽蓋 層3 1 4是按照本發明之第二實施例所沉積的一氮化石夕層。 V、低介電常數薄膜的沉積 第4圖係根/據根據本發明的實施例所繪示一沉積低介 電常數薄膜方法,在此,氧處理增強FSG層的穩定性層。 於此實施例中,製程在單一反應室中完成,但可以了解的 是製程可適用於多反應室系統,或是可以在不同反應室或 系統中依序執行。同樣的,下述製程參數適用於八吋晶圓 的製程,但此製程可變更後容納其他晶圓,例如十吋的晶 圓。 經由一真空鎖門或狹縫閥載入一晶圓於製程反應室 中的基材支撐單元之上(步驟402),並移動到所需求的製程 部位。製程氣體包括一矽來源、一氟來源及一氧來源被引 入此反應室,並形成一高密度電漿沉積FSG層(步驟404)覆 木紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) --------;-----------訂---------線J--.. (請先閱讀背面之注意事項再填寫本頁) 518693 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 蓋此晶圓。於一較佳實施例中,矽來源是矽烷單體(SiH4)、 氟來源是四氟化矽(SiF4),氟來源亦可被考慮成一碎來 源,氧來源則是雙原子氧(〇2)。另外,TEOS或其他的梦燒, 具有一般SixHy化學式,例如二矽烷(Si2H6)、三矽烷 (S i3 H s)、四♦ (S i4 Η 1 〇)等’均能被使用作為碎來源。同 樣地,其他含氟氣體,例如F2可用來替代氟來源。臭氧(〇3) 能被使用作為氧來源另一個選擇的對象。電襞可選擇性地 包括一惰性氣體,例如氦或氬。一般而言,在氬中比在氦 中更易於衝擊一電漿。其他惰性氣體,例如氖、氛或氣同 時也可以使用,雖然氪和氙比氦和氬更加昂貴。 提供SiF4的流速介於每分鐘90和94標準立方公分 (seem)之間’ SiH4的流速介於50和54 seem之間,〇2的流速 介於155和165 seem之間。更佳的氣流速率大約是siF4的流 速為94 seem’ SiH4的流速為50 seem之間,〇2的流速為160 seem。反應室内的壓力一般是設定和維持在大約3.5和6毫 托耳’較佳是大約是4毫托耳。電漿由單一的或混合的頻 率射頻功率所形成。一般SRF產生器31 A提供射頻功率頂 線圈29於一頻率大約是17和19赫茲之間,較佳約ι8赫茲 而產生一功率等級大約8〇〇和1 000w,較佳的大約是 800W。SRF產生器31B提供射頻功率位於一頻率大約在2.0 百萬赫和2 · 1百萬赫之間到邊線圈3 〇,以產生大約2 5 0 0和 3 500W的功率,較佳的大約是3〇〇〇w。偏壓射頻功率以一 大約13.56百萬赫和介於8 00 w和2〇〇〇w的功率提供到基材 17,較佳的於大約是18〇〇w。晶圓的溫度一般是是維持在 本紙張尺度適用中國國家標準(CNS)A4規格(21G χ 297公爱) --------^---裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 518693 A7
經濟部智慧財產局員工消費合作社印製 五、發明說明() 攝氏38 0度和攝氏400度之間。反應室的溫度一般疋維持在 大約攝氏70度和75度之間,而較佳的情況是大约攝氏75 度。 上述的製程條件維持一段充分的時間’以形成厚勺 .8 0 0 〇 * 6,000到12,000埃的FSG層,較佳的厚度為大 ’ 1 10,000埃。實際沉積時間是依使用的反應室而定。,,生 6 例來看,如第1 a-1 d圖所示典型的反應室以上述之參數/儿積 一厚約8,000埃的FSG層約需時90秒。在此階段’依上述知 件沉積的一 FSG薄膜,具有相當高的氟/氧原子數比。 在一較佳的實施例中,F S G層氟的濃度,在以氧處理 之前,如由% PHR所測量,大約是在7.8%到8.0%之間。一 般02/Si的比率大約是1.0到1.2,較佳的比例為大約丨·1 ° 02/Si的比率一般而言是依02、SiF4和SiH4的流速帶入下式 而得到: ’ 02/Si=02/(SiF4+ SiH4) 一般而言,SiF4/SiH4的流速比率是於大約介於U和 1.8之間,較佳的流速大約為1.75。實際流速依使用的反應 室而定。 FSG層的介電常數k值與折光率η是依賴FSG薄膜内的 氟原子的百分比而定。一般而言,氟含量越高,k值和η值 越小。F S G薄膜氟含量為〇 %,例如未掺雜的矽酸鹽玻璃 (USG),通常k值大約是4,折光率大約是1.46。若FSG薄膜 的氟含量達到10%,折射率介於3.4和3.7,折射率介於大 約1.40和1.43。薄膜的介電常數通常是由電容和電壓(c-v) 本纸張尺度顧+關家鮮(CNS)A4祕(210 X 2¾¾¾ ) (請先閱讀背面之注意事項再填寫本頁) 擎裝----I---訂----- 518693 Α7 Β7 經濟部智慧財產局員工消費合作社印製 五、發明說明() 探針量測沉積薄膜和量測一已知匕值之薄膜以為比對之參 考。 除了上述沉積FSG方法,還有數種不同形成氟化矽酸 鹽玻璃的習知方式。另一種可供選擇的製程使用三乙氧基 氟化矽烷(TEFS)和四乙氧基氟化矽烷(TE〇s)而用於 PECVD沉積製程。 另外一種形成FSG薄膜的製程是使用C2F2,如同 PECVD製程的氟來源。此種FSG沉積製程描述於美國專利 申請案第0 9/0 75,592號,其專利權受讓人為應用材料公司 (Applied Materials)。 在形成FSG層之後,FSG層的表面以氧處理(步驟406) 來降低氟氧比率。氧處理能經由如FSG層曝露在氧或臭氧 之下並進行加熱,以高能氧離子或中性的氧原子轟擊而完 成。於此較佳貪施例中,以相同的反應室丨3中的電漿的氧 離子對FSG層進行轟擊,而FSG層亦是在該反應室中沉積 而得的。一般而言,SiF4與SiH4流停止於FSG沉積結束時, 而氧流動速率約略相同於步驟4 0 4中氧的流速。電漿保持 使用’大約維持在適用於沉積而為來源射頻所設定相同的 功率和頻率。偏壓射頻頻率於氧處理時一般保持和沉積 F S G層時所用的大小相同,然而b RF功率比沉積F S G層時所 用的大小增加大約三分之一。於一較佳實施例中,於氧處 理時BRF功率大約是1500W和1800W。 於氧處理時,晶圓溫度維持於大約攝氏420度。反應 室内的壓力維持於大約1.8到2.5毫托耳,較佳大約是2毫托 二、Α β ---第_98 有------ (請先閱讀背面之注意事項再填寫本頁) _裝 ----II 訂---- s'. ¾ 公 97 2 X 10 2 ---' 格 規 Λ4 S) JN J (\ 平 四 Ea r Atl sa 及 Aw 71X 个 518693 經濟部智慧財產局員工消費合作社印制农 Δ7 B7 五、發明說明() 耳’一個周期大約介於1 〇秒至i分鐘,較佳大約是20秒。 由於希望製程系統對晶圓的高處理量,所以處理的周期盡 可说縮短’然而仍能供應充分的氧處理。 正確的時間要求將取決於許多的因素,其中包括如何 進行前述HDP-CVD的製程。氧穿透FSG層和氟反應的結果 將使薄膜更加的穩定。F S G層氟的濃度,在以氧處理之後, 如由%PHR所測量,大約是在7.5%到7.8%之間。 氮化矽帽蓋層可選擇性地覆蓋於FSG層之上(步驟 408)。帽蓋層對於在其下的低介電常數材質層並非必須, 但可使F S G層在隨後的積體電路製程中有更高的相容性。 FSG層可在行成一帽蓋層之前先進行平坦化和緻密化。此 帽蓋層是藉由類似前述的HDP-CVD製程來形成。然而,為 了控制形成非常薄的薄膜的沉積,例如1 〇〇〇埃或更薄,一 個特別的低壓铪擊被使用於啟動此電漿。 VI、使用低壓衝擊沉積帽蓋層 沉積氮化矽材質的薄帽蓋層覆蓋FSG薄膜是具有好處 的。於鑲嵌的運用中,沉積於銅上的氮化矽可作為阻障層 來防止銅擴散進入位於其上或其下的材質層中。另外,氮 化矽沉積於一介電層之上,例如FSG層,其可以作為一蝕 刻終止層。和包含大約10%氟原子介電常數為3.4的FSG層 相較,因為氮化矽具有一大約7的k值,所以需要一氮化矽 薄層。一組合介電薄膜有效的介電常數是依此薄膜的厚度 和所包含的介電層而定。一般而言,一薄膜包含二層,其 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------1----: --------t---------^^^1 ----τ---* (請先閱讀背面之注意事項再填寫本頁) 518693 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 介電常數分別為kl與k2,且厚度為心和“,此薄膜的有效 介電常數keff依每一層的厚度和介電常數而定。一般較厚 的材質層對薄膜的介電常數有較大的影響。因此,雖然氮 化碎較FSG具有一較大的介電常數,若此氮化矽層和全部 的材質層的厚度比較起來足夠薄,則薄膜的有效的介電常 數是有可能接近FSF層。 除了薄之外’同時氣化梦層一般也必須均句。在沉積 FSG之後沉積一均勻的薄氮化矽層,通常是設計以沉積氣 體流衝擊電漿。第5圖係根據本發明一實施例所繪示採用 一個低壓衝擊沉積一帽蓋層的方法的流程圖。低壓衝擊法 敘述於美國專利申請案,名稱為” LOW PRESSURE STRIKE IN HDP-CVD CHAMBER” ,代理人記錄案號為 AMAT/3272/PDD/KPU3/JW,現在正在申請當中並在此引 為參考。本方法的實施例描述於第5圖是第4圖中步驟408 的一較佳實施例。方法500開始於第4圖之步驟406之後, 例如,如上所述之FSG層的氧處理。另外,此帽蓋層在步 驟4 04之後可以經由方法500形成。此方法藉由關閉氟、矽 和氧的來源開始於步驟502。任何惰性氣體流依然維持。 若上一個步驟未使用到惰性氣體,惰性氣體流需在關閉其 他氣體前先被建立。因此,在反應室建立惰性氣體的電 漿。於一較佳的實施例中,惰性氣體是氬,流速介於1 8 0 和200 seem之間。適用於頂端與邊線圈的來源射頻一般而 言維持一頻率於1.8赫茲和2·07赫茲之間。來源射頻功率大 約維持在4000W和5000W之間,較佳是大約4500W。此偏 _____第 35 頁 ____ @張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------;----:裝-------丨訂-------------Μ---J (請先閱讀背面之注意事項再填寫本頁) 518693 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 壓射頻在步驟504時關閉以降低自惰性電漿轟擊薄膜的離 子的動能。 於步驟506時建立基材的溫度。為了氮化矽(SixNy)帽 蓋層的沉積,一般在沉積之前,先要建立基材溫度大約為 攝氏430度。此惰性電漿單獨被使用於加熱基材,例如經 由暴露基材於電漿之中。曝露時間依基材所需的上升的溫 度而定。一般而言,基材越熱,所需加熱的時間越短。為 了增加總製程能力,一般而言在沉積完帽蓋層下方的材質 層之後儘快地沉積帽蓋層是有利,因為晶圓已經是熱的。 譬如,若帽蓋層是緊接著沉積FSG之後沉積,基材已十分 熱。在此情況下’暴露基材於惰性電漿之下約1 〇秒已足夠 加熱此基材至沉積帽蓋層所需的溫度。另外,一個加熱基 本單元於基材支撐單元被單獨使用或和電漿並用來加熱 基材。當適用於沉積的溫度被建立之後,於步驟5 〇 8當惰 性氣體仍然流動時關閉來源射頻。沒有來源射頻則反應室 中無電漿。此時來源射頻已關閉,沉積氣體引入反應室並 與惰性氣體混合。為了一 SixNy帽蓋層,沉積氣體一般包括 一矽來源與一氮來源。在一較佳的實施例中,矽來源是 SiH4與乱來源疋雙原子氮氣(N2)。另外,其他有機梦燒, 例如ShH6已可被使用作為矽來源與其他的含氮氣體,例如 氨(NH3)也可作為氮的來源。 於步驟510建立了沉積氣體的流速。於沉積SixNy的較 佳實施例中,SiH4的流速介於16和20 sccmi間以及〜的流 速介於230和270 seem之間。一般而言,必須等待3至6秒 — ------ 丨一丨....丨丨-......... 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐) — — — — — — — ^ — — 1- «— — — — —I— ^ « — — — — — — I— —1 I J I I I- (請先閱讀背面之注意事項再填寫本頁) 518693 經濟部智慧財產局員工消費合作社印製 A7 -- _B7_______ 五、發明說明() 以讓氣體傳輸系統的質流控制器建立所需的流動速率。所 需的正確時間依氣體傳輸系統中個別的質流控制器而 定。同時反應室壓力也是在此時建立。為了低壓衝擊,反 應室内的壓力一般是介於1到100毫托耳之間。反應室較佳 的壓力是在大約40毫托耳。為了氮化矽沉積,在此階段反 應室的壓力一般是介於4和7毫托耳之間。 應該指出的是步驟502至5 08是具有選擇性的。此等步 驟被使用於低壓衝擊被使用的特定案件,在此在同一反應 室中沉積位於下方的材質層後立刻在原位沉積一帽蓋 層。另外,低壓衝擊法可如於步驟5 1 0以氣流速率與反應 室壓力的穩定開始。 當流動速率與反應室壓力穩定後,在步驟512於反應 室内建立一弱電漿。一般而言,因為壓力低於約4〇毫托 耳,比較起來糙易建立一電容耦合電漿而較不易建立一感 應耦合電漿。在弱電漿建立後,於步驟5 1 4開啟來源射頻 以建立一沉積電漿。藉由弱電漿,電漿功率足夠的低而避 免損壞位於基材上的元件。此種弱電容搞合電漿可藉由提 供基材支撐單元18直流電或偏壓射頻而建立一電場。於特 定實施例中,此電容耦合弱電漿是藉由基材偏壓(例如brF 產生器31C)的開啟所建立,基材偏壓介於300貿和1〇〇〇貿之 間,而一個偏壓周期可達^秒,一般則介於〇5和1〇秒之 間。實際的功率是依被製程的基材的尺寸而在一個確定的 範圍之内。譬如,200公厘的基材偏壓功率較佳是介於 1 500W和2000W之間,更佳的是大約18〇〇冒。相對的功率 ---------^--------訂---------線J. (請先閱讀背面之注意事項再填寫本頁)
518693 A7 B7 五、發明說明() 法度較佳疋大約4.8W/cm2和6.4W/cm2之間,更佳是大約 5.7W/cm2。對於更大或較小的基材而言,此偏壓功率密度 會大約在一相同的範圍,且偏壓功率大小大約和晶圓的面 積成正比。 當弱電漿建立後,於步驟5 1 4來源射頻開啟建立一沉 積電漿與來源射頻開啟之後儘快的關閉基材偏壓。若基材 偏壓在來源射頻開啟之前關閉,電漿將有非預期性的消 耗。因此,來源射頻和偏壓射頻的開啟會有一重疊的期 間。一般而言重疊周期约略地包含偏壓周期的一半。 舉例而言,若此基材偏壓的開啟為一偏壓周期〇.5到 1 · 0秒,來源射頻開啟的期間至少會和基材偏壓開啟重疊 0 · 2 5到0 · 5秒。一般而言,在設計上偏壓周期和重疊周期越 短越好。偏壓周期和重疊周期的下限通常是依產生器和電 子設備提供基材偏壓與來源射頻信號的反應速度而定。 經濟部智慧財產局員工消費合作社印製 丨丨丨丨 丨"7丨丨·丨丨丨—丨丨丨訂 (請先閱讀背面之注意事項再填寫本頁) 於步驟516使用沉積電漿沉積一帽蓋層。一般而言, 基材偏壓於氮化矽沉積並不開啟。偏壓射頻有時候於其他 沉積製程(例如氧化矽)的沉積時被使用。對於已給定的氣 流速率和射頻設定與反應室壓力,此以沉積形成的帽蓋層 的厚度層依沉積的時間而定。一般而言,越長的沉積時間 形成的薄膜越厚。因為沉積氣體的流率在衝擊電漿之前已 經建立,初始的沉積較習知更為均勻。一般厚度小於1 〇 〇 〇 埃的均勻薄膜均可以沉積來形成。於大多較佳實施例中, 氬氣的流速大約是200sccm,SiH4的流速大約是18 seem , 和N2的流速大約是250 seem,全部來源射頻的功率是 _ ___第 38 頁 --_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 518693
經濟部智慧財產局員工消費合作社印製 五、發明說明() 45〇請,與反應室壓力介於大約7到8毫托耳之間。在此等 條件《下,沉積的時間在50秒和60秒之間以形成3。小薄 膜,此薄膜具有800到1〇〇〇埃的厚度。氮化矽薄膜的沉積 在此是使用一低壓衝擊,如在此所描述,產生的非均勻性 降低到2.25%。和習知相較之下更為均勻。更進一步而言, 低壓衝擊沉積的非均句性沉積薄膜一直約為一個常數至 少在沉積開始65秒之内。因此,即使是很薄的使用低壓衝 擊形成的沉積薄膜(例如,大約3 〇 〇埃)仍然十分均勻。 雖然前述本發明的方法的實施例是關於沉積FS(}層的 一氮化矽帽蓋層,其他的和本發明更進一步的實施例可自 基本的構想被發展出來。譬如,FSG與氮化矽沉積步驟的 順序可以顛倒。亦即,以一和前面第5圖相關的低壓衝擊 在基材上沉積一薄(小於1000埃)氮化矽層,然後一 Fsg 層,或其他的材質層可以例如HDP-CVD沉積於氮化兮之 上。此氮化矽位在FSG和在其下的基材之間做為阻障層。 另一薄氮化矽層可作為一帽蓋層使用第二低壓衝擊沉積 於F S G層的頂端。F S G像是「三明治」介於二氮化矽薄声 有效的抑制遊憩下之基材而造成的FSG的損壞以及同時抑 制任何位於FSG層上方材質層的損壞。舉例而言,此種「= 明治」結構對於鑲嵌的運用是需要的。 VII、鑲嵌製程的範例 使用如前所述介電薄膜沉積的積體銅鑲嵌製程圖的 範例繪示於第6(a)圖至第6(h)圖。如第6(a)圖所示,雙镶嵌 _ _ — _第 39 頁 _;_______ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) " -- --------;---裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 518693 A7
經濟部智慧財產局員工消費合作社印製 五、發明說明() 製程以沉積氧化物層602覆蓋矽基材6〇〇開始。 蝕刻終止層604覆蓋於氧化物層602之上,蝕刻終止層 6 04是使用如上所述之氮化矽沉積製程,經由使用低壓衝 擊的HDP-CVD。在如第6(b)圖所示之第一微影過程中,沉 積第一 FSG層606,然後覆蓋著圖案化光阻層6〇8。第一 fsg 層606和蝕刻終止層604可以在相同的反應室中沉積,以增 加製程的集積度。於第6( C )圖中,第一蝕刻向下蝕刻直到 蝕刻終止層604,以於第一 FSG層606中形成第一組間隙 6 1 0。第一蝕刻之後,光阻608被移除,例如經由於氧化的 環境中去灰的方法。然後間隙610和第一 FSG層606覆蓋金 屬層,例如鋁或銅。在覆蓋銅的情形中,晶種層6 1 2(第6c 圖)覆蓋間隙610和第一 FSG層606。 於一些實施例中,擴散阻障層(未示於圖上)在覆蓋銅 晶種層612之前'先行覆蓋於此等間隙610和第一 FSG層之 上。第一銅金屬層614覆蓋銅晶種層612並填滿此等間隙 610,如第6(d)圖所示。舉例而言,銅金屬層614經由化學 機械研磨進行平坦化。填充間隙6 1 0的部分銅金屬層6 1 4形 成如第一組金屬線6 1 5而作為内連結線結構。 在銅金屬層614的平坦化之後,如第6(e)圖所示,其接 著沉積阻障層6 1 6,例如氮化矽、第二FSG層6 1 8、第二蝕 刻終止層6 2 0和第三F S G層6 2 2。在較佳的情況下,阻障層 6 1 8和蚀刻終止層6 2 0的沉積是使用如上所述之低壓衝 擊。同樣的,材質層618、620及622可以在相同的反應室 中以沉積而得,例如經由如上關於第4和5圖所述之H DP- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) --------7---: 裝--------訂---------^^^11. —^ (請先閱讀背面之注意事項再填寫本頁) 518693 經濟部智慧財產局員工消費合作社印製 A7 ___B7___ 五、發明說明() CVD來增加製程的集積度。如第6(f)圖所示,第二微影和 蝕刻形成介層窗624向下穿過材質層616、618、620及6 22 直到銅金屬層614為止。於第6(g)圖中,第三微影和餘刻形 成第二組間隙626。如第6(h)圖所示,然後介層窗624和間 隙626填入第二銅金屬層,且此結構經過回火和平坦化。 間隙62 6定義第二組金屬線路62 8,並在介層窗624於第二 組金屬線路62 8和第一組金屬線路6 1 5間定義一組内連線 625 〇 鑲嵌製程被使用於使用銅金屬内連線的元件,因為目 前為止沒有可接受的蝕刻銅的途徑。由鑲嵌製程所形成的 結構不需要填充間隙的介電材質;一般而言,由鋁金屬所 形成的相類似的結構比較起來可提供低阻容遲延(RC Delay)。更進一步而言,較高沉積速率被使用於鑲嵌製程 因為填充間隙並不是問題。雖然所描述的蝕刻停止層和阻 障層可為氮化矽,如上第3至5圖所述其他適用於低壓衝擊 沉積的其他材料亦可用於沉積製程。 VIII、結果測試和量測 於此實驗中具有FSG層的低介電常數薄膜沉積於矽晶 圓之上接受過和未接受過氧處理。一些薄膜是沉積以一個 氮化矽帽蓋層,且不需決定低壓衝擊對氮化矽帽蓋層的黏 著性的影響。本實驗中的薄膜是在UltimaTMDp-cVD反應室 中進行儿積’反應室是由位於美國加州Santa Clara市的應 用材料公司(Applied Metarial)所製造。反應室適用於2〇〇 本紙張尺度適用中格 (210 x --------^-----------訂---------—* (請先閱讀背面之注意事項再填寫本頁) 518693 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 公厘的晶圓,位於Centura®反應室基材製程系統同樣也是 由Applied Metarial所製造。FSG層中平均氟含量是以 %PHR量測,利用位於美國威斯康辛州Madison市的Nicolet Instrument Corporation所製造的ECORS系列傅立葉轉換紅 外線光譜儀(FTIR)進行量測。另外,位於美國康乃迪克州 Norwalk市的 PERKIN-ELMER公司所製造的 Spectrum 2000 FTIR光譜儀也被使用。SiO的吸收峰值一般是位於波數約 1090 cm·1至約2005cm·1之間,較佳約1097cm·1,SiF的吸收 ♦值一般是位於波數約9 3 0 c m _1至約9 4 0 c πΓ1之間,較佳約 93 5cm"1 ° 沉積的薄膜的穩定性係由熱發散光譜儀(TDS)來決 定。自每個晶圓切割一個樣品和放置於樣品管之内。包含 樣品的樣品管置於TDS機器内,並於真空下加熱到較高溫 度,然後測量各種由樣品中釋出的氣體的濃度。具有氮化 矽帽蓋層薄膜的穩定性可經由檢查模糊不清或氣泡的形 成來確認。薄膜的黏著性的性質經由史都德(S t u d d)拉力試 驗來決定。薄膜同時也藉由化學機械研磨來測試穩定性和 黏著性的強度。晶圓在以TD S量測之前在周圍條件之下儲 存大約二星期到大約二個月。 於第一與第二實驗中,沉積的FSG層未經氧處李或沉 積一氮化矽帽蓋層。於第一實驗中,沉積的FSG層其中氟 含量大約3.6%PHR。第一實驗的TDS光譜繪示於第7(a) 圖。於第二實驗中沉積的FSG層其中氟含量大約 7.1%PHR。第二實驗的TDS光譜繪示於第7〇)圖。氟濃度 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 訂----- 線擎. 518693 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 是藉由傳統的FTIR量測所決定。於第三實驗中的沉積的 FSG層經由如前所述之包括以氧處理的二階段沉積製程。 然而,沒有氮化矽帽蓋層沉積覆蓋於FSG層之上。第三實 驗的TDS光譜繪示於第7(c)圖。於第四實驗中的沉積的FS(} 層經由如前所述之包括以氧處理的二階段沉積製程,和然 後接著使用低壓衝擊來形成氮化矽帽蓋層。第四實驗的 TDS光譜繪示於第7(d)圖。 接下來兩個實驗比較使用低壓衝擊形成氮化梦帽蓋 層的FSG薄膜經氧處理的效果。在各實驗中,TDS樣品管 加熱到攝氏1 0000度,一個無晶圓樣品的樣品管,決定自 樣品管中所釋放的氣體的背景訊號。於第五和第六實驗 中,根據前述的方法沉積FSG層和氮化矽帽蓋層。由%phR 量測的FSG層之氟濃度於第五和第六實驗中大約是8.0%。 於第五實驗中说積的薄膜未經氧處理。第五實驗的TDS背 景光譜繪示於第7(e)圖。第五實驗的樣品TDS光譜繪示於 第7(f)圖。第六實驗和第五實驗的不同在於第六實驗沉積 的FSG薄膜,在根據前述的低壓衝擊在FSG層之上形成一 氮化矽帽蓋層之前先以氧處理FSG層。第六實驗的TDS背 景光譜繪示於第7(g)圖。第六實驗的樣品TDS光譜繪示於 第7(h)圖。 繪示於第7(a)至7(h)圖的TDS光譜圖是各種氣體的分 壓和晶圓溫度的函數圖形。在第7(a)至7(h)圖中,每種氣 體的原子量列於表I。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------^-----------訂---------線 0----- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 518693 A7 ---—_____B7 五、發明說明()
表I 原(分)子量 氣體 2 氫(h2) 18 水蒸氣(h2o) 19 氟原子(F) 20 氟化氫(HF) 38 氟(f2) 40 氬(Ar) 85 三氟化矽(SiF3) 104 四氟化矽(SiF4) 在貫驗1至6中,内含晶圓樣品的樣品管慢慢地加熱到 大約攝氏800度和逸出氣體的分壓可使用一質譜儀來決 定。值得注意^是,若無氮化矽帽蓋層,則存在可觀的出 氣現象’特別是在質量為20和19的位置。在第八“和7(b) 圖中,TDS為各種氣體所繪示的圖顯示含氟量較高的FSg 薄膜會有較多的出氣。進一步而言,出氣的多寡高度的依 溫度的鬲低而定。值得注意的是,出氣量與對溫度的依存 可以在第7(b)圖中強烈地表現出來。因此可以相信此導因 於第五實驗中沉積的FSG層的高含氟量。第7(c)圖指出經 過氧處理的薄膜減低了出氣量,同時指出FSG薄膜即使沒 有氮化矽帽蓋層仍然相當地穩定。請注意第7(c)圖,和第 7(a)和7(b)圖相較之下由圖上指出質量數為19、2〇、38、 85和104的地方存在稍微明顯的氟出氣的現象。更重要的 本紙張尺度綱中® ig家鮮(CNS)A4規格(210 X ) ----- ---------^-----------訂---------線 --- (請先閱讀背面之注意事項再填寫本頁) 518693 經濟部智慧財產局員工消費合作社印製 A7 ____B7____ 五、發明說明() 是’存在於第7(c)圖輕微的氟出氣現象不太受溫度的影 響。TDS在第7(d)圖繪示指出具有氮化矽層的較沒有氮化 矽層的薄膜穩定。第7(d)圖的氟的出氣量較第7(c)為低。 更進一步而言,在如此小的出氣之下幾乎明顯的和溫度無 第7(e)和7(f)圖指出(即使未經氧處理)具有帽蓋層的 FSG薄膜直到大約攝氏5〇〇度出氣的現象非常的小甚至不 發生。第7(f)圖顯示,水蒸氣(質量為18)為第7(e)圖的背景 光譖,各種氣體的訊號無法自雜訊位準中分辨出來。在第 7(f)圖中攝氏800度且質量為1〇4處的陡峭的尖起相信應是 實驗的加工品。同樣地,由第7(g)和7(h)圖中可看出薄膜 的處理有以氧處理和未以氧處理在出氣等級上大約是相 同的。此外’無論第7(g)和7(h)圖中所示之出氣現象發生 與否,都不足以導致位於FSG薄膜之上的氮化矽帽蓋層發 生損壞。 以熱循環測試薄膜的黏著性。於每個循環中此等晶圓 在氮氣的環境下加熱至攝氏4〇〇〇度。 在六次循環之後,檢查此等晶圓上薄膜的損害程度。 若未觀察到有任何損害,則晶圓通過檢測。任何損害都會 導致黏附力測試的失敗。第7(a)S7(d)*7(h)圖中黏附力測 試結果歸納於表II。 本紙張尺度適用中國國家鮮——x ) (請先閱讀背面之注意事項再填寫本頁) ------11 ^---------—11. 518693 A7 五、發明說明(
疋量的比較氧處理的效果,準備四晶圓以氧處理 有氮化矽帽蓋層以及四晶圓具有氮化矽 、和具 過氧處理。對每一晶圓的樣品進行史风:但沒有經 列在表!Π。 ^拉力量測。結果 經濟部智慧財產局員工消費合作社印製 表III 樣品 氧處理 拉力(LB: 1 無 101.92 2 無 88.16 3 無 96.95 4 無 103.59 5 有 107.90 6 有 106.58 7 有 106.16 8 有 98.57 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 應力(PSI) 1548.88 9990.05 10986.34 11738.65 12226.63 12077.52 12030.08 --------T---裝------丨丨訂--------- ^•1 f請先閱讀背面之注意事項再填寫本頁) 518693 A7 ___— _B7____________ 五、發明說明() 值得注意的是,平均而言,具有氮化矽帽蓋層沉積的 FSG薄膜以氧處理過較具有氮化矽帽蓋層沉積的FSG薄膜 但未以氧處理過者具有一較高穩定性(如量測出的薄膜應 力)°雖然差異不大,但是在統計上具有明顯的差異。 此結果顯示本發明的方法能夠沉積穩定的,強黏著 FSG薄膜,此薄膜含有7%或更大的氟含量,而氟含量是經 由%PHR量測而得。此外,在本集積圖中,FSG薄膜與氮化 矽帽蓋層兩者均可以在同一位置進行沉積而不需自沉積 反應室中移開此基材,因此可以增加總處理能力。此種薄 膜可以應用於前金屬介電材質與内金屬介電材質之中。森 指出的是,低壓衝擊解決一在以HDP-CVD沉積厚度小於 1000埃的材質層時伴隨發生的主要的問題。藉由低壓衝擊 沉積的薄層具有附加的應用,如在填充間隙製程中的阻障 層。 丨 雖然本發明已以較佳實施例揭露如上,然其並非用以 限定本發明,任何熟習此技藝者,在不脫離本發明之精神 和範圍内,當可作各種之更動與潤飾,因此本發明之保護 範圍當視後附之申請專利範圍所界定者為準。 1-------7-----------^--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 (21 格 規 ΑΓ INS) (C 準 標 家 國 國 中 用 適 度 尺 張 一紙 本 釐 公 一97

Claims (1)

  1. 518693 A8 B8 C8 D8 六、申請專利範圍 1· 一種在一基材上沉積一多層介電薄膜的方法,該方法至 少包含: 沉積一氟化碎酸鹽玻璃(FSG)層於該基材之上’ 曝露該氟化矽酸鹽玻璃層於一氧環境;以及 而後沉積一氮化矽層於該氟化矽酸鹽玻璃層之上。 2 ·如申請專利範圍第1項所述之方法,其中上述之F S G層中 的氟原子濃度大於約7%,並藉由峰高比來量測 (%PHR) 〇 3 ·如申請專利範圍第1項所述之方法,其中上述之氧環境為 一氧電漿。 4 ·如申請專利範圍第1項所述之方法,其中上述沉積F S G層 的步驟包含: 導引一含矽氣體、一含氟氣體及一含氧氣體流入一 沉積反應室; 藉由該含矽氣體、該含氟氣體及該含氧氣體產生一 電漿;以及 以該電漿沉積該FSG層。 5.如申請專利範圍第1項所述之方法,其中上述之FSG層係 利用高密度電漿化學氣相沉積(HDP-CVD)。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ¾ ---訂---------線- 經濟部智慧財產局員工消費合作社印製 518693 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 —-—-----〜 、申請專利範圍 6 ·如令請專利範圍第1項所述之方法,其中上述之氮化矽層 的沉積是藉由: 導引一或更多製程氣體進入該沉積反應室’· 進行一低壓衝擊以藉由該或該些製程氣體起始一電 漿;以及 以該電漿沉積該氮化矽層。 7 ·如申請專利範圍第6項所述之方法,其中上述低壓衝擊包 含: 建立該或該些製程氣體的流入而使得該沉積反應室 内的壓力介於5至100毫托爾之間; 打開一偏壓一段時間足以在該沉積反應室中建立一 弱電漿; 建立該弱,電漿之後開啟一源電壓;以及 開啟該源電壓之後,關閉該偏壓。 8 ·如申請專利範圍第7項所述之方法’其中上述之弱電漿可 為一電容耦式電漿。 9. 一種在一基材上沉積一介電薄膜的方法,該方法至少包 含: 沉積一具有一第一氟氧原子數比的一氟化碎酸鹽玻 璃(FSG)層於該基材上; 曝露該FSG層於一氧環境下以穩定該FSG層’ ____— 茧丄。貫 ---- 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公爱) — — — — — — — — — — — — — · I I I I I I I 一N * 丨·丨丨丨丨丨 — I rtt先閱讀背Φ'·之注意事項再填寫本頁} 518693 A8 B8 C8 广 __ D8____ 六、申請專利範圍 沉積一氮化矽層於該FSG層之上;以及 其中該FSG層、氧處理及沉積該氮化矽層於同一反應 室中進行而不需將該基材自該沉積反應室中移出。 1 0 ·如申請專利範圍第9項所述之方法,其中該氮化矽層的 厚度小於約1 〇 〇 〇埃。 1 1.如申請專利範圍第9項所述之方法,其中上述之FSG層 係利用高密度電漿化學氣相沉積(HDP-CVD)。 1 2·如申請專利範圍第1 1項所述之方法,其中上述之FSG層 中的氟原子濃度大於約7%,並藉由峰高比來量測 (%PHR)〇 13·如申請專利範圍第n項所述之方法,其中上述之FSG層 中的氟原子濃度約介於7 %至8 %之間,並藉由♦高比來 量測。 經濟部智慧財產局員工消費合作社印製 14. 如申請專利範圍第n項所述之方法,其中上述之fsg層 曝露於該氧環境為一氧電漿。 15. 如申請專利範圍第9項所述之方法,其中上述之FSG層 的沉積包含: 導引一含矽氣體、一含氟氣體及一含氧氣體流入一 --------------- - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 518693 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8、申請專利範圍 沉積反應室; 提供一源電壓於該沉積反應室及一偏壓於該基材, 藉由該含矽氣體、該含氟氣體及該含氧氣體產生一高密 度電漿;以及 以該高密度電漿沉積該FSG層。 1 6.如申請專利範圍第9項所述之方法,其中上述之氮化矽 層的沉積是藉由: 導引一含矽氣體和一含氮氣體進入該沉積反應室; 進行一低壓衝擊以起始一電漿;以及 以該電漿沉積該氮化矽層。 17.如申請專利範圍策16項所述之方法,其中上述之低壓衝 擊包含: 藉由該含矽氣體和該含氮氣體的流入而使得該沉積 反應室内的壓力介於1至100毫托爾之間; 打開該偏壓一段時間足以在該沉積反應室中建立一 電漿; 建立該電漿之後開啟該源電壓;以及 開啟該源電壓之後,關閉該偏壓。 1 8.如申請專利範圍第1 7項所述之方法,其中上述之偏壓開 啟的一偏壓時間最多為1秒鐘。 (請先閱讀背面之注意事項再填寫本頁) ¾ 丨線· 草g 1耳 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 518693 A8 B8 C8 D8 六 、申請專利範圍 19.如申請專利範圍第18項所述之方法,其中上述之偏壓和 該源電壓具有同時開啟的一重複時間,該重複時間約為 該偏壓時間的後半段。 20. 一種在一基材上沉積一介電薄膜的方法,該方法至少包 含: 導引一含矽氣體和一含氮氣體進入一沉積反應室; 進行一第一低壓衝擊以起始一第一電聚; 以該第一電漿於該基材之上沉積一第一氮化碎層; 沉積一材質層於該第一氮化矽層之上; 導引一含矽氣體和一含氮氣體進入該沉積反應室; 進行一第二低壓衝擊以起始一第二電漿;以及 以該第二電漿於該材質層之上沉積一第二氮化砂 層。 . 21·如申請專利範圍第20項所述之方法,其中上述之第_氮 化矽層及該第二氮化矽層的厚度均小於約1 〇〇〇埃。 經濟部智慧財產局員工消費合作社印製 2 2 ·如申請專利範圍第2 0項所述之方法,其中上述之第—低 壓衝擊及該第二低壓衝擊至少其中之一包含: 導引該含矽氣體和該含氮氣體和一鈍氣進入該沉積 反應室而使得該沉積反應室内的壓力介於5至100毫托 爾之間; 打開該偏壓一段時間足以在該沉積反應室中建立〜 ------第 62 頁___ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 518693 A8 B8 C8 D8 六、申請專利範圍 弱電漿; 建立該弱電漿之後開啟該源電壓;以及 開啟該源電壓之後,關閉該偏壓。 23·如申請專利範圍第20項所述之方法,其中上述之材質層 包含一氟化珍酸鹽玻璃(FSG)層。 24·如申請專利範圍第23項所述之方法,其更包含以氧來處 理該FSG層。 2 5 · —種電腦可讀取儲存媒介,其具有一程式碼,該程式碼 可適用於控制一半導體晶圓製程系統,其中該半導體晶 圓製程系統包含一反應室、一含矽氣體源、一含氧氣體 源、一源電壓供應器及一偏壓供應器,該程式碼依下列 步驟控制該半導體晶圓製程系統在該反應室中的一晶 圓上沉積一低介電係數薄膜: 沉積一氟化矽酸鹽(FSG)層於一基材之上; 曝露該FSG層於一氧環境;以及 沉積一氮化矽層於該氟化矽酸鹽玻璃層之上。 26. — i用於在一基#上沉積一低介電常數薄膜的設備,該 設備至少包含: 一沉積反應室; 一氣體控制面板,其連結該沉積反應室; ___ 第.53 瓦- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂---------線 « 經濟部智慧財產局員工消費合作社印製 518693 A8 B8 C8 D8 六、申請專利範圍 一電漿產生系統,其連結該沉積反應室; 請 先 閱 讀 背 ®· 之 注 意/ 事 項 再 一控制器,其連結該氣體控制面板、該源電壓供應 器及該偏壓供應器,該控制器包含一電腦可讀取儲存媒 介,且具有用於控制該設備的程式碼,該程式碼依下述 步驟控制該設備: 沉積一氟化矽酸鹽(FSG)層於一基材之上; 曝露該FSG層於一氧環境;以及 沉積一氮化矽層於該氟化矽酸鹽玻璃層之上。 訂 27.—種用於在一基材上沉積一低介電常數薄膜的設備,該 基材被安置於該設備之一沉積反應室中,該設備至少包 含: 用於在該基材之上沉積一第一介電層之裝置;以及 用於沉積/均勻的一第二介電層之裝置,其中該第二 介電層的厚度小於約1000埃。 線 經濟部智慧財產局員Η消費合作社印制衣 ,勒4.耳— 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
TW089124839A 1999-12-23 2000-11-22 In situ deposition and integration of silicon nitride in a high density plasma reactor TW518693B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/470,561 US6372291B1 (en) 1999-12-23 1999-12-23 In situ deposition and integration of silicon nitride in a high density plasma reactor

Publications (1)

Publication Number Publication Date
TW518693B true TW518693B (en) 2003-01-21

Family

ID=23868100

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089124839A TW518693B (en) 1999-12-23 2000-11-22 In situ deposition and integration of silicon nitride in a high density plasma reactor

Country Status (6)

Country Link
US (1) US6372291B1 (zh)
EP (1) EP1111664A3 (zh)
JP (1) JP4721510B2 (zh)
KR (1) KR100726517B1 (zh)
SG (1) SG93911A1 (zh)
TW (1) TW518693B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8169577B2 (en) 2009-07-15 2012-05-01 Chunghwa Picture Tubes, Ltd. Thin-film transistor photosensor, liquid crystal display panel and method of forming dielectric layer having F-SiOC: H compound

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6200911B1 (en) * 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
US6255233B1 (en) * 1998-12-30 2001-07-03 Intel Corporation In-situ silicon nitride and silicon based oxide deposition with graded interface for damascene application
FR2795746B1 (fr) * 1999-07-01 2001-07-27 Commissariat Energie Atomique Procede de depot d'un materiau dielectrique a base de silicium sur du cuivre
US6468927B1 (en) * 2000-05-19 2002-10-22 Applied Materials, Inc. Method of depositing a nitrogen-doped FSG layer
TW584902B (en) * 2000-06-19 2004-04-21 Applied Materials Inc Method of plasma processing silicon nitride using argon, nitrogen and silane gases
US6846737B1 (en) 2000-08-15 2005-01-25 Intel Corporation Plasma induced depletion of fluorine from surfaces of fluorinated low-k dielectric materials
US6534357B1 (en) * 2000-11-09 2003-03-18 Micron Technology, Inc. Methods for forming conductive structures and structures regarding same
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6879046B2 (en) * 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US6531412B2 (en) * 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP4090766B2 (ja) * 2002-03-19 2008-05-28 富士通株式会社 半導体装置の製造方法
AU2003231516A1 (en) * 2002-05-16 2003-12-02 Tokyo Electron Limited Method of treating substrate
JP3504940B2 (ja) * 2002-05-17 2004-03-08 沖電気工業株式会社 半導体装置の製造方法
DE10229463B4 (de) * 2002-07-01 2008-12-11 Qimonda Ag Halbleiteranordnung und Verfahren zu ihrer Herstellung
US6934032B1 (en) * 2002-09-30 2005-08-23 Advanced Micro Devices, Inc. Copper oxide monitoring by scatterometry/ellipsometry during nitride or BLOK removal in damascene process
EP1408140A1 (en) 2002-10-11 2004-04-14 STMicroelectronics S.r.l. A high-density plasma process for depositing a layer of Silicon Nitride
US6833322B2 (en) * 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US20040121146A1 (en) * 2002-12-20 2004-06-24 Xiao-Ming He Composite barrier films and method
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
DE10311312B4 (de) * 2003-03-14 2007-08-16 Infineon Technologies Ag Isolatorstruktur und Verfahren zur Erzeugung von Isolatorstrukturen in einem Halbleitersubstrat
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
KR100694982B1 (ko) * 2004-07-22 2007-03-14 에스티마이크로일렉트로닉스 엔.브이. 반도체 소자의 패시베이션층 형성 방법
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060075968A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Leak detector and process gas monitor
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7226875B2 (en) * 2004-11-30 2007-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for enhancing FSG film stability
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US7179760B2 (en) * 2005-05-27 2007-02-20 International Buisness Machines Corporation Bilayer cap structure including HDP/bHDP films for conductive metallization and method of making same
US7557043B2 (en) * 2005-06-15 2009-07-07 United Microelectronics Corp. Method of fabricating the stacked structure and damascene process
US7785950B2 (en) * 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
KR100769128B1 (ko) * 2005-12-29 2007-10-22 동부일렉트로닉스 주식회사 Eco셀 그리고, eco셀의 배치 및 루팅방법
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
CN100446195C (zh) * 2006-08-23 2008-12-24 上海华虹Nec电子有限公司 改善氟硅玻璃填隙性的方法
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US11339430B2 (en) 2007-07-10 2022-05-24 Life Technologies Corporation Methods and apparatus for measuring analytes using large scale FET arrays
US8262900B2 (en) 2006-12-14 2012-09-11 Life Technologies Corporation Methods and apparatus for measuring analytes using large scale FET arrays
US8349167B2 (en) 2006-12-14 2013-01-08 Life Technologies Corporation Methods and apparatus for detecting molecular interactions using FET arrays
EP2762872B1 (en) 2006-12-14 2015-09-16 Life Technologies Corporation Methods and apparatus for measuring analytes using large scale FET arrays
JP2009049085A (ja) * 2007-08-15 2009-03-05 Oki Electric Ind Co Ltd 窒化シリコン膜の製造方法
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
KR100933854B1 (ko) 2008-01-14 2009-12-24 주식회사 하이닉스반도체 반도체 소자의 패턴 형성방법
US20100137143A1 (en) 2008-10-22 2010-06-03 Ion Torrent Systems Incorporated Methods and apparatus for measuring analytes
US20100301398A1 (en) 2009-05-29 2010-12-02 Ion Torrent Systems Incorporated Methods and apparatus for measuring analytes
US8776573B2 (en) 2009-05-29 2014-07-15 Life Technologies Corporation Methods and apparatus for measuring analytes
US20120261274A1 (en) 2009-05-29 2012-10-18 Life Technologies Corporation Methods and apparatus for measuring analytes
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
CN106932456B (zh) 2010-06-30 2020-02-21 生命科技公司 用于测试isfet阵列的方法和装置
TWI580955B (zh) 2010-06-30 2017-05-01 生命技術公司 離子感測電荷累積電路及方法
JP5883857B2 (ja) 2010-06-30 2016-03-15 ライフ テクノロジーズ コーポレーション 化学反応および化合物の検出および測定のためのトランジスタ回路
US11307166B2 (en) 2010-07-01 2022-04-19 Life Technologies Corporation Column ADC
US8653567B2 (en) 2010-07-03 2014-02-18 Life Technologies Corporation Chemically sensitive sensor with lightly doped drains
US9618475B2 (en) 2010-09-15 2017-04-11 Life Technologies Corporation Methods and apparatus for measuring analytes
US9970984B2 (en) 2011-12-01 2018-05-15 Life Technologies Corporation Method and apparatus for identifying defects in a chemical sensor array
US8786331B2 (en) 2012-05-29 2014-07-22 Life Technologies Corporation System for reducing noise in a chemical sensor array
US9080968B2 (en) 2013-01-04 2015-07-14 Life Technologies Corporation Methods and systems for point of use removal of sacrificial material
US9841398B2 (en) 2013-01-08 2017-12-12 Life Technologies Corporation Methods for manufacturing well structures for low-noise chemical sensors
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
KR102152858B1 (ko) * 2013-03-12 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US8963216B2 (en) 2013-03-13 2015-02-24 Life Technologies Corporation Chemical sensor with sidewall spacer sensor surface
WO2014149780A1 (en) 2013-03-15 2014-09-25 Life Technologies Corporation Chemical sensor with consistent sensor surface areas
US20140264471A1 (en) 2013-03-15 2014-09-18 Life Technologies Corporation Chemical device with thin conductive element
US9835585B2 (en) 2013-03-15 2017-12-05 Life Technologies Corporation Chemical sensor with protruded sensor surface
US20140336063A1 (en) 2013-05-09 2014-11-13 Life Technologies Corporation Windowed Sequencing
US10458942B2 (en) 2013-06-10 2019-10-29 Life Technologies Corporation Chemical sensor array having multiple sensors per well
EP4354131A3 (en) 2014-12-18 2024-06-26 Life Technologies Corporation High data rate integrated circuit with transmitter configuration
TWI684004B (zh) 2014-12-18 2020-02-01 美商生命技術公司 用於使用大規模fet陣列量測分析物之方法及設備
US10077472B2 (en) 2014-12-18 2018-09-18 Life Technologies Corporation High data rate integrated circuit with power management
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US11430656B2 (en) * 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4436584A (en) * 1983-03-21 1984-03-13 Sperry Corporation Anisotropic plasma etching of semiconductors
JP2737478B2 (ja) * 1991-09-30 1998-04-08 日本電気株式会社 半導体装置の表面保護膜の形成方法
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5449432A (en) * 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
US5468296A (en) * 1993-12-17 1995-11-21 Lsi Logic Corporation Apparatus for igniting low pressure inductively coupled plasma
US5753975A (en) * 1994-09-01 1998-05-19 Kabushiki Kaisha Toshiba Semiconductor device with improved adhesion between titanium-based metal wiring layer and insulation film
JP3348263B2 (ja) * 1995-02-08 2002-11-20 富士通株式会社 半導体装置の製造方法
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
JPH1050687A (ja) * 1996-08-01 1998-02-20 Toshiba Corp 薄膜形成装置および薄膜形成方法
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US6310300B1 (en) * 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
US5858869A (en) * 1997-06-03 1999-01-12 Industrial Technology Research Institute Method for fabricating intermetal dielectric insulation using anisotropic plasma oxides and low dielectric constant polymers
JPH10340898A (ja) * 1997-06-05 1998-12-22 Sumitomo Metal Ind Ltd 半導体装置及びその製造方法
JPH11111712A (ja) * 1997-10-01 1999-04-23 Fujitsu Ltd 低誘電率絶縁膜とその形成方法及びこの膜を用いた半導体装置
JPH11111845A (ja) * 1997-10-03 1999-04-23 Toshiba Corp 半導体装置及びその製造方法
US5876798A (en) * 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
JPH11330070A (ja) * 1998-05-14 1999-11-30 Matsushita Electric Ind Co Ltd 絶縁膜の形成方法
JP3104750B2 (ja) * 1998-06-17 2000-10-30 日本電気株式会社 半導体装置の製造方法
JP3148183B2 (ja) * 1998-08-31 2001-03-19 日本電気株式会社 半導体装置の製造方法
US6165915A (en) * 1999-08-11 2000-12-26 Taiwan Semiconductor Manufacturing Company Forming halogen doped glass dielectric layer with enhanced stability
US6410457B1 (en) * 1999-09-01 2002-06-25 Applied Materials, Inc. Method for improving barrier layer adhesion to HDP-FSG thin films
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8169577B2 (en) 2009-07-15 2012-05-01 Chunghwa Picture Tubes, Ltd. Thin-film transistor photosensor, liquid crystal display panel and method of forming dielectric layer having F-SiOC: H compound

Also Published As

Publication number Publication date
SG93911A1 (en) 2003-01-21
KR100726517B1 (ko) 2007-06-11
KR20010062663A (ko) 2001-07-07
EP1111664A3 (en) 2004-06-09
EP1111664A2 (en) 2001-06-27
JP2001267315A (ja) 2001-09-28
JP4721510B2 (ja) 2011-07-13
US6372291B1 (en) 2002-04-16

Similar Documents

Publication Publication Date Title
TW518693B (en) In situ deposition and integration of silicon nitride in a high density plasma reactor
US6713390B2 (en) Barrier layer deposition using HDP-CVD
US7081414B2 (en) Deposition-selective etch-deposition process for dielectric film gapfill
US7915139B1 (en) CVD flowable gap fill
EP1077477B1 (en) Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
US6633076B2 (en) Methods and apparatus for producing stable low k FSG film for HDP-CVD
US6899763B2 (en) Lid cooling mechanism and method for optimized deposition of low-K dielectric using TR methylsilane-ozone based processes
US7205240B2 (en) HDP-CVD multistep gapfill process
US8143174B2 (en) Post-deposition treatment to enhance properties of Si-O-C low K films
CN100483646C (zh) 用于改进间隙填充应用的高产能hdp-cvd处理
TWI439565B (zh) 使用含氧前驅物的介電阻絕物的沉積方法
TW580752B (en) Method of depositing a nitrogen-doped FSG layer
TW475198B (en) Method for improving barrier layer adhesion to hdp-fsg thin films
EP0874391A2 (en) Process for depositing a Halogen-doped SiO2 layer
TW200814196A (en) A method for depositing and curing low-k films for gapfill and conformal film applications
JP2001148382A (ja) 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
JPH10335322A (ja) 絶縁膜の形成方法
US6667248B2 (en) Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
KR101008490B1 (ko) 저온 화학기상증착에 의한 산화막 증착 방법
EP1146142A2 (en) Process for forming fluorosilicate glass layers using high density plasma, for copper damascene integrated circuits
Schwartz Interlevel Dielectrics and Passivating Films

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees