JP2960466B2 - 半導体デバイスの配線絶縁膜の形成方法及びその装置 - Google Patents

半導体デバイスの配線絶縁膜の形成方法及びその装置

Info

Publication number
JP2960466B2
JP2960466B2 JP2067002A JP6700290A JP2960466B2 JP 2960466 B2 JP2960466 B2 JP 2960466B2 JP 2067002 A JP2067002 A JP 2067002A JP 6700290 A JP6700290 A JP 6700290A JP 2960466 B2 JP2960466 B2 JP 2960466B2
Authority
JP
Japan
Prior art keywords
insulating film
film
forming
gas
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2067002A
Other languages
English (en)
Other versions
JPH03268429A (ja
Inventor
徹 大坪
泰広 山口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2067002A priority Critical patent/JP2960466B2/ja
Priority to US07/669,526 priority patent/US5275977A/en
Priority to KR1019910004053A priority patent/KR940010502B1/ko
Publication of JPH03268429A publication Critical patent/JPH03268429A/ja
Application granted granted Critical
Publication of JP2960466B2 publication Critical patent/JP2960466B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は半導体デバイスの製造に係り、特に高集積化
された多層配線構造のデバイス製造に好適な半導体デバ
イスの配線絶縁膜の形成方法およびその装置に関する。
〔従来の技術〕
半導体デバイスの高集積化,高密度化により、半導体
デバイス表面の凹凸ははげしくなり、またその表面に何
層もの配線を信頼性よく形成することが必要となってい
る。そのために何層もの配線を絶縁分解する絶縁膜を、
下地表面の凹凸に関係なく、形成した膜表面が平坦にな
るように形成することが不可欠である。この絶縁膜の平
坦化形成方法に関しては電気化学および工業物理化学Vo
l 57 No4(1989)P281〜P285 Semicon NEWS 1989.6(マ
ーコム・インターナショナル発行)P49〜P67に現在検討
されている平坦化方法が示されている。
平坦化の方法としては塗布膜による方法,スパッタエ
ッチングを用いる方法,エッチバックによる方法,リフ
ローによる方法など多くの方法が提案検討されている。
〔発明が解決しようとする課題〕
半導体デバイスの配線間絶縁膜形成では単に平坦な膜
を形成するだけでなく、膜形成処理によりデバイス特性
へ影響がないこと,膜の中にボイドができないこと,処
理に伴う塵埃の発生が少ないこと,などが必要である。
また生産性の向上をはかる上では、塗布膜などを用いる
ウェット処理を含まず、真空中で連続して処理できる方
法でなければならない。
しかし上記従来技術ではスパッタエッチングを用いた
真空中で連続して処理できる方法では、デバイス特性へ
の影響や塵埃の発生があり、塗布膜やエッチングを用い
る方法はウェット処理と真空中での処理の組合せとな
る。このように従来の半導体デバイスの配線間絶縁膜形
成方法では、さきに述べた量産に必要な性能をすべて満
足した方法がない。
本発明の目的は上記課題を満足し、量産に適した半導
体デバイスの配線絶縁膜の形成方法およびその形成装置
を提供することにある。
〔課題を解決するための手段〕
即ち本発明は、上記目的を達成するために、プラズマ
CVDの成膜ガス中に、フッ素原子を含むエッチングガス
(反応ガス)を入れて処理室内に供給してプラズマを発
生させ、基板を加熱して成膜ガスによるプラズマCVDに
より処理基板の配線パターン上に絶縁膜を成膜しつつ、
プラズマと上記下部電極との間に生じる電位差によって
入射する反応ガスのフッ素ガスイオンと絶縁膜との化学
反応によって気化させてリアクティブエッチングを行な
って、処理基板の配線パターン上にボイドを発生させる
ことなく高品質の絶縁膜を形成することを特徴とするも
のである。
また、本発明は、配線パターン上に絶縁膜を形成した
処理基板を冷却し、絶縁膜と同じ反応ガスで分解可能な
物質で形成され、且つ融点が冷却された処理基板より高
い物質ガスを供給して処理基板上に吸着させ、処理基板
温度を融点以上にして吸着ガスを液化し、表面を平坦化
した後、融点以下に下げ固体化し、上記反応ガスにより
この固体化した膜と、その下の絶縁膜のエッチング速度
が同じ条件でエッチングし、表面の平坦性を絶縁膜表面
に形成(転写)するようにした。
〔作用〕
絶縁膜を形成するプラズマCVDの成膜ガス中にフッ素
原子を含むエッチングガスを入れ、プラズマを発生して
処理すると、成膜ガスによる膜形成が進む一方、エッチ
ングガスによるリアクティブイオンエッチングにより、
基板に垂直な方向が主にエッチングされ、パターンの側
壁には順テーパ形状に膜が形成される。このようにパタ
ーンの側面に形成される膜は開口面が広がった順テーパ
形状であるため、両側のパターン側面より形成される膜
は、底面より順次成長するため、ボイドの発生がない。
また基板表面に吸着したガスを液化するため、基板の
表面形状に関係なく、液の表面は平坦になり、これを融
点以下に冷却することにより、基板の表面は平坦な固体
化した膜でカバーされる。この固体化した膜と、形成し
た絶縁膜のエッチング速度が同じ条件でエッチングする
と、絶縁膜の凹凸に関係なく、エッチングは固体化した
膜の表面の形状で進むため、絶縁膜の表面は平坦化され
る。
〔実施例〕
以下、本発明の一実施例を第1図,第2図,第3図,
第4図により説明する。
第1図に凹凸のある配線パターン上に絶縁膜をボイド
なしに形成する処理室構成を示し、以下に説明する。
処理室1には上電極2,下電極3が組込まれている。上
電極2はセラミックス板4により処理室1と絶縁されて
おり、13.56MHZの高周波電源5が接続されている。また
ガス流量コントローラ6より、ガス供給管7を通して、
有機シランガス(Si(OC2H5),O2,CF4ガスが供給で
きる構造となっている。供給されたガスは上部電極2に
設けられた小さなガス供給口8より、処理室内にシャワ
ー状に供給される。ウエハ9は下部電極3上に設置され
る。下部電極3にはヒータ10が組込まれており、ヒータ
電源コントローラ11により、温度制御される。また下部
電源3はベース12に対しては絶縁ブロック13により絶縁
され、処理室1と絶縁された構成となっており、アース
に対し可変抵抗14を通して接続されている。
処理室1には排気管15が接続されており、図示しない
排気装置により、処理室1内の圧力を設定値にコントロ
ールできるようになっている。
処理室1の下には搬送室16があり、ベース12を上下に
駆動する図示しない機構が設けられている。
ベース12を押上げた状態ではベース12と処理室1によ
り、処理室1は密閉される。ウエハ9はベース12を下げ
た状態で搬送室16内の図示しない搬送機構により搬送さ
れる。
第2図に配線パターン上に形成した絶縁膜の表面を平
坦にする処理室の構成を示し、以下に説明する。
処理室20には上電極21,下電極22が組込まれている。
上電極21にはガス流量コントローラ23,ガス供給管24を
通して、有機シランガス(Si(OCH3),CF4ガスが供
給できる構造となっている。また上部電極21の中央には
開口があり上部の石英板25により真空封止されている。
レーザ源26には2波長以上のレーザ光を発射できるレー
ザシステムが組込まれており、ハーフミラ27で反射さ
れ、石英板25,ガス供給口28を介してウエハ9に当たる
ようになっている。その反射光は同一経路を通り、ハー
フミラ27を通りディテクタ29に入射する。
ディテクタ29ではレーザ源の各波長ごとにその反射強
度を測定できるようになっており、判定装置30により、
ウエハ9上の膜厚を算出できるようになっている。上部
電極21の周囲にはランプヒータ31が設けてあり、ヒータ
コントローラ32により制御され、ウエハ9の温度を40℃
上昇できるように設定されている。ウエハ9は下部電極
22上に設置されている。下部電極22の周囲にはセラミッ
クス部品33を介してアースシールド34が接続されてお
り、13.56MHZの高周波電源35より高周波電圧を印加した
時、下部電極の上面でのみ放電が発生するようになって
いる。また下部電極22の内部には冷凍機36より、−60℃
〜−70℃に冷却した冷触を供給し、電極の温度を−60℃
に下げられるようになっているとともに、ヘリウムガス
供給源37より一定電流のヘリウムガスをウエハ9と電極
22の間に流すようになっている。ウエハ9の周囲にはウ
エハ押え38があり、ウエハ9を電極22に押付けるように
なっている。
処理室20の下には搬送室があり、ベース39を上下に駆
動する図示しない機構が設けられている。
ベース39を押上げた状態ではベース39と処理室20によ
り、処理室20は密閉される。ウエハ9はベース39を下げ
た状態で、搬送室16内の図示されない搬送機構により搬
送される。
処理室20にはその他排気管40,モニタ窓41が設けられ
ている。排気管40には図示しない排気装置が接続してあ
り、処理室20内の圧力を設定値にコントロールできるよ
うになっている。モニタ窓41にはフィルタ42を介してデ
ィテクタ43が設置されており、プラズマ中のHを含んだ
分子,H原子の発光レベルの変化が測定できるようになっ
ている。この信号が設定レベルより下がると処理完了判
定装置44により、処理の完了を判定するようになってい
る。
次に第1図及び第2図に示す処理室による処理方法に
ついて、第3図及び第4図に基いて説明する。
処理室1にウエハ9を搬送し、ベース12を押上げ、処
理室1を密閉する。ガス流量コントローラ6により、Si
(OC2H54,O2CF4ガスを混合してガス供給口8よりシャ
ワー状に供給する。ウエハ9を下電極3で300℃〜400℃
に加熱し、処理室1内の圧力を設定値にして高周波電源
5より上部電極2に高周波電圧を印加し、処理室1内に
プラズマ60を発生させる。プラズマ60により有機シラン
ガス(Si(OC2H5ガス)は分解し、O2ガスと反応
し、ヒータ10により加熱されたウエハ9上に形成された
配線膜50の上に酸化シリコン膜(SiO2膜)51を形成する
と共に上記分解された炭素(C)、水素(H)は単独、
又はO2ガスと反応してガス化して排気される。一方、ガ
ス供給口8よりシャワー状に混合して供給されたCF4
スは、上記プラズマ60中で分解されCF3 +及びFラジカル
ができる。上部電極2とプラズマ60の間のシースには印
加した高周波電圧による電位差が生じ、プラズマ60と処
理室内壁61、プラズマ60と下部電極3の間のシースにも
高周波電圧による電位差が生じる。上部電極2に高周波
電源5を接続している関係で、上部電極2とプラズマ60
の間に発生する電位差の方が、処理室内壁61、下部電極
3とプラズマ60の間に発生する電位差よりも大きい。CF
3 +はこれらシース間の電位差により加速され、電極やウ
エハ9に入射する。ウエハ9上に形成された酸化シリコ
ン膜(SiO2膜)51は、この加速されて入射するCF3イオ
ンと反応し、SiF4ガス,CO2ガスとなり、排気管15から排
気され、エッチングが進む。この時、CF3 +イオンは加速
され、方向性をもってウエハ9に垂直に入射するため、
段差パターンの側面はエッチングが進まず、平坦な部分
やオーバハングした部分が主にエッチングされる。ま
た、CF3 +イオンのスパッタ効果により、垂直方向から45
゜付近の角度の面が早くエッチングされる効果も加わ
り、エッチングが進む。
このように、有機シランガス(Si(OC2H5ガス)
の分解ガスとO2ガスの反応で酸化シリコン膜(SiO2膜)
51が形成される一方、形成された酸化シリコン膜51のオ
ーバハングした部分や45゜付近の傾斜面がよくエッチン
グされるため、形成された酸化シリコン膜51は第3図
(a)に示すように順テーパ状に膜形成され、酸化シリ
コン膜51中に空洞が形成されることはない。即ち、この
酸化シリコン膜形成では、配線膜50上部にオーバハング
して膜が付着し、両側のオーバハングが付して内部にボ
イドが形成されるようなことはなく、第3図(b)に示
すように、ボイドの発生しない酸化シリコン膜形成が可
能である。処理室1では、更に処理を続け、第3図
(c)に示す形状まで酸化シリコン膜形成を行ない、こ
の処理を完了する。
この方法では、従来行なわれているように、Ar+イオ
ンのスパッタエッチングで酸化シリコン膜をエッチング
するのではなく、化学反応により気化し、排気されるた
め、スパッタした酸化シリコン膜が処理室内壁61などに
付着し、塵埃となることはなく、高品質の酸化シリコン
膜51を配線膜51上に成膜することができる。
また成膜用ガスとしては、上記実施例の他、Si(OC
H34,SiF4SiH4などのガスを用いることができる。
また、エッチングガスとしては、C4F8,C3F8,CHF3など
のフッ素(F)を含んでいるガスであれば良い。
本実施例では、上部電極2とプラズマ60の間に発生す
る電位差の方が、処理室内壁61、下部電極3とプラズマ
60の間に発生する電位差よりも大きいことは先に述べ
た。CF3 +イオンにより酸化シリコン膜51のエッチング速
度は、この加速電圧に比例するため、本実施例の場合、
上部電極2の表面のエッチング速度はウエハ9の表面の
エッチング速度より大きくなる。酸化シリコン膜51の成
膜速度は上部電極2、下部電極3とも同じであるため、
上部電極2の成膜速度とエッチング速度を同じにする
か、エッチング速度が早い条件に設定することにより、
上部電極2には、上記膜を付着させずに、ウエハ9上だ
け、酸化シリコン膜51を形成でき、付着膜のはがれによ
る塵埃の発生を防止することができ、高品質の酸化シリ
コン膜形成を実現することができる。
更に本実施例に示すように、可変抵抗器14により、下
部電極3を通る高周波電流経路のインピーダンスを高
め、下部電極3を通る高周波電流を下げると下部電極3
とプラズマ60間の電位差も小さくなる。これにより、CF
3 +イオンの加速状況は、上部電極2>処理室内壁61>下
部電極3となり、エッチング速度もこれに比例する。従
って。処理室内壁61のエッチング速度を成膜速度より大
きくすることにより、下部電極3上だけで酸化シリコン
膜形成を行ない、他の部分では上記膜形成が行なわれな
いようにすることができる。
以上のように第1図に示す本方法では成膜処理中に処
理室内面61への膜形成がないので、成膜処理後の処理室
内の付着膜除去処理が不用となり、スループットの向上
が図れる。またリアクティブイオンエッチングと成膜と
の同時処理であるため、エッチングに必要なCF3 +等のイ
オンのエネルギを下げることができ、スパッタエッチン
グ(物理的なエッチング処理)を同時に行う場合に比
べ、ウエハ9上に形成された半導体デバイスに与える影
響が小さいなどの効果がある。また本発明では第1図に
示すように、エッチング用のCF4ガスと膜形成用のSi(O
C2H5とO2ガスを混合して供給し、同時に処理してい
るがこれを分けて処理しても、ボイドの発生前にエッチ
ング処理を行なえば問題ないことは明らかである。また
ウエハ9上のエッチング速度を下げる方法は、本発明に
示すように可変抵抗14を用いる方法だけでなく、下部電
極3に高周波電源5とは異なる周波数の電源(図示せ
ず)を接続し、両電源の出力をコントロールする方法な
ど、上部電極4,下部電極3,処理内壁でのエッチング速度
がコントロールできる方法であればよい。
次に形成した酸化シリコン膜51の表面を平坦化する方
法について説明する。
処理室1での処理が完了したウエハ9を図示しない搬
送装置により、下部電極22上に搬送する。
ベース39を押上げ、処理室20を密閉状態にしてウエハ
9をウエハ押え38により下部電極22上に押付ける。レー
ザ源26より2波長以上のレーザを照射し、ウエハ9内の
膜厚測定用に設けられたエリアに形成された酸化シリコ
ン膜(SiO2膜)51の膜厚を、各波長の反射強度の比率よ
り算出する。反射するレーザ光の強度は膜の表面で反射
した光と酸化シリコン膜51とその下の配線膜50の界面で
反射された光が干渉するため、膜厚と一定の関係をもっ
て周期的に変化する。波長が異なるとこの周期が異なる
ため、多くの波長を用いるほどより精度の高い膜厚測定
ができることが知られている。以上の方法により形成さ
れた酸化シリコン膜51の膜厚を測定する。
下部電極22は−60℃に冷却されており、ウエハ9と下
部電極22の間にヘリウムガスを流すことにより、ウエハ
9と電極22間の熱の通過率が向上し、ウエハ9の温度は
−40℃に冷却される。ガス流量コントローラ23よりSi
(OCH3ガスを供給し、処理室20の圧力をSi(OCH3
ガスの20℃の蒸気圧である10Torr以下に保つ。
処理室20,上部電極21の表面温度は室温の20℃以上に
保たれているため、Si(OCH3ガスは結露しない。し
かしウエハ9の表面は−40℃に冷却されているためSi
(OCH3ガスは固体となってウエハ9の表面に付着す
る。この付着量はディテクタ29で検出されるレーザ干渉
の強度変化より測定できる。しかしレーザを連続的に照
射するとウエハ表面の温度が上昇するため断続的にレー
ザを発射し、測定する。
設定膜厚に達した点でSi(OCH3ガスの供給をガス
流量コントローラ23により停止する。
以上の処理完了後、Si(OCH3452は第4図(a)に
示すようにウエハ9の表面に付着する幅射加熱手段であ
るランプヒータ31を点灯し、ウエハ温度を0℃まで昇温
する。なお、ウエハ温度を計測する手段を下部電極22に
設けてもよいことは明らかである。Si(OCH3の融点
は−4℃であるため、Si(OCH3は液体となり、表面
は第4図(b)に示すように平坦になる。この後、ラン
プヒータ31を消し、ウエハ9の温度を再度−40℃に下げ
ることにより液体化されたSi(OCH3は再び固体とな
る。
次にCF4ガスをガス供給管24を通して供給し、下部電
極22に13.56MHZの高周波電源35により高周波電圧を印加
し、上部電極21との間に放電を発生させる。CF4ガスは
プラズマによりCF3 +,Fラジカル等に分解されるため、こ
れらのイオンやラジカルにより、Si(OCH3膜52はSi
F,CO,CH,Hなどのガス成分に分解され、エッチングが進
行する。高周波電源35により高周波電力,排気管40に接
続されたコントロール手段により処理圧力などの条件を
固体化したSi(OCH3膜52と酸化シリコン膜51のエッ
チング速度が同じになる条件に設定する。この条件でエ
ッチングするとウエハ9の絶縁膜(酸化シリコン膜)51
の表面は第4図(c)に示すように平坦にエッチングが
進む。Si(OCH3が残っている時プラズマ中にはCH,
H,OH等Hを含んだ分子,原子の発光が存在する。この発
光の変化を調べることでSi(OCH3の膜52の有無が確
認できる。処理完了判定装置44により、Si(OCH3
膜52がなくなったことを検知し、エッチング処理を完了
する。この時、表面の状況は第4図(d)のようになっ
ている。処理前にディテクタ29で検出されるレーザ干渉
により測定した酸化シリコン膜51の膜厚が設定値より厚
い場合、Si(OCH3の膜52がなくなった後すぐには処
理を停止せず、この厚い分もエッチングした後、処理を
完了する。
以上により、表面を、配線膜50によって生じるウエハ
9の表面(絶縁膜表面)の凹凸に影響されずに平坦に形
成することができる。
本発明では付着されるガスとしてSi(OCH3を用い
たがこれに限定されるものではない。電極温度を−100
℃程度に下げることが可能ならば融解温度−77℃のSi
(OC2H5を使うこともできる。ガスとして供給で
き、ウエハ温度制御範囲に融点があるとともに、絶縁膜
52をエッチングできるガスと同一ガスで絶縁膜52と同じ
エッチング速度が得られる材料であれば本発明が適用で
きることは明らかである。また本発明では付着したSi
(OCH3をランプヒータのウエハ加熱により溶融した
が、これに限定されるものではなく、下部電極の温度を
制御する方法など、ウエハの温度を制御できる方法であ
ればよい。
エッチングガスはCF4の他C4F8,C3F8,CHF3などのガス
を用いることもできる。
また本発明では同一の搬送系で接続された処理室1と
処理室20について説明したが、各処理室は同一搬送系で
接続せず、独立の装置であってもよい。
〔発明の効果〕
本発明によれば、配線パターン上に平坦な絶縁膜をボ
イドなしに形成できるので、高集積化された多層配線構
造のデバイスを歩留りよく製造できる効果がある。また
スパッタエッチングのようにイオンエネルギの高い条件
が必要な処理を用いないため、高集積化された微細パタ
ーンのデバイスの特性に影響を与えず、平坦な絶縁膜の
形成ができ、半導体デバイスを歩留りよく製造できる効
果がある。
また本発明ではウエハ温度を400℃以上にはしないた
め、不純物濃度プロファイルへの影響が小さく、微細構
造の半導体デバイスを歩留りよく製造できる効果があ
る。
また本発明では塗布等のウエット処理がないため、真
空で連続した装置での一貫処理が可能であり、工程の簡
略化がはかれる効果がある。
【図面の簡単な説明】
第1図は本発明の半導体デバイスの配線絶縁膜の形成装
置の一実施例の概略構成を示す断面図、第2図は本発明
の半導体デバイスの配線絶縁膜の形成装置の他の一実施
例の概略構成を示す断面図、第3図は第1図に示す装置
により、形成される絶縁膜の形成過程を示す図、第4図
は第2図に示す装置により表面を平坦化する過程を示す
図である。 1……処理室、2……上部電極、 3……下部電極、5……高周波電源、 14……可変抵抗器、20……処理室、 21……上部電極、22……下部電極、 31……ランプヒータ、35……高周波電源、 36……冷凍機、50……配線パターン、 51……酸化シリコン膜、 52……吸収したガスの膜。
───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.6,DB名) H01L 21/316

Claims (18)

    (57)【特許請求の範囲】
  1. 【請求項1】処理室内に設置された下部電極上に、配線
    パターンを形成した処理基板を載置し、プラズマCVDの
    成膜ガス中に、フッ素ガスを含む反応ガスを入れて処理
    室内に供給してプラズマを発生させ、上記処理基板を加
    熱して上記成膜ガスによるプラズマCVDにより上記処理
    基板の配線パターン上に絶縁膜を成膜しつつ、プラズマ
    と上記下部電極との間に生じる電位差によって上記反応
    ガスのフッ素ガスイオンを絶縁膜上に入射させて該フッ
    素ガスイオンと絶縁膜との化学反応により気化させてリ
    アクティブエッチングを行なって上記処理基板の配線パ
    ターン上にボイドを発生することなく高品質の絶縁膜を
    形成することを特徴とする半導体デバイスの配線絶縁膜
    の形成方法。
  2. 【請求項2】上記処理基板上への絶縁膜形成速度を処理
    室内の他の部分より早くさせて、処理室内壁に絶縁膜が
    付着させないようにしたことを特徴とする請求項1記載
    の半導体デバイスの配線絶縁膜の形成方法。
  3. 【請求項3】上記処理基板を通って流れる電流のインピ
    ーダンスを処理室内の他の部分より高くしてリアクディ
    ブエッチング速度を遅くして処理室内壁に絶縁膜が付着
    させないようにしたことを特徴とする請求項1記載の半
    導体デバイスの配線絶縁膜の形成方法。
  4. 【請求項4】上記処理室内に、上記下部電極と対向する
    位置に設けられた上部電極に高周波電圧を印可すると共
    に上記上部電極部分から上記成膜ガスと反応ガスとを混
    合させて上記処理基板の上方に供給してプラズマを発生
    させることを特徴とする請求項1記載の半導体デバイス
    の配線絶縁膜の形成方法。
  5. 【請求項5】上記成膜ガスとして、Si(OC2H5)4,Si(O
    CH3)4,SiF4SiH4から選ばれた少なくとも一つと酸素ガ
    スを含むことを特徴とする請求項5記載の半導体デバイ
    スの配線絶縁膜の形成方法。
  6. 【請求項6】上記反応ガスとして、CF4,C4F8,C3F8,CHF3
    から選ばれた少なくとも一つを含むことを特徴とする請
    求項5記載の半導体デバイスの配線絶縁膜の形成方法。
  7. 【請求項7】処理室内に配置された下部電極に、絶縁膜
    を形成した配線パターンを有する処理基板を載置し、上
    記絶縁膜と同じ反応ガスで分解可能な物質をガス状にし
    て上記処理室内の処理基板上に供給し、上記処理基板の
    絶縁膜の表面に、上記ガス状の物質を膜状に所望の厚さ
    付着させ、この付着された膜に温度変化を与えて液状に
    変換して該膜の表面を平坦化し、その後該膜を固化し、
    その後上記反応ガスを上記処理基板の上方に供給してプ
    ラズマを発生させて上記固化した膜と共に上記絶縁膜の
    表面をプラズマエッチングして上記絶縁膜の表面を平坦
    化することを特徴とする半導体デバイスの配線絶縁膜の
    形成方法。
  8. 【請求項8】上記絶縁膜は酸化シリコン膜であることを
    特徴とする請求項7記載の半導体デバイスの配線絶縁膜
    の形成方法。
  9. 【請求項9】上記物質ガスとして、Si(OCH3)4,Si(OC
    2H5)4のいずれかを含むことを特徴とする請求項7記
    載の半導体デバイスの配線絶縁膜の形成方法。
  10. 【請求項10】上記反応ガスとして、CF4,C4F8,C3F8,CH
    F3から選ばれた少なくとも一つを含むことを特徴とする
    請求項7記載の半導体デバイスの配線絶縁膜の形成方
    法。
  11. 【請求項11】処理室内に設置され、且つ配線パターン
    を形成した処理基板を載置する下部電極と、プラズマCV
    Dの成膜ガス中に、フッ素ガスを含む反応ガスを入れて
    処理室内に供給してプラズマを発生させるプラズマ発生
    手段と、上記基板を加熱して上記成膜ガスによるプラズ
    マCVDにより上記処理基板の配線パターン上に絶縁膜を
    成膜しつつ、プラズマと上記電極との間に生じる電位差
    によって上記反応ガスのフッ素ガスイオンを絶縁膜上に
    入射させて該フッ素ガスイオンと絶縁膜との化学反応に
    より気化させてリアクティブエッチングを行なって上記
    処理基板の配線パターン上にボイドを発生させることな
    く高品質の絶縁膜を形成する成膜手段とを備えたことを
    特徴とする半導体デバイスの配線絶縁膜の形成装置。
  12. 【請求項12】更に、上記処理基板上への絶縁膜形成速
    度を処理室内の他の部分より早くさせて、処理室内壁に
    絶縁膜が付着させないようにする絶縁膜形成速度制御手
    段を備えたことを特徴とする請求項11記載の半導体デバ
    イスの配線絶縁膜の形成装置。
  13. 【請求項13】更に、上記処理基板を通って流れる電流
    のインピーダンスを処理室内の他の部分より高くしてリ
    アクティブエッチング速度を遅くして処理室内壁に絶縁
    膜が付着させないようにするインピーダンス制御手段を
    備えたことを特徴とする請求項11記載の半導体デバイス
    の配線絶縁膜の形成装置。
  14. 【請求項14】上記プラズマ発生手段として、上記処理
    室内に、上記下部電極と対向する位置に設けられ、且つ
    高周波電圧を印可すると共に上記成膜ガスと反応ガスと
    を混合させて上記基板の上方に供給する上部電極をして
    プラズマを発生させる上部電極を有することを特徴とす
    る請求項11記載の半導体デバイスの配線絶縁膜の形成装
    置。
  15. 【請求項15】処理室内に設置され、且つ絶縁膜を形成
    した配線パターンを有する処理基板を載置する下部電極
    と、上記絶縁膜と同じ反応ガスで分解可能な物質をガス
    状にして上記処理室内の処理基板上に供給する物質ガス
    供給手段と、上記処理基板の絶縁膜の表面に、上記ガス
    状の物質を膜状に所望の厚さ付着させ、その後該膜を固
    化する固化手段と、上記付着された膜に温度変化を与え
    て液状に変換して該膜の表面を平坦化する平坦化手段
    と、上記反応ガスを上記基板の上方に供給してプラズマ
    を発生させて上記表面を平坦にして固化した膜と共に上
    記絶縁膜の表面をプラズマエッチングして上記絶縁膜の
    表面を平坦化するプラズマエッチング手段とを備えたこ
    とを特徴とする半導体デバイスの配線絶縁膜の形成装
    置。
  16. 【請求項16】上記固化手段を、上記処理基板を該物質
    の融点以下に冷却する冷却手段で構成したことを特徴と
    する請求項15記載の半導体デバイスの配線絶縁膜の形成
    装置。
  17. 【請求項17】上記平坦化手段として、上記処理基板の
    絶縁膜上に付着した膜状物質を加熱する加熱手段で構成
    したことを特徴とする請求項15記載の半導体デバイスの
    配線絶縁膜の形成装置。
  18. 【請求項18】上記プラズマエッチング手段は、プラズ
    マエッチング中のプラズマ発光の分光強度を測定してプ
    ラズマエッチングを制御する分光分析手段を有すること
    を特徴とする請求項15記載の半導体デバイスの配線絶縁
    膜の形成装置。
JP2067002A 1990-03-19 1990-03-19 半導体デバイスの配線絶縁膜の形成方法及びその装置 Expired - Fee Related JP2960466B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2067002A JP2960466B2 (ja) 1990-03-19 1990-03-19 半導体デバイスの配線絶縁膜の形成方法及びその装置
US07/669,526 US5275977A (en) 1990-03-19 1991-03-14 Insulating film forming method for semiconductor device interconnection
KR1019910004053A KR940010502B1 (ko) 1990-03-19 1991-03-14 반도체 디바이스의 배선절연막의 형성방법 및 그 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2067002A JP2960466B2 (ja) 1990-03-19 1990-03-19 半導体デバイスの配線絶縁膜の形成方法及びその装置

Publications (2)

Publication Number Publication Date
JPH03268429A JPH03268429A (ja) 1991-11-29
JP2960466B2 true JP2960466B2 (ja) 1999-10-06

Family

ID=13332296

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2067002A Expired - Fee Related JP2960466B2 (ja) 1990-03-19 1990-03-19 半導体デバイスの配線絶縁膜の形成方法及びその装置

Country Status (3)

Country Link
US (1) US5275977A (ja)
JP (1) JP2960466B2 (ja)
KR (1) KR940010502B1 (ja)

Families Citing this family (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0445535B1 (en) 1990-02-06 1995-02-01 Sel Semiconductor Energy Laboratory Co., Ltd. Method of forming an oxide film
JP2773530B2 (ja) * 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US7465679B1 (en) 1993-02-19 2008-12-16 Semiconductor Energy Laboratory Co., Ltd. Insulating film and method of producing semiconductor device
KR0143873B1 (ko) * 1993-02-19 1998-08-17 순페이 야마자끼 절연막 및 반도체장치 및 반도체 장치 제조방법
JP3637069B2 (ja) 1993-03-12 2005-04-06 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH06326026A (ja) * 1993-04-13 1994-11-25 Applied Materials Inc 半導体装置の薄膜形成方法
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
JP3090561B2 (ja) * 1993-06-16 2000-09-25 アプライド マテリアルズ インコーポレイテッド 半導体装置の薄膜形成方法
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
JP2629579B2 (ja) * 1993-10-14 1997-07-09 日本電気株式会社 半導体装置の製造方法及び製造装置
US5970384A (en) 1994-08-11 1999-10-19 Semiconductor Energy Laboratory Co., Ltd. Methods of heat treating silicon oxide films by irradiating ultra-violet light
EP0724286A1 (en) * 1995-01-25 1996-07-31 Applied Materials, Inc. A method of forming a thin film of silicon oxide for a semiconductor device
US5691573A (en) * 1995-06-07 1997-11-25 Advanced Micro Devices, Inc. Composite insulation with a dielectric constant of less than 3 in a narrow space separating conductive lines
US5776834A (en) * 1995-06-07 1998-07-07 Advanced Micro Devices, Inc. Bias plasma deposition for selective low dielectric insulation
US5955786A (en) * 1995-06-07 1999-09-21 Advanced Micro Devices, Inc. Semiconductor device using uniform nonconformal deposition for forming low dielectric constant insulation between certain conductive lines
TW371796B (en) * 1995-09-08 1999-10-11 Semiconductor Energy Lab Co Ltd Method and apparatus for manufacturing a semiconductor device
JP3979687B2 (ja) * 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
US5643640A (en) * 1995-11-27 1997-07-01 International Business Machines Corporation Fluorine doped plasma enhanced phospho-silicate glass, and process
US5994776A (en) * 1996-01-11 1999-11-30 Advanced Micro Devices, Inc. Interlevel dielectric with multiple air gaps between conductive lines of an integrated circuit
US5858876A (en) * 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5763010A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US5827785A (en) * 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
US6534409B1 (en) 1996-12-04 2003-03-18 Micron Technology, Inc. Silicon oxide co-deposition/etching process
US5872065A (en) * 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
US6077786A (en) * 1997-05-08 2000-06-20 International Business Machines Corporation Methods and apparatus for filling high aspect ratio structures with silicate glass
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6451686B1 (en) 1997-09-04 2002-09-17 Applied Materials, Inc. Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US5920791A (en) * 1997-11-06 1999-07-06 Vanguard International Semiconductor Corporation Method of manufacturing intermetal dielectrics for sub-half-micron semiconductor devices
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
EP1139402A1 (en) * 2000-03-27 2001-10-04 Infineon Technologies AG Method and arrangement for depositing a dielectric layer
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
KR100403630B1 (ko) * 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
JP4090766B2 (ja) * 2002-03-19 2008-05-28 富士通株式会社 半導体装置の製造方法
WO2003088280A1 (en) * 2002-04-08 2003-10-23 Council Of Scientific And Industrial Research Process for the production of neodymium-iron-boron permanent magnet alloy powder
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060154494A1 (en) * 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
CN101478857A (zh) * 2008-01-04 2009-07-08 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
JP6770988B2 (ja) * 2018-03-14 2020-10-21 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4617087A (en) * 1985-09-27 1986-10-14 International Business Machines Corporation Method for differential selective deposition of metal for fabricating metal contacts in integrated semiconductor circuits

Also Published As

Publication number Publication date
US5275977A (en) 1994-01-04
JPH03268429A (ja) 1991-11-29
KR940010502B1 (ko) 1994-10-24

Similar Documents

Publication Publication Date Title
JP2960466B2 (ja) 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5643838A (en) Low temperature deposition of silicon oxides for device fabrication
US5156881A (en) Method for forming a film on a substrate by activating a reactive gas
US4681653A (en) Planarized dielectric deposited using plasma enhanced chemical vapor deposition
EP0478174B1 (en) Silicon dioxide deposition method
TWI670392B (zh) 調控一或更多圖案化膜的局部應力與覆蓋誤差的方法及用於沉積該一或更多圖案化膜的腔室
US5084130A (en) Method for depositing material on depressions
KR100500899B1 (ko) 불소첨가이산화규소필름의증착방법
US6443165B1 (en) Method for cleaning plasma treatment device and plasma treatment system
JPH11251308A (ja) 低誘電率フッ素化アモルファス炭素誘電体およびその形成方法
JPH05117867A (ja) 酸化シリコン膜の製造方法および製造装置
US5763018A (en) Method for forming dielectric layer
EP1264329B1 (en) Plasma deposition method and system
US5316980A (en) Method of making a semiconductor device by dry etching process
EP0328350B1 (en) Dry etching with hydrogen bromide or bromine
EP0481706B1 (en) Method of producing CVD silicon oxynitride film
JPH0766186A (ja) 誘電体の異方性堆積法
JP2884968B2 (ja) シリコン酸化膜の製造方法
JP2645215B2 (ja) 薄膜形成装置
JP2633551B2 (ja) 薄膜形成方法
JP2000054150A (ja) プラズマ処理装置及びプラズマ処理方法
JPH10125669A (ja) プラズマcvd装置及び酸化膜の成膜方法
JPS6358913B2 (ja)
JPH0456447B2 (ja)
US5744403A (en) Dielectric film deposition method and apparatus

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees