JP2005507159A - 調整可能なマルチゾーンガス噴射システム - Google Patents

調整可能なマルチゾーンガス噴射システム Download PDF

Info

Publication number
JP2005507159A
JP2005507159A JP2003537097A JP2003537097A JP2005507159A JP 2005507159 A JP2005507159 A JP 2005507159A JP 2003537097 A JP2003537097 A JP 2003537097A JP 2003537097 A JP2003537097 A JP 2003537097A JP 2005507159 A JP2005507159 A JP 2005507159A
Authority
JP
Japan
Prior art keywords
gas
injector
exhaust port
substrate
axis
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003537097A
Other languages
English (en)
Other versions
JP2005507159A5 (ja
JP5043288B2 (ja
Inventor
デヴィッド, ジェイ. クーパーバーグ,
ヴァヒド ヴァヘディ,
ダグラス ラット,
ハーミート シング,
ニール ベンジャミン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2005507159A publication Critical patent/JP2005507159A/ja
Publication of JP2005507159A5 publication Critical patent/JP2005507159A5/ja
Application granted granted Critical
Publication of JP5043288B2 publication Critical patent/JP5043288B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04DNON-POSITIVE-DISPLACEMENT PUMPS
    • F04D17/00Radial-flow pumps, e.g. centrifugal pumps; Helico-centrifugal pumps
    • F04D17/08Centrifugal pumps
    • F04D17/16Centrifugal pumps for displacing without appreciable compression
    • F04D17/168Pumps specially adapted to produce a vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

半導体ウェハ等の基板のプラズマ処理用のプラズマ処理システムのための調整可能なマルチゾーンガス噴射システム。本システムは、プラズマ処理チャンバと、前記プラズマ処理チャンバに接続される真空ポンプと、前記プラズマ処理チャンバの内部において、その上で基板が処理される基板支持体と、前記基板支持体に面する内面を有する誘電体部材であって、前記処理チャンバの壁を形成する誘電体部材と、前記プラズマ処理チャンバの内部にその末端が晒されるように、前記誘電体部材を通って延びるガス噴射器であって、複数のガス排気口を有し、少なくとも前記複数のガス排気口のいくつかの間で独立して変化する流量で処理ガスを供給するガス噴射器と、前記誘電体部材を通してRFエネルギを誘導結合し、前記処理ガスにエネルギを与えてプラズマ状態にして前記基板を処理するRFエネルギ源と、を含む。前記噴射器は、中央領域に第1の流量で処理ガスを供給する軸上排気口(on−axis outlet)と、前記中央領域を取り囲む環状領域に第2の流量で同一の処理ガスを供給する軸外排気口と、を含むことができる。本装置は、前記チャンバ内の複数領域(マルチゾーン)へのガスの流れの独立調整を可能にすることによって、特定の処理方式の要求を満たすためにガス供給の変更を可能にする。さらに、消費可能なシャワーヘッド装置と比較すると、取外し可能に搭載されたガス噴射器は、より簡単で経済的に取り替えることができる。

Description

【技術分野】
【0001】
本発明は、半導体ウェハ等の半導体基板のためのプラズマ処理システム内の基板に反応物を分配するためのシステム及び方法に関する。特に、本発明は、処理の均一性及び効率を最大限に高めるために基板中央の上方の局所的な領域からガスを噴射するためのシステム及び方法に関する。
【背景技術】
【0002】
真空処理チャンバは、一般的に、処理ガスを真空チャンバに供給して、ガスに無線周波(RF)電界を印加することによって、基板上の材料をエッチングしたり基板上に化学気相成長(CVD)をしたりするために用いられる。処理ガスをチャンバ内に噴射する方法は、基板表面の上方の化学的な反応種(chemically reactive species)の分布に大きな影響を与え、その結果プロセス全体に影響を与えうる。シャワーヘッドガス噴射及び拡散輸送(diffusive transport)システムは、基板上方における処理ガスの均一な分布を確保するために一般的に使用される。誘導結合プラズマエッチングチャンバの場合では、例えば、エッチングされるフィーチャ(feature)の進行は、基板上方のこれらの反応種の空間に依存する密度(spatially dependent density)及び基板に照射する高エネルギイオンの分布によって、主に支配される。
【0003】
Roppel et al.の米国特許番号4,691,662号は、エッチング及び成膜のためのデュアルプラズママイクロ波装置(dual plasma microwave apparatus)を開示している。ここでは、処理ガスは、基板の一部の上に延びる処理チャンバの側壁の上に取り付けられた導管(conduits)によって与えられる。Suzuki et al.の米国特許番号5,522,934号は、基板に実質的に垂直な方向に複数のレベルで配置された複数のガス供給ノズルを含むガス噴射装置を開示している。ここでは、不活性(処理ガスではなく)ガスがチャンバの天井の中央を通して噴射される。上部レベルにおけるガス供給ノズルは、下部レベルにおけるガス供給ノズルよりも基板中央に向かってさらに延びている。噴射孔は、ガス供給ノズルの末端に配置される。これらのシステムは、基板上方の領域に処理ガスを分配する場合に効果的である。しかしながら、導管は、基板と主たるイオン生成領域との間で基板表面の上方に延びるので、イオンが生成領域から基板に向かって拡散するときに、導管が基板表面上へ不均一なイオンの影を落とす(cast shadows of ion nonuniformity)。これによって、エッチング及び成膜の均一性の好ましくない低下がもたらされうる。
【0004】
他の手法は、基板表面の上方まで延びないガス供給導管を用いるものである。"Electron Cyclotron Resonance Microwave Discharges for Etching and Thin−film Deposition," J. Vacuum Science and Technology A, Vol. 7, pp.883−893 (1989) by J. Asmussenは、基板エッジまでだけに延びる導管を示している。"Low−temperature Deposition of Silicon Dioxide Films from Electron Cyclotron Resonant Microwave Plasmas," J. Applied Physics, Vol. 65, pp.2457−2463 (1989) by T. V. Herak et al.は、別々の処理ガスを与える複数のガス噴射導管を含むプラズマCVDツールを示している。ガス供給孔が基板支持体の周辺部の直ぐ外側及び導管の末端に位置した状態で、1セットの導管が下部のチャンバ壁に取り付けられる。これらの導管装置は、導管の端部を加熱する結果として、プロセスドリフトの問題を引き起こす場合がある。
【0005】
"New Approach to Low Temperature Deposition of High−quality Thin Films by Electron Cyclotron Resonance Microwave Plasmas," J. Vac.Sci.Tech, B, Vol. 10, pp.2170−2178 (1992) by T. T. Chau et al.は、基板支持体の周辺部の直上及び外側に配置された、下部のチャンバ壁に取り付けられたガス吸気口の導管(gas inlet conduit)を含むプラズマCVDツールを示している。導管は曲がっているため、噴射軸は基板に実質的に平行である。付加的な水平の導管が第2の処理ガスのために提供される。ガス噴射孔は、導管の末端に位置する。噴射器チューブの末端に配置された孔を持つ噴射器は、比較的小さなバッチ(例えば、100以下)の基板を処理した後に、詰まりやすいかもしれない。
【0006】
この噴射器孔の目詰まりは、必要なメインテナンスによるツールの休止時間に対し、経済的に見て非効率的であることに加えて、反応物の不均一な分布、基板の不均一な成膜又はエッチング、成膜又はエッチング速度全体におけるシフトがもたされ、有害である。音速又は超音速で処理ガスを噴射することによって処理均一性を改善する様々なシステムが提案されており、例えば、本願と同じ出願人のNi et alの米国特許第6,230,651号では、基板中央に向けられた単一のノズルが開示されている。他の方法は、超音速の噴射を作り出すように設計された小さな孔の分布を有するシャワーヘッド装置を利用している。この第2のデザインは、基板上方の反応性の中性粒子(reactive neutral)密度を改善することができるが、誘導結合を悪化させてプロセス汚染源となる伝導ガスの分配及びバッフルシステムの存在を必要とする。
【0007】
Hassan et al.の米国特許第4,270,999号は、プラズマエッチング及び成膜アプリケーションのための処理ガスを音速で噴射する利点を開示している。Hassan et al.は、ノズルに音速で到達することによって、大きな渦巻き(highly swirled)を発生させるノズルの真空端(vacuum terminus)からの爆発的な放射(explosive discharge)、及び、基板を取り囲む反応領域におけるガス分子の均一な分布を促進することを言及している。Fairbairn et al.の米国特許第5,614,055号は、基板上に横たわる領域に向かって、超音速で反応物ガスをスプレーする細長い超音速スプレーノズルを開示している。これらのノズルはチャンバ壁から基板に向かって延びており、各ノズルの先端は末端にガス分配孔を持っている。
【0008】
Asmussen et al.の米国特許第4,943,345号は、励起ガスを基板に向けるための超音速ノズルを含むプラズマCVD装置を開示している。Eres et al.の米国特許第5,164,040号は、CVDのためのパルス上の超音速ジェットを開示している。これらのシステムは、処理の均一性を改善することを意図しているが、それらは上述の欠点、すなわち噴射器の末端における孔の目詰まりの影響を受け、基板上の膜の均一性に悪影響を及ぼしうる。
【0009】
複数の噴射ノズルを用いて処理ガスを噴射することによって処理の均一性を改善するいくつかのシステムが提案されている。本願と同じ出願人のMcMillin et al.の米国特許第6,013,155号は、RFプラズマ処理システムを開示しており、ここでは、チューブ末端に見られる電気力線が密に集中したところから離れて配置された孔を介して、噴射器チューブを通してガスが供給されている。この装置は、孔が処理副生成物のビルドアップ(build−up)が発生する領域から離れて配置されているため、孔の目詰まりを最小限にしている。
【0010】
Moslehi et al.の米国特許第4,996,077号は、非プラズマガス(non−plasma gases)の均一な分布を提供するために、基板の周辺部付近に配置されたガス噴射器を含む電子サイクロトロン共鳴(ECR)装置を開示している。非プラズマガスは、パーティクル汚染を低減するために噴射され、噴射器が処理基板表面上に非プラズマガスを導くように方向付けられている。
【0011】
Miyazaki et al.の米国特許第5,252,133号は、縦軸に沿って複数のガス噴射孔を有する垂直なガス供給チューブを含む複数ウエハ・非プラズマ(multi−wafer non−plasma)CVD装置を開示している。この噴射孔は、複数の基板を支持するウェハボートの縦方向の側面に沿って延びて、ガスをチャンバ内に導入する。同様に、Shishiguchi et al.の米国特許第4,992,301号は、チューブの長さ方向に沿ってガス放出孔を有する複数の垂直なガス供給チューブを開示している。
【0012】
Singh et al.の米国特許第6,042,687号は、2個の独立したガス供給部を有するシステムを示している。第1の供給部は、基板に向かってガスを噴射し、第2の供給部は基板の周辺部にガスを噴射する。ガス供給部は、別々の組立部品(separate assemblies)で示され、異なるガス混合物を運ぶ別々のガス供給ラインから与えられる。独立したガス源及び独立したガス流量制御部を備える他のシステムが米国特許第5,885,358号及び同5,772,771号に開示されている。
【0013】
産業のトレンドが基板サイズの増大に向かうにつれて、エッチング及び成膜の均一性を確保するための方法及び装置がますます重要になっている。これは、フラットパネルディスプレイのプロセスで特に顕著である。従来のシャワーヘッドガス噴射システムは、基板中央にガスを分配することができるが、 基板近傍に孔を配置することによって、均一性の望ましくない低下がもたらされるために、チャンバの高さを下げなければならない。ラジアルガス噴射システム(radial gas injection systems)では、例えば、フラットパネルのプロセスで典型的に見られる大面積の基板中央に、適切に処理ガスを供給することができないかもしれない。これは、プラズマ処理システムで一般的に見られる底面でポンプされたチャンバデザイン(bottom−pumped chamber designs)では特にそうだと言える。
【0014】
上記のFairbairn et al.の特許はまた、噴射器孔が反応室の天井に配置されたシャワーヘッド噴射システムを開示している。このシャワーヘッドシステムは、孔の目詰まりを低減するために、複数の埋め込み磁石(embedded magnets)をさらに含む。Tokuda et al.の米国特許第5,134,965号は、処理ガスが処理チャンバの天井の吸気口を通って噴射される処理システムを開示している。ガスは、高密度プラズマ領域に向けて供給される。
【0015】
上記のシステムに加えて、Hegedusの米国特許第4,614,639号は、処理ガスが上壁にフレアー端(flared end)を持つ中央ポートとチャンバの周辺近傍の複数のポートによって供給される平行平板反応室を開示している。米国特許第5,525,159号(Hama et al.)、同5,529,657号 (Ishii),同5,580,385号 (Paranjpe et al.)、同5,540,800号 (Qian) 及び同5,531,834号(Ishizuka et al.) は、シャワーヘッドによって処理ガスが供給され、チャンバ内に誘導結合されたプラズマを生成するアンテナによってパワーが与えられるプラズマチャンバ装置を開示している。基板全体にわたってガスを均一に供給するための装置及びシステムは、米国特許第6,263,829号、同6,251,187号、同6,143,078号、同5,734,143号及び同5,425,810号に開示されている。
【0016】
これまでの開発かかわらず、ガス供給孔の目詰まり及び処理副生成物のビルドアップを防止し、基板上方の対流輸送(convective transport)を改善する一方で、基板のRF(radio frequency)プラズマ処理の均一性及び成膜を最適化することが依然として求められている。
【発明の開示】
【0017】
発明の要約
本発明は、プラズマ処理チャンバと、前記プラズマ処理チャンバに接続される真空ポンプと、前記プラズマ処理チャンバの内部において、その上で基板が処理される基板支持体と、前記基板支持体に面する内面を有する誘電体部材であって、前記処理チャンバの壁を形成する誘電体部材と、前記プラズマ処理チャンバの内部にその末端が晒されるように、前記誘電体部材を通って延びるガス噴射器(gas injector)であって、複数のガス排気口(gas outlet)を有し、少なくとも前記複数のガス排気口のいくつかの間で独立して変化する流量で処理ガスを供給するガス噴射器と、前記誘電体部材を通してRFエネルギを誘導結合し、前記処理ガスにエネルギを与えてプラズマ状態にして前記基板を処理するRFエネルギ源と、を含むプラズマ処理システムを提供する。本システムは、高密度プラズマ化学気相成長システム又は高密度プラズマエッチングシステムであることが望ましい。
【0018】
前記RFエネルギ源は、RFアンテナを含み、前記ガス噴射器は、前記プラズマ処理チャンバ内の第1のプラズマ発生領域に向けて前記処理ガスを噴射することができる。前記ガス排気口は、前記ガス噴射器の軸方向の端面に配置され、これによって複数のガス排気口領域を形成する。例えば、前記ガス排気口は,前記基板の露出面に垂直な軸方向に延びる中央ガス排気口(軸上領域)と、前記軸方向に対して鋭角をなして延びる複数の斜軸式ガス排気口(軸外領域)と、を含むことができる。前記噴射器の排気口は、前記基板上方の反応種の均一性を改善するように配置される。単一ガス供給は分割されて、それぞれの噴射領域に供給を行う。
【0019】
ガス噴射器は、可変流量制限装置を用いた1つ以上の噴射器の排気口の間に分割され、分離された各ガスラインが異なる噴射領域に供給を行う。可変流量制限装置の設定を独立に変化させることによって、可変サイズのジェットを処理チャンバの軸に対して異なる角度で作り出すために複数の領域を通る流れの比率が変更されうる。軸上及び軸外噴射間のこのバランスは、ノズル先端からの対流の流れの場の下向きの流れ(convective flow field downstream)を決定する。この流れの場は、対流成分及び拡散成分を含むチャンバ内の全ての流れを変更するために使用されうる。その結果、 処理均一性を改善する目的のために、反応種(reactive species)の空間密度の依存性を調節することができる。
【0020】
ガス噴射器は、亜音速(subsonic)、音速(sonic)又は超音速(supersonic)で処理ガスを噴射することができる。1つの実施形態では、ガス噴射器は、誘電体ウィンドウの内面と同一平面上にある平面に軸方向端面を含む。別の実施形態では、ガス噴射器は、誘電体ウィンドウに取外し可能に取り付けられ、及び/又は、処理ガスをチャンバの中央領域に供給する。ガス排気口は、様々な構成及び/又は空間配置を持つことができる。例えば、ガス噴射器は閉じた末端を含み、基板の露出面に平行な平面に対して鋭角を成して処理ガスを供給するようにガス排気口が方向付けられうる。ガス噴射器が誘電体ウィンドウの開口部に取外し可能に取り付けられる場合では、少なくとも1個のOリングがガス噴射器と誘電体ウィンドウとの間で真空シールを提供する。
【0021】
本発明はまた、処理チャンバの壁を形成する誘電体部材の内面が基板支持体に面する状態で、該処理チャンバ内の該基板支持体上に基板を配置する工程と、前記処理チャンバ内に処理ガスを供給する複数のガス排気口を有するガス噴射器の末端が前記処理チャンバ内部に露出するように、前記処理チャンバ内に前記誘電体部材を通って延びる該ガス噴射器から処理ガスを供給する工程と、前記ガス排気口の少なくとも1つに対する前記処理ガスの流量は、前記ガス排気口の少なくとも他の1つに対する前記処理ガスの流量とは独立して制御する工程と、前記処理チャンバ内の前記誘電体部材を通してRFエネルギ源によって生成されたRFエネルギを誘導結合することによって、前記処理ガスにエネルギを与えてプラズマ状態にする工程であって、前記処理ガスがプラズマ状態で前記基板の露出面と反応する工程と、を含む基板をプラズマ処理する方法をも提供する。本発明の好適な実施形態によれば、噴射器の排気口の孔は、単一のガス源によって供給される複数のガス供給ラインによって供給される。各供給ラインを通る全ての流れの一部は、例えば、プラズマチャンバの外側に配置されるバルブと絞り部(throttling elements)のネットワーク等の制御バルブ構成を用いて変更されうる。したがって、チャンバ内の流れのパターンは、噴射器内の各噴射領域に対するコンダクタンスの比率を変えることによって調節される。
【発明を実施するための最良の形態】
【0022】
好適な実施形態の詳細な説明
本発明は、エッチング又はCVD等による基板のプラズマ処理のための改善されたガス噴射システムを提供するものである。本噴射システムは、シリコン、ハロゲン(例えば、F、Cl、Br等)、酸素、水素、窒素等を含むガス等のガスを噴射するために使用されうる。本噴射システムは、単独又は他の反応性/不活性ガス供給装置と共に使用されうる。
【0023】
本発明の好適な実施形態によれば、ガス噴射装置は、誘導結合プラズマチャンバに提供される。好適な装置では、ガス噴射器はチャンバの上壁の中央に配置され、1つ以上のガス排気口が処理すべきウェハ又はフラットパネルディスプレイ等の半導体基板の上方のチャンバ内に処理ガスを導く(direct)。本発明に係るガス噴射器は、中央からエッジまでの均一性、エッチング又は成膜の平均的な特性(例えば、クリティカルディメンジョン(CD)、CDバイアス、プロファイル及び/又はプロファイルマイクロローディング(profile microloading))を改善することができる。
【0024】
誘導結合プラズマエッチングチャンバ内へ処理ガスを噴射する方法は、基板表面の上方の化学的に反応性の化学種(species)の分布に影響を与える。エッチングされるフィーチャ(features)の進行(evolution)は、基板上方のこれらの反応種(reactive species)の空間に依存する密度と、基板に照射する高エネルギイオンの分布によって主に決定される。本発明は、処理性能を改善する方法であって、処理基板中央の上方の局所的な領域からガスを噴射するための方法に関する。
【0025】
処理性能は、エッチング速度、フォーチャ幅及びプロファイル(feature width and profile)、パターン転写(pattern transfer)の忠実度(fidelity)及びパターン転写の均一性によって測定されうる。改善された性能は、異なるサイズのジェットを作り出すように設計された噴射器の排気口間の処理ガス噴射を、処理チャンバの軸に対して異なる角度で区分すること、例えば、噴射器の排気口が基板上方の反応種の均一性を改善するように配置されることによって、実現されうる。最適なガス噴射及びそれによる最適なプロセス性能は、噴射器の排気口を通る流れの比率を調整することによって、実現されうる。好適な実施においては、軸上排気口及び軸外排気口を通る流れの比率が変化されうる。軸上噴射と軸外噴射との間におけるこのバランスは、ノズル先端から下流の対流の流れの場(convective flow field)を決定する。この流れの場は、対流成分及び拡散成分を含むチャンバ内の全ての流れを変更するために使用されうる。その結果、反応種(reactive species)の空間密度の依存性を調節することができる。結果として、本噴射方法は調整可能であり、さらに、排気口を通して処理ガスの少なくともミニマムフロー(minimum flow)を維持することによって、チャンバの内部で生成されたプラズマ種の拡散を通して、噴射器及びガス噴射ラインの多くの汚染を最小化する。例えば、排気口を通してチョークドフロー(choked flow)を維持することが望ましいであろう。また、本噴射方法は、最適化された性能のために単一セットのハードウェアを用いてガス噴射を調整する能力を提供する。例えば、最適な均一性のために軸上及び軸外の流れの異なる比率を要求する、異なるエッチングアプリケーション(及びエッチングアプリケーション内の異なる方法ステップ(recipe steps))に対して、本ガス噴出方法は、ツールを変えることなくこの比率を変更することができる。
【0026】
ガス排気口は、チャンバの上壁の下方、同一平面上(flush)又は上方にあるガス噴射器の表面に提供されうる。例えば、ガス噴射器は、側壁にガス排気口を持つ円筒状の部材と、その軸方向の端部における単一のガス排気口とを備え、前記ガス排気口は、上壁と半導体基板の露出面との間に配置されうる。
【0027】
本発明によれば、上部のチャンバ壁の中央に配置された単一のガス噴射器を用いて、改善されたエッチング結果が得られる。しかしながら、1つ以上のガス噴射器が、特に、誘電体層又はウィンドウ(window)によってチャンバの内部から分離されたアンテナによってプラズマ生成される場合及び/又はチャンバが大きな基板又は複数の基板を処理するために用いられる場合には、チャンバの上壁に設置されうる。
【0028】
ガス排気口の数及び/又はガス排気口からのガス流の噴射角度は、特定の基板処理方式で所望のガス分布を提供するために選択することができる。例えば、単一のウェハ処理の場合では、チャンバ内の排気口の数、サイズ、噴射角度及び/又は位置は、RFエネルギをチャンバ内に誘導結合するために用いられる特定のアンテナデザイン(antenna design)、上壁と基板の露出面との間のギャップ、及び基板上で行われるエッチング処理に適合させることができる。
【0029】
図1は、本願の譲受人(assignee)であるラムリサーチコーポレーションによって製造された、TCP9100(登録商標)等のプラズマエッチング反応室10を示す図である。本発明によれば、ガス噴射器は、誘電体ウィンドウを通って延びる開口部に取り付けられる。真空処理チャンバ10は、静電チャック16を介して基板13に静電クランプ力を与えるだけでなくその上に支持される基板へRFバイアスを与える基板ホルダ12と、Heで裏面冷却される間に基板上方の領域にプラズマを閉じ込めるフォーカスリング14と、を含む。適当なRF源によってパワーが与えられるアンテナ18等のチャンバ内で高密度(例えば、1011−1012ions/cm)プラズマを維持するためのエネルギ源、及び、これに対応するRFインピーダンスマッチング回路19は、高密度プラズマを提供するためにRFエネルギをチャンバ10内に誘導結合する。チャンバは、所望の圧力(例えば、50mTorr以下、典型的には1−20mTorr以下)にチャンバ内部を維持するために、排気口15に接続された適当な真空ポンプ装置(不図示)を含む。一定の厚さを持つ実質的に平面な誘電体ウィンドウ20は、アンテナ18と処理チャンバ10の内部との間に提供され、処理チャンバ10の上に真空壁(vacuum wall)を形成する。ガス噴射器22は、ウィンドウ20の開口部に設けられ、処理チャンバ10にガス供給部23によって供給される処理ガスを送るための円形の孔(不図示)等の複数のガス排気口を含む。オプションの(optional)円錐又は筒状のライナー30は、ウィンドウ20から延びて、基板ホルダ12を取り囲む。
【0030】
動作中は、ウェハ等の半導体基板は、基板ホルダ12上に配置され、典型的には、Heで裏面冷却されるときに静電クランプ、機械的なクランプ又はその他のクランプ機構によって所定の位置に保持される。そして、ガス噴射器22に処理ガスを通すことによって、処理ガスが真空処理チャンバ10に供給される。図1に示すように、ウィンドウ20は平面で一定の厚さであってもよいし、非平面及び/又は不均一な厚さの構成等の他の構成を持ってもよい。高密度プラズマは、適当なRFパワーをアンテナ18に供給することによって、基板とウィンドウとの間の空間で点火されうる。個々の基板のエッチングが完了した後に、処理基板はチャンバから取り除かれ、他の基板を処理するために他の基板がチャンバ内に搬送される。
【0031】
ガス噴射器22は、ウィンドウと同一又は異なる材料を含む分離した部材を備えることができる。例えば、ガス噴射器は、アルミニウム又はステンレス、クォーツ(quartz)、アルミナ(alumina)、窒化シリコン(silicon nitride)、炭化シリコン(silicon carbide)等の誘電材料で作られてもよい。好適な実施の形態によれば、ガス噴射器は、ウィンドウの開口部に取外し可能に取り付けられる。しかしながら、ガス噴射器はまた、ウィンドウと一体であってもよい。例えば、ガス噴射器は、ウィンドウの開口部に蝋付けされるか(brazed)、焼結されるか(sintered)さもなければ接着されうる。或いは、ガス噴射器は、ウィンドウ内に機械加工されるか(machined)さもなければ形成されうる。例えば、ガス噴射器がウィンドウの形状にデザインされた状態で、AlO又はSiN等のセラミックの粉を焼結させることによって、ウィンドウが形成されうる。
【0032】
図2a−bは、噴射器22がマルチゾーンガス噴射を提供する本発明の実施の形態を示す図である。本実施形態に示されるように、噴射器22は、処理ガスが基板表面に垂直な軸方向に供給される第1の領域に処理ガスを供給する軸上噴射排気口24と、処理ガスが基板に垂直ではない斜め方向に供給される第2の領域に処理ガスを供給する軸外噴射排気口26と、を含む。両方の領域には、同じ処理ガス(例えば、1つ以上の処理ガスが混合されたガス多岐管からの処理ガス)が供給されうる。例えば、メインガス供給部32は、T−コネクタ34で分割されて、両方の噴射領域に供給を行うことができる。各ラインのガス流を制御するために、異なった噴射領域に供給を行う別々のガスラインの各々に、可変流量制限装置36a、36b等のフローコントローラ(flow controllers)が配置されうる。装置36a、36bは、マニュアルで設定されてもよいし、適当な電子制御によって自動的で操作されてもよい。可変流量制限装置36a、36bの設定を独立に変化させることによって、2つの排気口24、26を通る流れの比率を変えることができる。他の実施では、複数の排気口及び可変流量制限バルブ及び/又は固定されたリストリクター(restrictors)とバルブとのネットワークを含み、各噴射領域への全コンダクタンスが1つ以上の予め設定された、動的に制御される値に調整されうる。
【0033】
図2aの実施形態では、中央孔25の延長(continuation)として中央ガス噴射排気口が示されており、これによって孔/排気口24、25が干渉計測(interferometry measurements)に使用されうる。例えば、孔25の上端は、本願に参照により組み込まれる米国特許第6,052,176に開示されたランプ、分光器、光ファイバ及びレンズ装置等の放射能測定装置29と通信するように構成されたウィンドウ27によってシールされる。そのような装置では、軸上排気口は軸外排気口より大きな直径を持ち、例えば、軸上排気口は直径1cmであり、軸外排気口は直径1mmである。図2bの実施形態では、軸上排気口は、孔25より小さい直径を持つ。所望のガス流れ分布(gas flow distribution)を実現するために、軸上排気口と軸外排気口の相対的なサイズを選択することができる。例えば、軸外排気口の総断面積は、軸上排気口の総断面積より少なくても、等しくても、大きくてもよい。
【0034】
本発明の実施形態によれば、噴射器は、噴射器のガス通路内でのプラズマ点火を最小限にする導電性のシールドを備えてもよい。噴射器がクォーツ等の非導電性材料で作られる場合では、アンテナによって生じる電界によって噴射器内にプラズマ放電が持続する。噴射器内に生じる反応種によって、噴射器内部で望ましくない成膜又はエッチングが生じるかもしれない。したがって、図2cを参照すれば、放電の持続を最小限に抑えるために、噴射器22が導電性のシールド40を備えてもよいし、導電性のフィルムでコーティングされてもよい。導電性のシールドは、噴射器の外側表面、例えば、噴射器の側壁に沿って配置されうる。シールドは、噴射器のガス通路内でのプラズマ点火及び/又はプラズマの維持を抑えるために、噴射器内の電界を大幅に低減することができる。図2cに示されるように、導電性のシールド40は、環状リング又は開放型の筒状ジャケット等の管状の部材として設計されうる。シールドは、噴射器の側面及び/又は上端(例えば、40')の上に隨意的に(optionally)導電性のコーティングを備えることができる。導電性のジャケット(conducting jacket)は、他の接地された又はRF駆動された導電性表面との近さによって、噴射器内の電界強度を弱めるために、電気的に接地されたりフローティングにされたりしてもよい。
【0035】
図3a−cは、噴射器の流れの比率が、ウィンドウ20の開口部に取り付けられたガス噴射器22を含む誘導結合プラズマ反応室内の反応種の密度に及ぼす影響を示す図である(反応物の密度曲線の増加方向は矢印Aによって示され、生成物質の密度曲線の増加方向は矢印Bによって示される)。図3aでは、流量制限装置(不図示)は、ガス供給の大部分が軸上排気口を通るように設定される。図3bでは、流量制限装置(不図示)は、ガス供給の大部分が軸外排気口を通るように設定される。図3cでは、軸上排気口及び軸外排気口を通る供給ガスの流れの比率は、反応物(reactant)と生成反応種(product reactive species)の両方に対して、平坦な密度曲線を作り出すように調整される。これらの図は、噴射流れ分布(injection flow distribution)とプラズマ生成/密度プロファイルとの間の相互作用を説明するものではない。反応物利用率(reactant utilization)の影響も示されていない。このような相互作用が存在することによって、基板上方のプラズマ及び反応性の中性粒子(reactive neutral)密度のプロファイルに影響を与えうると仮定することも妥当である。1つ以上のプラズマ及び反応種の均一性を最適化するように噴射器の排気口を通る流れの比率が選択されうる。
【0036】
好適な実施の形態によれば、ガス噴射器は、単一の軸上排気口及び複数の軸外排気口(例えば、3つの排気口が120°離れて配置され、4つの排気口が90°離れて配置される等)を含む。排気口装置は、ポリシリコンエッチングプロセス又はアルミニウムエッチングプロセスに役に立つ。例えば、軸外排気口は、45°離れて配置され、軸の端部の外側周辺部から延びるテーパ状の側面上に配置されうる。軸外の角度は、軸方向に対して鋭角、直角又は鈍角を成しうる。軸外排気口の好適な角度は、軸方向に対して10〜90°、より好適には10〜60°である。
【0037】
ガス噴射器に対して最適な固定装置は、取外し可能な固定装置である。例えば、ガス噴射器は、適当なクランプ装置によってウィンドウにネジ止めされるか又はウィンドウにクランプされうる。好適な取外し可能な固定装置は、ガス噴射器がウィンドウとガス噴射器との間に1つ以上のOリングだけを用いてウィンドウに単純にスライド可能なように取り付けられるものである。例えば、Oリングは、ガス噴射器とウィンドウの開口部との間にシールを提供するために、ガス噴射器の下部周辺の溝に提供されうる。必要に応じて、ガス噴射器とウィンドウの外側表面との間にシールを提供するために、他のOリングがガス噴射器の上部の溝(不図示)に提供されてもよい。
【0038】
このガス噴射器によって、操作者は、プラズマエッチング反応室のための処理ガス供給装置を変更して、反応室内のガス分布を最適化することができる。例えば、アルミニウムのプラズマエッチングでは、エッチングされる基板に処理ガスを直接向けるよりも処理ガスをプラズマに分配する方が望ましい。ポリシリコンのプラズマエッチングでは、処理ガスをプラズマに分配して、エッチングされる基板に処理ガスを直接向けることが望ましい。更に最適なのは、ウィンドウの内面の下方へ所望の距離を拡張するガス噴射器の選択及び/又は特殊なガス排気口装置を含むことであろう。すなわち、エッチングプロセスやガス排気口の数によって、ガス排気口の位置は、ガス排気口の噴射角度だけでなく、ガス噴射器の軸の端部上及び/又は側面に沿って等、最適なエッチング結果が得られるように選択されうる。例えば、噴射角度は、大きいサイズ基板の基板であるほど大きいことが望ましい。
【0039】
ガス噴射器は、所望の分配方法でガスが供給されるように、処理ガスをチャンバ内部に噴射することによって、アルミニウムをプラズマエッチングするために用いられうる。例えば、処理ガスは、ClとBClの混合物、ClとNとBClの混合物、ClとNの混合物を100〜500sccm含んでもよい。
【0040】
ガス噴射器はまた、ガスが所望の分配方法で供給されるように、処理ガスをチャンバ内部に噴射することによってポリシリコンをプラズマエッチングするために用いられうる。例えば、処理ガスは、He等のキャリア(carrier)及び/又はO等の添加の有無にかかわらず、ClとHBrの混合物、Clのみ、又は、HBrのみを100〜500sccm含んでもよい。
【0041】
半導体基板を処理する場合には、基板は、処理チャンバ10に挿入されて、機械的又は静電クランプによって基板支持体にクランプされる。基板は、処理チャンバ内の処理ガスにエネルギを与えて高密度プラズマにすることによって、処理チャンバ内で処理される。エネルギ源は、チャンバ内に高密度(例えば、10−1012ions/cm10、望ましくは1010−1012ions/cm)プラズマを保つ。例えば、適当なRF及び適当なRFインピーダンスマッチング回路によってパワーが与えられる平面の多重巻きスパイラルコイル(spiral coil)、非平面多重巻きコイル、又は他の形状を持つアンテナ等のアンテナ18は、チャンバにRFエネルギを誘導結合して高密度プラズマを発生する。しかしながら、ECR、平行平板、ヘリコン、ヘリカル反応室等の他のプラズマ源によって、プラズマを発生させてもよい。チャンバは、所望の圧力(例えば、5Torr以下、望ましくは1−100mTorr以下)にチャンバの内部を維持するために適当な真空ポンプ装置を含んでもよい。一定の厚さの平面の誘電体ウィンドウ20又は非平面の誘電体ウィンドウ等の誘電体ウィンドウは、アンテナ18と処理チャンバ10内部との間に提供されて、処理チャンバ10の上端で壁を形成する。
【0042】
チャンバへ処理ガスを供給するガス供給部は、上述のガス噴射器を含む。処理ガスは、反応ガス及びアルゴン等の随意的なキャリアガスを含む。小さい孔(orifice)のサイズとガス排気口の数によって、ガス噴射器とチャンバ内部との間に大きな圧力差が作り出されうる。例えば、ガス噴射器を1Torrを超える圧力で用い、チャンバ内部を約10mTorrの圧力で用いると、その圧力差は約100:1である。これによって、ガス排気口にチョークドソニックフロー(choked、sonic flow)をもたらす。必要があれば、各排気口で超音速の流れを供給するように、ガス排気口の内側の孔の形状が付けられうる。
【0043】
音速で処理ガスを注入することによってプラズマがガス排気口に入り込むことを防止する。ドープされた又はノンドープの二酸化シリコン等の材料の成膜の場合では、このような設計は、SiH等のプラズマで分解されるガスがチャンバの内部から噴射器に入るのを防止する。これによって、その後にガス排気口内にアモルファスシリコンの残留物が形成されることを防止することができる。本実施形態に係るプラズマ処理システムによって、基板上方にシリコンを含む処理ガスを終結させ、処理ガスを基板の特定の領域に優先的に方向付けることによって、従来のガス分配システムに比べて、基板上での成膜速度が増大し均一性が改善されうる。
【0044】
本発明によれば、フォトレジストを含めて、アルミニウム等の金属、ポリシリコン等の導電性の半導体材料、及び二酸化シリコン(silicon dioxide)等の誘電材料のエッチング均一性、並びに、ハロゲン及びハロゲンベース(halocarbon based)の化学物質を用いた下層材料に対する選択性が改善されうる。一方、誘電体ウィンドウ中又は誘電体ウィンドウの下に組み込まれたシャワーヘッドを通す従来の噴射は、基板全体にわたって不均一なエッチングをもたらす。 例えば、「中央のレジストのエッチングが速いこと」は、エッチングされたフィーチャとプロファイルの制御の悪化と、基板中央とエッジのフィーチャの違いをもたらす。さらに、シャワーヘッド上のポリマーの形成によって、基板上に望ましくないパーティクルのフレーキング及び汚染をもたらす。シャワーヘッド装置に関連する他の問題としては、ウィンドウ全体にガスを供給するためのサンドイッチ型構造の提供、温度制御、シャワーヘッドのガス/プラズマ浸食の影響、シャワーヘッドガス排気口でのプラズマ点火、又はシャワーヘッドとその上に横たわるウィンドウとの間のギャップ、プロセスの再現性の欠如、及びプロセスドリフト等に関係する追加コストが挙げられる。一方、ガス噴射リングを介したエッジ噴射によって、チャンバ壁上に「エッジのエッチングが速いこと」及びポリマーの成膜(polymer deposition)をもたらすことができる。酸化物に対するフォトレジストの選択性は、これらの場合では典型的には1〜4に過ぎないが、5〜10が望ましいであろう。本発明に係る本発明に係るガス噴射器は、酸化物に対するフォトレジストの選択性が少なくとも5、好適には10以上となるのと同時に、レジストのエッチング速度の均一性を改善する(典型的には、6%3σ)ことができる。本発明の好適な噴射設計の結果、原子の塩素(chlorine)及びフッ素(fluorine)等のエッチング種、並びに、CF、CF、CF等のCFHガス等の重合種(polymerizing species)を共に含んだ、基板表面への反応性中間物(reactive intermediate)及び化学ラジカル(chemical radicals)のフラックス(flux)がより均一となる。
【0045】
基板サイズが増加するにつれて、中央へのガス供給の要求が高まる。ガスリング装置からガスを供給する噴射システムは、典型的には、フラットパネルプロセスに見られる大面積の基板中央に適切に処理ガスを供給することができない。これは、プラズマ処理システムに一般的に見られる底面でポンプされたチャンバデザイン(bottom−pumped chamber designs)では特にそうだと言える。プラズマエッチングの場合では、本発明に係る中央ガス供給(center gas feeding)がなされなければ、エッチング副生成物が基板中央の上方で停滞し、この場合、輸送が基本的に拡散のみを通して行われる。これによって、基板全体に望ましくない不均一なエッチングがもたらされる。本発明によれば、処理ガスは、基板中央に面して近接するプラズマ領域内に噴射される。例えば、ガス噴射器のガス排気口は、ガス排気口がプラズマ中に沈む(immersed)ように、ウィンドウの内面の十分下方に配置されうる。ガス排気口は、均一なエッチング又は成膜速度を確保するために、イオン及び中性種(neutral species)が適切に拡散するように配置されることが望ましい。従って、ガス噴射器は、TCP(登録商標)コイルによって誘起される方位角の電界(azimuthal electric field)がゼロに落ちる領域に配置されうる。この領域は、プラズマ生成領域の外乱(perturbations)を最小化する。さらに、ガス噴射器がチャンバの天井と基板との間の距離の約80%以下等の適当な距離に沈められる(immersed)ことが望ましい。これによって、チャンバの上部の領域からのイオン拡散がガス噴射器の直下におけるより低いイオン密度を満たすのに、十分なスペースを確保することができる。これは、基板へのイオンの流れの中で、ガス噴射器の如何なる「影」も最小化するであろう。
【0046】
沈められたガス噴射器を使用することによって、中央のガス供給位置及びチャンバのアスペクト比を独立して選択することができる。これによって、処理ガスの効率的な利用率を可能にし、プラズマ均一性に対する妨害(disturbance)を最小限にして、大面積の基板の中央領域への処理ガスの供給を改善する。また、基板の近傍にガス排気口を配置することによって、基板の直上の領域での拡散輸送(diffusive transport)に関連して対流輸送(convective transport)が増大するため、この構成は有利である。反応物(reactant)の供給が改善することに加えて、ガス噴射器は、基板領域の外側のエッチング副生成物の効率的な輸送を容易にし、特に、アルミニウムのエッチング等の化学的に行われるアプリケーションにおいて、エッチングの均一性及びプロファイルの制御に良い影響を与えうる。
【0047】
ガス排気口は、その全体の長さに沿って一定の直径、又は、円錐形に先細りした(conically tapered)、フレアー表面(flared surfaces)若しくは放射状に曲線を描いた表面(radially contoured surfaces)等の他の形状等の任意の所望の形状を持つことができる。ガス排気口は、基板に直接向ける場合を含めて、基板に対して鋭角を成すように、基板に平行に、上部のプラズマ境界面の後ろに向けて(ノズルの縦軸に対して斜めの角度で)又はこれらの組み合わせによって、ガスを任意の方向に噴射するように方向付けることができる。大面積の基板全体にわたる均一なエッチング及び成膜速度を容易にするために、基板表面上の化学ラジカル(chemical radicals)及び反応性中間種(reactive intermediate species)の均一なフラックスを実現することが望ましい。また、必要があれば、基板の周辺部の近傍、又は、他のチャンバ壁から追加のガス噴射装置が設けられてもよい。
【0048】
先端付近での局所的な電界の増大を低減するために、ガス噴射器の末端には尖った角(sharp corners)が存在しないことが望ましい。しかしながら、そのような電界の増大が有利な場合もありうる。
【0049】
実施例1
ポリシリコンのエッチングの深さの統計データ(平均、標準偏差及び分布範囲(range))が軸上:軸外ガス流量比の関数として測定された。図4a−cは、ゲートのエッチングプロセスに対するエッチングプロファイルを示す図であり、図4aはより高い軸上ガス噴射の効果を示す図であり、図4cはより高い軸外噴射の効果を示す図である。軸上の流れ条件(on−axis flow conditions)は、212.9+−4.7nm(+−2.2%)のエッチング深さ及び18.3nm(+−1.4%)の分布範囲を顕著に与えた(図4aのポリシリコンのエッチング結果を参照)。軸外の流れ条件(off−axis flow conditions)は、212.6+−5.3nm(+−2.5%)のエッチング深さ及び22.3nm(+−1.7%)の分布範囲を顕著に与えた(図4cのポリシリコンのエッチング結果を参照)。対照的に、混合ガス流条件は(mixed gas flow condition)、エッチングの均一性に顕著な改善を与えた(図4bのポリシリコンのエッチング結果を参照)。混合流れ条件(mixed flow conditions)では、平均エッチング深さは、213.5+−2.3nm(+−1.1%)であり、わずか7.7nm(+−0.6%)の分布範囲であった。ポリシリコンのエッチングは、全流量が420sccmでCl/HBr/Oの流れ混合物(flow mixture)をチャンバ圧10mTで用いた。RFアンテナ(上端)のパワーは800Wであり、下部電極に−155Vのバイアスがかけられた。噴射器の角度は60°であった。
【0050】
実施例2
シリコンのエッチングの深さの統計データ(平均、標準偏差及び分布範囲(range))が軸上:軸外ガス流量比の関数として測定された。図5a−cは、ゲートのエッチングプロセスに対するエッチングプロファイルを示す図であり、図5aはより高い軸上ガス噴射の効果を示す図であり、図5cはより高い軸外噴射の効果を示す図である。軸上の流れ条件(on−axis flow conditions)は、1299A+−27A(+−2.1%)のエッチング深さ及び74A(+−1.0%)の分布範囲を顕著に与えた(図5aのポリシリコンのエッチング結果を参照)。混合ガス流条件は(mixed gas flow condition)、1295A+−23A (+−1.8%)のエッチング深さ及び76A (+−1.0%)の分布範囲を与えた(図5bのポリシリコンのエッチング結果を参照)。軸外の流れ条件(off−axis flow conditions)では、エッチングの均一性に顕著な改善が生じた(図5cのポリシリコンのエッチング結果を参照)。軸外の流れ条件(off−axis flow conditions)では、1272A+−14A (+−1.1%)の平均エッチング深さ及び41A (+−0.53%)の分布範囲であった。シリコンのエッチングは、Cl/HBr/Oの流れ混合物をチャンバ圧40mTで下部電極温度が60℃で用いた。RFアンテナ(上端)のパワーは1200Wであり、下部電極に−320Vのバイアスがかけられた。噴射器の角度は45°であった。
【0051】
実施例3
図6a−bは、2つの異なるガスの流量比に対してエッチング前後の差として、ゲートのクリティカルディメンジョン(CD)の変化を示す図である。図6bに示される軸外の流れの増加と比較して、軸上の流れの増加が図6aに示されている。調整可能な噴射を用いることによって、より優れたCDの均一性がもたらされる。特に、図6aに示される結果は、−3.9nmの平均CD変動、2.1nmの標準偏差7.5nmの分布範囲を与えたが、図6bに示される結果は、−3.4nmのCD変動、1.6nmの標準偏差及び5.9nmの分布範囲を与えた。
【0052】
実施例4
図7a−bは、2つの異なるガスの流量比に対してエッチング前後の差として、フォトレジストのトリム(trim)のCDの変化を示す図である。調整可能な噴射を用いることによって、より優れたCDの均一性がもたらされる。処理は、全流量が100sccmでCl/Oの流れ混合物をチャンバ圧5mTで下部電極温度が60℃で用いた。RFアンテナ(上端)のパワーは385Wであり、下部電極に−34Vのバイアスがかけられた。噴射器の角度は45°であった。特に、図7aに示される結果は、−49.3nmの平均CD変動、2.5nmの標準偏差、9.1nmの分布範囲を与えたが、図7bに示される結果は、−47.6nmのCD変動、2.0nmの標準偏差及び7.5nmの分布範囲を与えた。
【0053】
実施例5
図.8a−bは、2つの異なるガスの流量比に対してエッチング前後の差として、ポリシリコンゲートのクリティカルディメンジョン(CD)の変化を示す図である。FIG.8aは、平均CD変動は、ガス流量の比率を単に調整することによって調整されうることを示している。Cl/HBr/He/O混合物を用いた2段階のプロセスが用いられた。ステップ1では、全流量400sccmでチャンバ圧は15mT、アンテナ(上端/誘導)パワーは575W、そして下部電極のセルフバイアスは−138Vであった。ステップ2では、全流量575sccmでチャンバ圧は30mT、アンテナ(上端/誘導)パワーは750W、そして下部電極のセルフバイアスは−80Vであった。特に、図8aに示される結果は、0.1nmの平均CD変動、2.4nmの標準偏差、9.5nmの分布範囲を与えたが、図8bに示される結果は、13.3nmのCD変動、2.4nmの標準偏差及び8.9nmの分布範囲を与えた。
【0054】
上述のように、本発明の原理、好適な実施形態及び動作モードが示された。しかしながら、本発明は、説明した特定の実施形態に制限されるものとして理解されるべきではない。したがって、上述の実施の形体は、限定的ではなく例示的であるとみなすべきであり、当業者であれば、特許請求の範囲によって定められた本発明の範囲を逸脱しない限り、これらの実施の形態において諸々の変形物がなされうることが分かるであろう。
【図面の簡単な説明】
【0055】
【図1】図1は、本発明に係るプラズマ処理システムを示す図である。
【図2a】図2a−bは、両方の噴射領域に独立してガスを供給するように分割された単一のメインガス供給部によって処理ガスが供給される二領域の噴射器を詳細に示す図である。
【図2b】図2a−bは、両方の噴射領域に独立してガスを供給するように分割された単一のメインガス供給部によって処理ガスが供給される二領域の噴射器を詳細に示す図である。
【図2c】図2cは、導電性の外側ジャケットを備える二領域噴射器を示す図である。
【図3a】図3a−cは、本発明に係るガス噴射装置を用いた誘導結合プラズマ反応室におけるガス分配の効果を示す図である。
【図3b】図3a−cは、本発明に係るガス噴射装置を用いた誘導結合プラズマ反応室におけるガス分配の効果を示す図である。
【図3c】図3a−cは、本発明に係るガス噴射装置を用いた誘導結合プラズマ反応室におけるガス分配の効果を示す図である。
【図4a】図4a−cは、ゲートエッチングプロセスを用いたポリシリコン全体のエッチング速度に対する流量比の影響を示す図である。
【図4b】図4a−cは、ゲートエッチングプロセスを用いたポリシリコン全体のエッチング速度に対する流量比の影響を示す図である。
【図4c】図4a−cは、ゲートエッチングプロセスを用いたポリシリコン全体のエッチング速度に対する流量比の影響を示す図である。
【図5a】図5a−cは、シャロートレンチ素子分離プロセスを用いたシリコン全体のエッチング速度に対する流量比の影響を示す図である。
【図5b】図5a−cは、シャロートレンチ素子分離プロセスを用いたシリコン全体のエッチング速度に対する流量比の影響を示す図である。
【図5c】図5a−cは、シャロートレンチ素子分離プロセスを用いたシリコン全体のエッチング速度に対する流量比の影響を示す図である。
【図6a】図6a−b及び図7a−bは、流量比を調整することによって、ポリシリコンゲート及び加工された(trimmed)フォトレジストマスクに対するクリティカルディメンジョンの均一性の改善を示す図である。
【図6b】図6a−b及び図7a−bは、流量比を調整することによって、ポリシリコンゲート及び加工された(trimmed)フォトレジストマスクに対するクリティカルディメンジョンの均一性の改善を示す図である。
【図7a】図6a−b及び図7a−bは、流量比を調整することによって、ポリシリコンゲート及び加工された(trimmed)フォトレジストマスクに対するクリティカルディメンジョンの均一性の改善を示す図である。
【図7b】図6a−b及び図7a−bは、流量比を調整することによって、ポリシリコンゲート及び加工された(trimmed)フォトレジストマスクに対するクリティカルディメンジョンの均一性の改善を示す図である。
【図8a】図8a−bは、処理ガスの流量比を調整することによって、調整されうる平均エッチング特性を示す図である。
【図8b】図8a−bは、処理ガスの流量比を調整することによって、調整されうる平均エッチング特性を示す図である。

Claims (38)

  1. プラズマ処理チャンバと、
    前記プラズマ処理チャンバに接続される真空ポンプと、
    前記プラズマ処理チャンバの内部において、その上で基板が処理される基板支持体と、
    前記基板支持体に面する内面を有する誘電体部材であって、前記処理チャンバの壁を形成する誘電体部材と、
    前記プラズマ処理チャンバの内部にその末端が露出するように、前記誘電体部材を通って延びるガス噴射器であって、複数のガス排気口を有し、少なくとも前記複数のガス排気口のいくつかの間で独立して変化する流量で前記プラズマ処理チャンバに処理ガスを供給するガス噴射器と、
    前記誘電体部材を通して前記プラズマ処理チャンバにRFエネルギを誘導結合し、前記処理ガスにエネルギを与えてプラズマ状態にして前記基板を処理するRFエネルギ源と、
    を備えることを特徴とするプラズマ処理システム。
  2. 当該システムは、高密度プラズマ化学気相成長システム又は高密度プラズマエッチングシステムであることを特徴とする請求項1に記載のシステム。
  3. 前記RFエネルギ源は、RFアンテナを含み、
    前記ガス噴射器は、前記プラズマ処理チャンバ内の第1のプラズマ発生領域に向けて前記処理ガスを噴射することを特徴とする請求項1に記載のシステム。
  4. 前記ガス排気口は、前記ガス噴射器の軸方向の端面における単一の軸上排気口と、前記ガス噴射器の側面における複数の軸外排気口とを含み、
    前記軸上排気口及び前記軸外排気口は、第1、第2のガスラインを通して単一のガス供給部から処理ガスが供給され、
    前記ガスラインは、前記軸外排気口とは独立して前記軸上排気口に調整可能なガス流を提供する流量調整器を含むことを特徴とする請求項1に記載のシステム。
  5. 前記ガス排気口は、前記基板の露出面に垂直な軸方向に延びる中央ガス排気口と、前記軸方向に対して鋭角をなして延びる複数の斜軸式ガス排気口と、を含み、
    前記中央ガス排気口は、第1のガスラインによって供給される処理ガスを受け、
    前記斜軸式ガス排気口は、第2のガスラインから処理ガスを受け、
    前記第1、第2のガスラインは、同一のガス供給部から処理ガスを受けることを特徴とする請求項1に記載のシステム。
  6. 前記ガス噴射器は、亜音速、音速又は超音速で前記処理ガスを噴射することを特徴とする請求項1に記載のシステム。
  7. 前記ガス噴射器は、軸上排気口をその中に含む平面の軸方向端面と、軸外排気口をその中に含む円錐の側面と、を有し、
    前記軸上排気口は、前記ガス噴射器の中央通路から処理ガスを受け、
    前記軸外排気口は、前記中央通路を取り囲む環状通路から処理ガスを受けることを特徴とする請求項1に記載のシステム。
  8. 前記ガス噴射器は、前記誘電体ウィンドウに取外し可能に取り付けられて前記プラズマ処理チャンバの中央領域に前記処理ガスを供給することを特徴とする請求項1に記載のシステム。
  9. 前記ガス噴射器は、前記基板の露出面に平行な平面に垂直な軸方向に処理ガスを噴射する少なくとも1つの軸上排気口と、前記基板の前記露出面に平行な前記平面に対して鋭角をなして処理ガスを噴射する軸外ガス排気口と、を含むことを特徴とする請求項1に記載のシステム。
  10. 前記ガス噴射器は、誘電体ウィンドウの開口部に取外し可能に取り付けられ、
    前記ガス噴射器と前記誘電体ウィンドウとの間に真空シールが設けられることを特徴とする請求項1に記載のシステム。
  11. 前記RFエネルギ源は、平面又は非平面のスパイラルコイルの形状をしているRFアンテナを有し、
    前記ガス噴射器は、前記プラズマ処理チャンバ内の第1のプラズマ発生領域に向けて前記処理ガスを噴射することを特徴とする請求項1に記載のシステム。
  12. 単一のメインガス供給部が複数のガス供給ラインに分割されて前記ガス排気口に供給を行うことを特徴とする請求項1に記載のシステム。
  13. 前記ガス排気口の少なくとも一部を通るガス流の比率は、可変流量制限装置を用いて独立に変化されることを特徴とする請求項1に記載のシステム。
  14. 前記ガス排気口の少なくとも一部を通るガス流の比率は、バルブと絞り部とのネットワークを用いて独立に変化されることを特徴とする請求項1に記載のシステム。
  15. 前記ガス噴射器は、該ガス噴射器に配置されたガス通路内でのプラズマ点火を最小限に抑える導電性のシールドを更に備えることを特徴とする請求項1に記載のシステム。
  16. 基板をプラズマ処理する方法であって、
    処理チャンバの壁を形成する誘電体部材の内面が基板支持体に面した状態で、該処理チャンバ内の該基板支持体上に基板を配置する工程と、
    前記処理チャンバ内に処理ガスを供給する複数のガス排気口を有するガス噴射器の末端が前記処理チャンバ内部に露出するように、前記処理チャンバ内に前記誘電体部材を通って延びる該ガス噴射器から処理ガスを供給する工程と、
    前記ガス排気口の少なくとも1つに対する前記処理ガスの流量を前記ガス排気口の少なくとも他の1つに対する前記処理ガスの流量とは独立して制御する工程と、
    前記処理チャンバ内の前記誘電体部材を通してRFエネルギ源によって生成されたRFエネルギを誘導結合することによって、前記処理ガスにエネルギを与えてプラズマ状態にする工程であって、前記処理ガスがプラズマ状態で前記基板の露出面と反応する工程と、
    を含むことを特徴とする方法。
  17. 前記RFエネルギ源は、平面又は非平面のスパイラルコイルの形状をしているRFアンテナを有し、
    前記ガス噴射器は、軸上排気口を通して前記チャンバ内の中央領域に、そして軸外排気口を通して前記中央領域を取り囲む環状領域に、前記処理ガスの一部を噴射することを特徴とする請求項16に記載の方法。
  18. 前記ガス排気口の少なくとも一部は、前記基板の前記露出面に直接向かう方向とは異なる方向に前記処理ガスを噴射することを特徴とする請求項16に記載の方法。
  19. 前記ガス噴射器は、誘電体ウィンドウの内面より下方に延び、
    前記ガス排気口は、前記処理ガスを複数の方向に噴射することを特徴とする請求項16に記載の方法。
  20. 前記ガス噴射器は、亜音速、音速又は超音速で前記処理ガスを噴射することを特徴とする請求項16に記載の方法。
  21. 個々の基板は、該基板の各々の上に層を成膜するか又は該基板の各々の上の層をエッチングすることによって、前記処理チャンバ内で連続処理されることを特徴とする請求項16に記載の方法。
  22. 前記ガス噴射器は、前記チャンバの中央部に延び、
    前記ガス排気口は、前記基板の前記露出面と前記誘電体部材の前記内面との間の複数の領域で前記処理ガスを噴射することを特徴とする請求項16に記載の方法。
  23. 前記ガス排気口は、前記ガス噴射器の前記末端における中央軸上ガス排気口と、前記軸上ガス排気口を取り囲む複数の軸外ガス排気口と、を含み、
    前記軸外ガス排気口は、前記処理ガスを複数の異なる方向に噴射することを特徴とする請求項16に記載の方法。
  24. 前記ガス排気口を通してClを含むガスを噴射することによって前記基板上のアルミニウム層をプラズマエッチングする工程を含み、
    前記ガス排気口の少なくとも一部は、前記基板の前記露出面に垂直ではない方向に前記ガスを噴射することを特徴とする請求項16に記載の方法。
  25. 前記基板の前記露出面に垂直な軸方向に中央ガス排気口を通し、そして前記中央ガス排気口を取り囲む複数の斜軸式ガス排気口を通して、Cl及びBrの少なくとも一方を含むガスを噴射することによって前記基板上のポリシリコン層をプラズマエッチングする工程を含み、
    前記斜軸式ガス排気口は、前記軸方向に対して10〜90°の角度に向けて前記ガスを噴射することを特徴とする請求項16に記載の方法。
  26. 前記基板の前記露出面に垂直な軸方向に中央ガス排気口を通し、及び/又は、前記中央ガス排気口を取り囲む複数の斜軸式ガス排気口を通して、Fを含むガスを噴射することによって前記基板上のシリコン酸化物層をプラズマエッチングする工程を含み、
    前記斜軸式ガス排気口は、前記軸方向に対して10〜90°の角度に向けて前記ガスを噴射することを特徴とする請求項16に記載の方法。
  27. 前記基板の前記露出面に垂直な軸方向に中央ガス排気口を通し、そして前記中央ガス排気口を取り囲む複数の斜軸式ガス排気口を通して、Cl及びBrの少なくとも一方を含むガスを噴射することによって前記基板上のポリシリコン層をプラズマエッチングする工程を含み、
    前記斜軸式ガス排気口は、前記軸方向に対して10〜45°の角度に向けて前記ガスを噴射することを特徴とする請求項16に記載の方法。
  28. 前記基板の前記露出面に垂直な軸方向に中央ガス排気口を通し、及び/又は、前記中央ガス排気口を取り囲む複数の斜軸式ガス排気口を通して、Fを含むガスを噴射することによって前記基板上のシリコン酸化物層をプラズマエッチングする工程を含み、
    前記斜軸式ガス排気口は、前記軸方向に対して10〜45°の角度に向けて前記ガスを噴射することを特徴とする請求項16に記載の方法。
  29. 単一のメインガス供給部が複数のガス供給ラインに分割されて前記ガス排気口に供給を行うことを特徴とする請求項16に記載の方法。
  30. 前記ガス排気口の少なくとも一部を通るガス流の比率は、可変流量制限装置を用いて独立に変化されることを特徴とする請求項16に記載の方法。
  31. 前記ガス排気口の少なくとも一部を通るガス流の比率は、バルブと絞り部とのネットワークを用いて独立に変化されることを特徴とする請求項16に記載の方法。
  32. 前記ガス排気口の少なくとも一部を通るガス流の比率を独立に変化させて、層の中心からエッジまでのエッチングの均一性が得られるように前記基板上の前記層をエッチングすることを特徴とする請求項16に記載の方法。
  33. 前記ガス排気口の少なくとも一部を通るガス流の比率を独立に変化させて、層の中心からエッジまでの成膜の均一性が得られるように前記基板上に前記層を成膜することを特徴とする請求項16に記載の方法。
  34. 前記ガス噴射器は、該ガス噴射器に配置されたガス通路内でのプラズマ点火を最小限に抑える導電性のシールドを更に備えることを特徴とする請求項16に記載の方法。
  35. 半導体処理チャンバに処理ガスを供給するためのガス噴射器であって、
    少なくとも第1、第2のガス吸気口と、少なくとも第1、第2のガス通路と、少なくとも第1、第2のガス排気口と、を含む噴射器本体を備え、
    前記第1のガス通路は、前記第1のガス吸気口及び前記第1のガス排気口と流体の伝達を行い、
    前記第2のガス通路は、前記第2のガス吸気口及び前記第2のガス排気口と流体の伝達を行い、
    前記第1、第2のガス通路は、前記第1、第2のガス排気口を通して独立して制御可能なガスの流量を提供するために互いに分離していることを特徴とするガス噴射器。
  36. 前記少なくとも1つの第1のガス排気口は、前記噴射器本体の軸方向の端面に単一の軸上排気口を有し、
    前記少なくとも1つの第2のガス排気口は、前記噴射器本体の側面に複数の軸外排気口を有することを特徴とする請求項35に記載の噴射器。
  37. 前記噴射器本体は、平面の軸方向端面と円錐の側面とを有し、
    前記少なくとも1つの第1のガス排気口は、前記軸方向端面に軸上排気口を含み、
    前記少なくとも1つの第2のガス排気口は、前記円錐の側面に軸外排気口を含み、
    前記軸上排気口は、前記噴射器の中央通路に接続され、
    前記軸外排気口は、前記中央通路を取り囲む環状通路に接続されることを特徴とする請求項35に記載の噴射器。
  38. 当該ガス噴射器に配置されたガス通路内でのプラズマ点火を最小限に抑える導電性のシールドを更に備えることを特徴とする請求項35に記載の噴射器。
JP2003537097A 2001-10-15 2002-10-09 調整可能なマルチゾーンガス噴射システム Expired - Lifetime JP5043288B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US32879601P 2001-10-15 2001-10-15
US60/328,796 2001-10-15
US10/024,208 2001-12-21
US10/024,208 US20030070620A1 (en) 2001-10-15 2001-12-21 Tunable multi-zone gas injection system
PCT/US2002/032057 WO2003034463A2 (en) 2001-10-15 2002-10-09 Tunable multi-zone gas injection system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010178983A Division JP5364054B2 (ja) 2001-10-15 2010-08-09 調整可能なマルチゾーンガス噴射システム

Publications (3)

Publication Number Publication Date
JP2005507159A true JP2005507159A (ja) 2005-03-10
JP2005507159A5 JP2005507159A5 (ja) 2006-01-05
JP5043288B2 JP5043288B2 (ja) 2012-10-10

Family

ID=26698179

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2003537097A Expired - Lifetime JP5043288B2 (ja) 2001-10-15 2002-10-09 調整可能なマルチゾーンガス噴射システム
JP2010178983A Expired - Lifetime JP5364054B2 (ja) 2001-10-15 2010-08-09 調整可能なマルチゾーンガス噴射システム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010178983A Expired - Lifetime JP5364054B2 (ja) 2001-10-15 2010-08-09 調整可能なマルチゾーンガス噴射システム

Country Status (11)

Country Link
US (3) US20030070620A1 (ja)
EP (1) EP1444717B1 (ja)
JP (2) JP5043288B2 (ja)
KR (1) KR100954709B1 (ja)
CN (2) CN1639831A (ja)
AT (1) ATE358887T1 (ja)
AU (1) AU2002356543A1 (ja)
DE (1) DE60219343T2 (ja)
IL (2) IL161297A0 (ja)
TW (1) TW589658B (ja)
WO (1) WO2003034463A2 (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007243138A (ja) * 2006-02-13 2007-09-20 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2009541995A (ja) * 2006-06-20 2009-11-26 ラム リサーチ コーポレーション 半導体基板を均一にエッチングするためのガス噴射
JP2010506398A (ja) * 2006-10-06 2010-02-25 ラム リサーチ コーポレーション 改良式光アクセスをともなうデュアルゾーンガス注入器を使用してプロセスチャンバにアクセスするための方法および装置
JP2010522423A (ja) * 2007-03-23 2010-07-01 パナソニック株式会社 プラズマドーピング装置及び方法
JP2014136366A (ja) * 2013-01-17 2014-07-28 National Univ Corp Shizuoka Univ アルミ・樹脂接合体の製造方法及びアルミ・樹脂接合体
JPWO2013065666A1 (ja) * 2011-10-31 2015-04-02 京セラ株式会社 ガスノズル、これを用いたプラズマ装置およびガスノズルの製造方法
JP2015119120A (ja) * 2013-12-20 2015-06-25 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR20160117231A (ko) * 2015-03-31 2016-10-10 램 리써치 코포레이션 웨이퍼 부산물 분포 및 에칭 피처 프로파일 균일도를 위한 튜닝가능한 플라즈마 해리를 통한 가스 반응 궤적 제어
US9502237B2 (en) 2013-11-29 2016-11-22 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US9591738B2 (en) 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
JP2018174340A (ja) * 2013-03-15 2018-11-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高対称四重ガス注入によるプラズマリアクタ
KR20190037118A (ko) 2017-09-28 2019-04-05 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US10290468B2 (en) 2008-02-29 2019-05-14 Tokyo Electron Limited Electrode for plasma processing apparatus, plasma processing apparatus, plasma processing method and storage medium

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
JP2005149956A (ja) * 2003-11-17 2005-06-09 Ulvac Japan Ltd 大面積高均一プラズマ処理方法及び装置
KR101025323B1 (ko) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 장치 및 에칭 방법
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
JP2006210727A (ja) * 2005-01-28 2006-08-10 Hitachi High-Technologies Corp プラズマエッチング装置およびプラズマエッチング方法
CN101133682B (zh) * 2005-03-03 2011-07-20 应用材料股份有限公司 用于蚀刻具有受控制的制程结果分配的方法
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
CN101137266B (zh) * 2006-08-28 2012-04-11 北京北方微电子基地设备工艺研究中心有限责任公司 气体注射装置
KR20080023172A (ko) * 2006-09-08 2008-03-12 주성엔지니어링(주) 기판 가장자리 식각 장치
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
JP5074741B2 (ja) * 2006-11-10 2012-11-14 株式会社日立ハイテクノロジーズ 真空処理装置
US20080156264A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
KR100872312B1 (ko) * 2007-05-04 2008-12-05 주식회사 디엠에스 에칭가스 제어시스템
US8144309B2 (en) * 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US20090162570A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Apparatus and method for processing a substrate using inductively coupled plasma technology
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
CN101585019B (zh) * 2008-05-19 2013-03-27 北京北方微电子基地设备工艺研究中心有限责任公司 一种半导体加工装置以及用于该装置中的喷嘴结构
JP5575648B2 (ja) * 2008-08-18 2014-08-20 岩谷産業株式会社 クラスタ噴射式加工方法
US8747963B2 (en) * 2009-01-23 2014-06-10 Lockheed Martin Corporation Apparatus and method for diamond film growth
KR200479181Y1 (ko) * 2009-09-10 2015-12-30 램 리써치 코포레이션 플라즈마 처리 장치의 교체가능한 상부 체임버 부품
WO2011030326A1 (en) * 2009-09-11 2011-03-17 Ramot At Tel-Aviv University Ltd. System and method for generating a beam of particles
US20110305835A1 (en) * 2010-06-14 2011-12-15 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for a gas treatment of a number of substrates
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US20120152900A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods and apparatus for gas delivery into plasma processing chambers
US8288174B1 (en) 2011-03-24 2012-10-16 Tokyo Electron Limited Electrostatic post exposure bake apparatus and method
JP5955062B2 (ja) 2011-04-25 2016-07-20 東京エレクトロン株式会社 プラズマ処理装置
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
JP5666991B2 (ja) * 2011-06-08 2015-02-12 東京エレクトロン株式会社 誘導結合プラズマ用アンテナユニットおよび誘導結合プラズマ処理装置
US9305810B2 (en) 2011-06-30 2016-04-05 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching, and programmable gas delivery
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9396912B2 (en) * 2011-10-31 2016-07-19 Lam Research Corporation Methods for mixed acid cleaning of showerhead electrodes
US9941100B2 (en) 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US20130180954A1 (en) * 2012-01-18 2013-07-18 Applied Materials, Inc. Multi-zone direct gas flow control of a substrate processing chamber
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US20130337172A1 (en) * 2012-06-19 2013-12-19 Synos Technology, Inc. Reactor in deposition device with multi-staged purging structure
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10541183B2 (en) 2012-07-19 2020-01-21 Texas Instruments Incorporated Spectral reflectometry window heater
US10174422B2 (en) * 2012-10-25 2019-01-08 Applied Materials, Inc. Apparatus for selective gas injection and extraction
CN103068137A (zh) * 2012-11-21 2013-04-24 中国科学院微电子研究所 一种进气结构及等离子体工艺设备
CN103060777B (zh) * 2012-12-25 2014-12-31 王奉瑾 激光激发cvd镀膜设备
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US9790596B1 (en) * 2013-01-30 2017-10-17 Kyocera Corporation Gas nozzle and plasma device employing same
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US8893702B2 (en) 2013-02-20 2014-11-25 Lam Research Corporation Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
TWI473903B (zh) * 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9142456B2 (en) 2013-07-30 2015-09-22 Lam Research Corporation Method for capping copper interconnect lines
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
TWM503056U (zh) * 2014-07-24 2015-06-11 Wen-Hsin Chiang 用於電漿反應裝置之襯套單元
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
KR20160021958A (ko) * 2014-08-18 2016-02-29 삼성전자주식회사 플라즈마 처리 장치 및 기판 처리 방법
JP6525567B2 (ja) * 2014-12-02 2019-06-05 キヤノン株式会社 インプリント装置及び物品の製造方法
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
JP5916909B1 (ja) * 2015-02-06 2016-05-11 株式会社日立国際電気 基板処理装置、ガス整流部、半導体装置の製造方法およびプログラム
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
CN109072427B (zh) * 2016-03-25 2020-10-13 应用材料公司 用于高温处理的腔室衬垫
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR101872338B1 (ko) * 2016-09-28 2018-07-02 주식회사 월덱스 사파이어 소재를 이용한 고수명 이체형 가스분사장치 제조방법
FR3058162B1 (fr) * 2016-11-02 2021-01-01 Commissariat Energie Atomique Procede de depot de films minces de chalcogenure
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US11077410B2 (en) * 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US10818479B2 (en) * 2017-11-12 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Grounding cap module, gas injection device and etching apparatus
US10840066B2 (en) * 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
KR102601581B1 (ko) * 2018-10-31 2023-11-14 삼성전자주식회사 플라즈마 챔버의 가스 공급 장치 및 이를 적용한 플라즈마 처리 장치
CN111328174A (zh) * 2018-12-17 2020-06-23 北京北方华创微电子装备有限公司 反应腔室及等离子体产生方法
CN111383888B (zh) * 2018-12-27 2022-03-11 江苏鲁汶仪器有限公司 等离子体刻蚀机
CN111613508A (zh) * 2019-02-25 2020-09-01 北京北方华创微电子装备有限公司 进气装置及反应腔室
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US10553403B1 (en) * 2019-05-08 2020-02-04 Mks Instruments, Inc. Polygonal toroidal plasma source
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
CN112713073B (zh) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种耐腐蚀气体输送部件及其等离子体处理装置
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
JP7313269B2 (ja) * 2019-12-23 2023-07-24 東京エレクトロン株式会社 プラズマ処理装置
US11854839B2 (en) 2020-04-15 2023-12-26 Mks Instruments, Inc. Valve apparatuses and related methods for reactive process gas isolation and facilitating purge during isolation
TWI767244B (zh) * 2020-05-29 2022-06-11 朗曦科技股份有限公司 半導體製程腔體之氣體噴頭
KR20220019359A (ko) * 2020-08-10 2022-02-17 주성엔지니어링(주) 가스분배유닛을 이용한 가스공급방법
KR20220021206A (ko) * 2020-08-13 2022-02-22 삼성전자주식회사 플라즈마 처리 장치
CN114121582B (zh) * 2020-08-27 2023-10-31 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法
KR20220131680A (ko) * 2021-03-22 2022-09-29 세메스 주식회사 기판 처리 장치
CN113871283B (zh) * 2021-09-28 2024-05-17 北京北方华创微电子装备有限公司 半导体工艺设备及其工艺腔室
KR20230116436A (ko) 2022-01-28 2023-08-04 주식회사 유진테크 기판 처리 장치 및 기판 처리 방법
WO2023229928A1 (en) * 2022-05-23 2023-11-30 6K Inc. Microwave plasma apparatus and methods for processing materials using an interior liner
CN114698218A (zh) * 2022-05-30 2022-07-01 中国空气动力研究与发展中心超高速空气动力研究所 瞬态等离子体电子密度分布的七通道微波干涉仪测量方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61100935A (ja) * 1984-10-23 1986-05-19 Fujitsu Ltd ドライエツチング装置
JPH05315290A (ja) * 1992-05-12 1993-11-26 Fujitsu Ltd ガス流量制御装置
JPH08158072A (ja) * 1994-12-02 1996-06-18 Nippon Soken Inc ドライエッチング装置
JPH1167746A (ja) * 1997-07-02 1999-03-09 Applied Materials Inc Hdp−cvd装置内の粒子特性を改善するシーズニングプロセスにおける酸素対シランの比の制御
US6052176A (en) * 1999-03-31 2000-04-18 Lam Research Corporation Processing chamber with optical window cleaned using process gas
WO2000041212A1 (en) * 1998-12-30 2000-07-13 Lam Research Corporation Gas injection system for plasma processing

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US572771A (en) * 1896-12-08 Automatic machine-gun
JPS51144183A (en) 1975-06-06 1976-12-10 Hitachi Ltd Semiconductor element containing surface protection film
DE2608417C3 (de) 1976-03-01 1981-02-12 Degussa Ag, 6000 Frankfurt Verfahren und vorrichtung zur herstellung von russ
US4270999A (en) * 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
JPS59150417A (ja) * 1983-02-08 1984-08-28 Toshiba Corp 気相成長方法およびその装置
US4691662A (en) 1983-02-28 1987-09-08 Michigan State University Dual plasma microwave apparatus and method for treating a surface
US4614639A (en) 1985-04-26 1986-09-30 Tegal Corporation Compound flow plasma reactor
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US5160543A (en) 1985-12-20 1992-11-03 Canon Kabushiki Kaisha Device for forming a deposited film
US4992301A (en) 1987-09-22 1991-02-12 Nec Corporation Chemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4943345A (en) 1989-03-23 1990-07-24 Board Of Trustees Operating Michigan State University Plasma reactor apparatus and method for treating a substrate
US4980240A (en) 1989-04-20 1990-12-25 Honeywell Inc. Surface etched shadow mask
US5104634A (en) 1989-04-20 1992-04-14 Hercules Incorporated Process for forming diamond coating using a silent discharge plasma jet process
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5164040A (en) 1989-08-21 1992-11-17 Martin Marietta Energy Systems, Inc. Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets
JPH04355917A (ja) 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5252132A (en) 1990-11-22 1993-10-12 Mitsubishi Denki Kabushiki Kaisha Apparatus for producing semiconductor film
JP2839720B2 (ja) 1990-12-19 1998-12-16 株式会社東芝 熱処理装置
US5531834A (en) 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
TW296534B (ja) 1993-12-17 1997-01-21 Tokyo Electron Co Ltd
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5425810A (en) 1994-05-11 1995-06-20 Internation Business Machines Corporation Removable gas injectors for use in chemical vapor deposition of aluminium oxide
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5540800A (en) 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5580385A (en) 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3699142B2 (ja) * 1994-09-30 2005-09-28 アネルバ株式会社 薄膜形成装置
TW285746B (ja) 1994-10-26 1996-09-11 Matsushita Electric Ind Co Ltd
DE4440323A1 (de) 1994-11-11 1996-05-15 Sulzer Metco Ag Düse für einen Brennerkopf eines Plasmaspritzgeräts
US5685942A (en) 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JP3150056B2 (ja) 1995-10-19 2001-03-26 東京エレクトロン株式会社 プラズマ処理装置
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5792569A (en) * 1996-03-19 1998-08-11 International Business Machines Corporation Magnetic devices and sensors based on perovskite manganese oxide materials
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US6077357A (en) 1997-05-29 2000-06-20 Applied Materials, Inc. Orientless wafer processing on an electrostatic chuck
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6007330A (en) 1998-03-12 1999-12-28 Cosmos Factory, Inc. Liquid precursor delivery system
US6294466B1 (en) * 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6257168B1 (en) 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
US6486069B1 (en) 1999-12-03 2002-11-26 Tegal Corporation Cobalt silicide etch process and apparatus
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
KR100607991B1 (ko) * 2004-07-07 2006-08-02 삼성전자주식회사 화상제어장치용 광센서의 광량편차보정방법 및 인쇄기의화상제어장치

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61100935A (ja) * 1984-10-23 1986-05-19 Fujitsu Ltd ドライエツチング装置
JPH05315290A (ja) * 1992-05-12 1993-11-26 Fujitsu Ltd ガス流量制御装置
JPH08158072A (ja) * 1994-12-02 1996-06-18 Nippon Soken Inc ドライエッチング装置
JPH1167746A (ja) * 1997-07-02 1999-03-09 Applied Materials Inc Hdp−cvd装置内の粒子特性を改善するシーズニングプロセスにおける酸素対シランの比の制御
WO2000041212A1 (en) * 1998-12-30 2000-07-13 Lam Research Corporation Gas injection system for plasma processing
US6052176A (en) * 1999-03-31 2000-04-18 Lam Research Corporation Processing chamber with optical window cleaned using process gas

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007243138A (ja) * 2006-02-13 2007-09-20 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2009541995A (ja) * 2006-06-20 2009-11-26 ラム リサーチ コーポレーション 半導体基板を均一にエッチングするためのガス噴射
JP2013042160A (ja) * 2006-06-20 2013-02-28 Lam Research Corporation 半導体基板を均一にエッチングするためのガス噴射
JP2010506398A (ja) * 2006-10-06 2010-02-25 ラム リサーチ コーポレーション 改良式光アクセスをともなうデュアルゾーンガス注入器を使用してプロセスチャンバにアクセスするための方法および装置
US8524099B2 (en) 2006-10-06 2013-09-03 Lam Research Corporation Methods for accessing a process chamber using a dual zone gas injector with improved optical access
JP2010522423A (ja) * 2007-03-23 2010-07-01 パナソニック株式会社 プラズマドーピング装置及び方法
US10290468B2 (en) 2008-02-29 2019-05-14 Tokyo Electron Limited Electrode for plasma processing apparatus, plasma processing apparatus, plasma processing method and storage medium
US9591738B2 (en) 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
JPWO2013065666A1 (ja) * 2011-10-31 2015-04-02 京セラ株式会社 ガスノズル、これを用いたプラズマ装置およびガスノズルの製造方法
JP2014136366A (ja) * 2013-01-17 2014-07-28 National Univ Corp Shizuoka Univ アルミ・樹脂接合体の製造方法及びアルミ・樹脂接合体
US11244811B2 (en) 2013-03-15 2022-02-08 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
JP2018174340A (ja) * 2013-03-15 2018-11-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高対称四重ガス注入によるプラズマリアクタ
US11728141B2 (en) 2013-03-15 2023-08-15 Applied Materials, Inc. Gas hub for plasma reactor
US9502237B2 (en) 2013-11-29 2016-11-22 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
JP2015119120A (ja) * 2013-12-20 2015-06-25 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR20160117231A (ko) * 2015-03-31 2016-10-10 램 리써치 코포레이션 웨이퍼 부산물 분포 및 에칭 피처 프로파일 균일도를 위한 튜닝가능한 플라즈마 해리를 통한 가스 반응 궤적 제어
KR102516377B1 (ko) 2015-03-31 2023-03-30 램 리써치 코포레이션 웨이퍼 부산물 분포 및 에칭 피처 프로파일 균일도를 위한 튜닝가능한 플라즈마 해리를 통한 가스 반응 궤적 제어
KR20190037118A (ko) 2017-09-28 2019-04-05 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US11470712B2 (en) 2017-09-28 2022-10-11 Tokyo Electron Limited Plasma processing apparatus
JP7002268B2 (ja) 2017-09-28 2022-01-20 東京エレクトロン株式会社 プラズマ処理装置
JP2019067503A (ja) * 2017-09-28 2019-04-25 東京エレクトロン株式会社 プラズマ処理装置
US11832373B2 (en) 2017-09-28 2023-11-28 Tokyo Electron Limited Plasma processing apparatus

Also Published As

Publication number Publication date
JP5364054B2 (ja) 2013-12-11
EP1444717B1 (en) 2007-04-04
IL161297A (en) 2008-03-20
DE60219343T2 (de) 2007-12-13
IL161297A0 (en) 2004-09-27
US20030070620A1 (en) 2003-04-17
JP5043288B2 (ja) 2012-10-10
US9051647B2 (en) 2015-06-09
WO2003034463A3 (en) 2003-06-19
TW589658B (en) 2004-06-01
US20150235811A1 (en) 2015-08-20
JP2011029645A (ja) 2011-02-10
EP1444717A2 (en) 2004-08-11
CN101414537B (zh) 2011-11-09
ATE358887T1 (de) 2007-04-15
KR20050034610A (ko) 2005-04-14
DE60219343D1 (de) 2007-05-16
US10403475B2 (en) 2019-09-03
CN1639831A (zh) 2005-07-13
AU2002356543A1 (en) 2003-04-28
US20100041238A1 (en) 2010-02-18
CN101414537A (zh) 2009-04-22
KR100954709B1 (ko) 2010-04-23
WO2003034463A2 (en) 2003-04-24

Similar Documents

Publication Publication Date Title
JP5043288B2 (ja) 調整可能なマルチゾーンガス噴射システム
US7785417B2 (en) Gas injection system for plasma processing
US6013155A (en) Gas injection system for plasma processing
JP6646953B2 (ja) 半導体基板処理装置での調整可能な対流−拡散ガス流のための中央ガスインジェクタを含むセラミックシャワーヘッド
US6270862B1 (en) Method for high density plasma chemical vapor deposition of dielectric films
US6417111B2 (en) Plasma processing apparatus
US8383002B2 (en) Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
EP1230665B1 (en) Plasma processing system with dynamic gas distribution control
US20030047138A1 (en) Spiral gas flow plasma reactor
KR100716690B1 (ko) 반도체 시료의 처리 장치 및 처리 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051011

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051011

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080917

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080922

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081217

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090318

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100208

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100506

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100513

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100809

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101202

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20101213

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20110408

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120529

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120712

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5043288

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150720

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term