TW589658B - Tunable multi-zone gas injection system - Google Patents

Tunable multi-zone gas injection system Download PDF

Info

Publication number
TW589658B
TW589658B TW091123681A TW91123681A TW589658B TW 589658 B TW589658 B TW 589658B TW 091123681 A TW091123681 A TW 091123681A TW 91123681 A TW91123681 A TW 91123681A TW 589658 B TW589658 B TW 589658B
Authority
TW
Taiwan
Prior art keywords
gas
processing
substrate
injector
outlets
Prior art date
Application number
TW091123681A
Other languages
English (en)
Inventor
David J Cooperberg
Vahid Vahedi
Douglas Ratto
Harmeet Singh
Neil Benjamin
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW589658B publication Critical patent/TW589658B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04DNON-POSITIVE-DISPLACEMENT PUMPS
    • F04D17/00Radial-flow pumps, e.g. centrifugal pumps; Helico-centrifugal pumps
    • F04D17/08Centrifugal pumps
    • F04D17/16Centrifugal pumps for displacing without appreciable compression
    • F04D17/168Pumps specially adapted to produce a vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • ing And Chemical Polishing (AREA)

Description

(i) (i)589658 玫、發明說明 (發明說明應敘明:發明所屬之技術領域、先前技術、内容、實施方式及圖式簡單說明) 發明之技術領域 本發明係與可用以將具有化學反應特性之物質送至製 造半導體基板(例如半導體晶圓)用之電漿處理系統之一 種系統和方法有關。更明確言之,本發明係與可將氣體從 一局部區域注入該基板中央區上方以提高處理均勻性和 處理效果之一系統和一種方法有關。 發明之背景說明 各式真空處理室一般都是用於將處理用氣體供應至真 空處理室並對該氣體施加一射頻(RF)電場之方式對基板 上的材料進行蝕刻處理,或以化學蒸汽沉積(CVD)方式將 該等材料沉積於該基板上。將處理用氣體注入該處理室之 方法,對於將具有化學反應特性之各種物質散佈在該基板 表面上操作以及整個處理程序上可能一種戲劇性效果。一 般作業上,都會利用蓮蓬頭氣體注入系統及擴散式輸送系 統以確保達成在該基板上方平均散佈該處理氣體之目 的。例如,在一些電感搞合式電衆#刻處理室中,#刻特 徵之演變結果大多須視該基板上方此等具有化學反應特 性之物質在該基板上方的密度以及在該基板上所發生而 富有活力的離子分佈情況而定。
Roppel等人在其取得美國第4,691,662號專利中所揭露用 以執行蝕刻及沉積處理的一種雙電漿微波裝置,所使用之 處理氣體是由裝設在一處理室一邊側壁上並延伸越過受 處理基板上方的若干管道送入該處理處内。Suzuki(鈴木) 589658 (2) 發明說明讀頁 等人在其取得之美國第5,522,934號專利中所揭露之一種 氣體注入1§袭置,包括在不-同的高度層面上依循與該受處 理基板彼此垂直的方向設置多個氣體供應噴嘴,其中所使 用之情性而非處理氣體是從該處理室頂端中央部分注入 。在上面數層級上之各個氣體喷嘴比下面數層級上之氣 體喷0^更伸向該基板之中心部分上方。各喷孔係位於各該 等氣體供應噴嘴之遠端部位上。此等系統可有效地將處理 氣體送至該基板上方區域内。但是,因為該等氣體輸送管 係在該基板和該主要離子產生區之間該基板表面上方延 伸,因而當離子從該離子產生區-擴散至該基板表面時,會 在該基板表面上產生一分佈不均勻之陰影。此項缺點可能 導致不希望之蝕刻及沉積處理之喪失情形。 有些其他方法中,所使用之氣體導管並不在該基板表面 上方延伸。由[八51111155611於1989年在「真空科技雜誌八」(】.
Vacuum Science and Technology A·)第 7卷第 883 至第 893 各頁 中所發表定名為「蝕刻及薄膜澱積用諧振微波電荷」之一 篇論文中即已表示所使之管道僅在受處理基底邊緣部分 上面延伸。T.V. Herak等人於1989年在「應用物理雜誌」第 65卷第2457頁至第2463各頁上所發表定名為「由電子旋轉 加速器諧振微波電漿以低溫澱積處理法形成二氧化矽薄 膜」一篇論文中即已說明一種電漿CVD工具,包括利用多 個氣體注入管道饋送不同的處理氣體之方法。其中有一組 導管係安裝在該處理室中底部側壁上,而各送氣洞孔係裝 設在位於該基板支座週邊之外面之各導管遠端部分。但是 (3) ,此種導官配置方式’由於該等導管端部受熱後可能造成 處理偏移等問題。 ‘ 由T.T. Chau等人於1992年在「真空科技雜誌b」(j· Vac.Sci. Tech,B)第10卷第2170頁至2178頁中所發表定名為「利用電 子旋轉加速諧振微波電漿以低溫澱積法形成高品質薄 膜之新方法」之一篇論文中即已說明一種電漿CVD,包括 在處理室下方側壁上恰好位於受處理基板支座週邊外面 上方處裝设一氣體進氣導管。该導管為彎曲形導管,俾可 使喷氣軸線與該基板大致形成平行的關係。另亦備有一用 以供應第二種處理氣體之水平導管。氣體喷注孔係位於此 等導管遠端處。將噴氣孔設於一噴射器各導管遠端之氣體 喷射器於處理少量批次(例如·· 1 0 0個)之基板之後會發生 堵塞位噴氣導管之現象。此種噴氣孔被堵塞的現象對處理 作業有害,因為將會導致化學反應物分佈不均句,基板薄 膜澱積處理或蝕刻處理效果不均勻,整體澱積或蝕刻速率 變化,以及因必須維修堵塞故障所引發之工具故障時間上 之相對經濟效益降低等不利後果影響。 目前已有若干不同的系統倡議利用一對準受處理基板 中心之單噴孔導管以音速或超音速速度注入處理氣體的 方法來改進處理均勻性問題’例如N i等人共同持有之美國 第6,23 0,65 1號專利權所公開的方法。另有一些其他設計的 方法係利用一種裝用若干小洞孔之蓮蓬頭裝置,提供超音 速注入氣體的功能。此項第二種設計固可改善該受處理基 板上方reactive neutral density ’但卻需要利用一種電導性 589658 (4) 參明說明讀頁 氣體散佈及阻擋系統,但是此舉可能降低電感性耦合作用 ,並可構成一處理作業活染源。
Hassan等人在美國第4,270,999號專利中說明了以音速噴 注處理氣體執行電漿蝕刻及澱積處理應用方法之優點。 Hassan等人指明,在噴嘴内到達音速壓力時可提高該噴嘴 真空末端之爆發性排放氣體作用,進而可在該受處理基板 周遭之化學反應區域内產生氣體分子分布均勻之效果。 Fairbairn等人在美國第5,6 14,055號專利中係揭露一種利用 一些延長超音速噴嘴以超音速壓力對覆蓋在該受處理基 板上方之區域噴撒具有化學反應特性的氣體。各該喷嘴係 由處理室伸向該基板,每一噴嘴之遠端末梢上設有一氣體 散佈出口。Asmussen等人在美國第4,943,345號專利中所揭 露之一種電漿CVD裝置係包括利用一些超音速喷氣口將 被激勵後的氣體導引至受處理基板上。Eres等人在美國第 5,164,040號專利中則係揭露一種脈衝式超音速噴氣用化 學蒸汽澱積法(CVD)。雖然,上述這些系統均係試圖改善 處理作業之均勻性,但均無法免除上述之缺點,亦即,在 噴氣器遠端之噴氣孔遭受被堵塞的問題,而此一問題則會 對受處理基板上所形成之薄膜厚度均勻性有不利的影響。 另有數種系統則倡議利用多噴嘴噴注處理氣體之方法 改善處理結果的均勻性。McMillin等人在美國第6,013,155 號專利中係揭露一種射頻(RF)處理系統,其中之處理氣體 是利用一些噴管將氣體經由位於遠離該等噴置遠端處所 存在之高電場區域之若干喷氣孔注入處理室内。此種安排 -10- 589658 (5) 画誦載 可減低噴氣孔被堵塞之問題,因為各該噴氣孔係位於遠離 可能發生產生處理副產品之區域的位置上。
Moslehi等人在美國第4,996,077號專利中揭露一種電子 旋轉加速器諧振(E C R)裝置,包括在一受處理基板周圍設 置若干氣體噴注器,用以提供非電漿氣體之均句散佈。這 些非電漿式氣體注入後可減少分子污染,且該等喷注器之 設置方向可引導該等非電漿式氣體喷向受處理基板上。
Miyazaki等人在美國第5,252,133號專利中係揭露一種多 晶圓非電漿CVD裝置,包括一垂直氣體供應管,沿其縱軸 上設有許多氣體噴注洞孔。各噴注洞孔係沿著一個盛裝若 干晶圓基板之晶圓支撐盆的縱向側邊設置,以便將氣體引 入處理室内。811丨511丨811(:11丨等人則在美國第4,992,301號專利 中係揭露一種多條垂直氣體供應管,並沿著該等供應管之 縱向側壁上設置若干氣體排放洞孔。
Singh等人在美國第6,042,687號專利中係說明一種含有 兩套獨立供氣裝置之系統。其中之主要供氣裝置係將氣體 朝向受處理基板噴撒,而次要供氣裝置則時對準該基板週 圍噴撒氣體。兩套供氣裝置為兩個分離的組合件,分別由 兩個載運不同的混合氣體之獨立供氣管線供應所需之氣 體。另有其他採用不同之獨立供氣來源以及獨立氣體流量 管制裝置之系統也已在美國第5,885,3 58號及第5,772,771 號等專利中加以揭露。 當工業發展趨勢傾向於增大基板尺碼之情況下,如何開 發能夠確保姓刻作業和澱積作業均勻性之方法與裝置已 589658
(6) 成為日益重要的課題。此一需要對面板顯示器處理作業而 言益發重要。傳統式之蓮蓬·頭氣體噴注系統因可將氣體送 至受處理基板之中央部分,但是為了將噴氣孔靠近該基板 ,就必須降低處理室的高度,因而將會造成均勻度喪失的 不理想後果。而各種輻向噴注氣體系統則無法對大面積基 板之中央部分(例如在平板或顯示器製作時常見之情形) 提供足夠之處理用氣體。此一缺點在電聚處理系統一般採 用之底部泵氣設計中尤其顯著。 在前述Fairbairn等人之專利中也係採用一種蓮蓬頭式 喷氣系統,其喷氣孔係位於反應·器之頂部。此種蓮蓬頭式 噴氣系統另亦包括多個内裝磁鐵用以降低喷氣孔堵塞現 象之發生。Tokuda等人在美國第5,134,965號專利所揭露之 噴氣系統係將處理氣體由裝設於一處理室内頂部之進氣 口噴注至該處理室内之一片含有高密度電漿之區域上方。 除上述介紹之各種系統外,Hegedus獲頒之美國第 4,614,639號專利中,所揭露之系統係由處理室頂部設置之 一個上端開口向外展開的中央出口將處理氣透過該處理 室周圍設置之多個出氣口供應至一平行板式之反應器上 。美國第5,525,159號專利(專利權人為Hama等人);第 5,529,657號專利(專利權人為Ishii);第5,580,3 85號(專利權 人為Paranjpe等人);第5,540,800號專利(專利權人為Qian) ;以及第5,531,834號專利(專利權人為ishizuka等人)中所 揭露之各種電漿處理室裝置,係利用一蓮蓬頭供應處理用 氣體,並由一天線驅動在該處理室内產生一種電感耦合之 -12· 589658
(7) 電漿。至於可在該受處理基板上提供氣體均勻散佈之各種 裝置及系統,可分別參閱’美國第6,263,829 ; 6,251,187 ; 6,143,078 ; 5,734,143 ;以及5,425,8 1 0等號專利之說明書。 儘管目前已有各種不同的研發成果問世,但仍然需要一 種系統和方法,既能改善電晶體基板射頻電漿處理之澱積 均勻性,同時又防止供氣孔堵塞,產生處理副產物之缺點 ,亦可改善該基板上方氣體對流效果。 發明概述 本發明提供一種電漿處理系統,包括一電漿處理室;一 個連接至該處理室之真空泵浦;·一個用以在其上放置一受 處理基板之基板支座;一個介質構件,其内側表面面對該 基板支座,且該介質構件構成該處理室之一面側壁;一個 延伸穿過該介質之氣體喷注器,且該氣體噴注器之遠端係 曝露在該處理室之内,該氣體噴注器包括多個氣體出口, 用以將處理氣體分別由若干該等出氣口供應至該處理室 内;以及一個射頻能源,以電感耦合方式將射頻能源經由 該介質構件耦合至處理室内,激勵室内之處理氣體轉變成 電漿狀態後用以處理該基板。該系統最好是一高密度電漿 化學蒸汽澱積系統,或是一高密度電漿蝕刻系統。 該射頻能源可包括一天線,該氣體噴注器可將處理用氣 體朝向該處理室内一片主要電漿產生區噴注。該等氣體出 口可設置在該氣體噴注器某一軸向末端之表面上,俾可形 成數個氣體出口區。例如:該等氣體出口可包括一個延循 與該受理基板曝露在外之軸向呈垂直方向關係之軸線方 589658 (8) . 向延伸的中央出氣口(軸線區),以及多個延 向呈一銳角關係之方向延伸的偏角氣體出t 等噴氣口之位置設定旨在改善澱積在該基 化學反應物之散佈均勻性。另有一單一氣體 割為多個供氣口分別將氣體供應至每一噴i 在上述用以對不同噴注區供應氣體之每 加裝不同的氣流限制裝置,即可將一個以上 口之氣體噴注操作隔離開。以獨立操作方式 限制裝置之設定數值,即可藉以改變通過各 流動速率,以便產生流量大小不·同且與該處 不同角度關係之噴注氣流。利用此種在軸線 間的氣體噴注角度平衡調整設計即可決定 起之下方空間内氣體流動之區域範圍。此一 乃可修改該處理室内之氣體總流量,包括對 氣體兩種成份之流量。因此,各種化學反應 度依賴度可按照處理均勻性之改善目的而力, 氣體噴注器可利用次音速,音速,或超音 噴注處理用氣體。在本發明某一具體實施例 注器包括一個與上述介質窗口内側表面平 端面。在另一具體實施例中,該氣體噴注器 安裝法,裝設於該介質窗口内,及(或)將所 氣體供應至該處理室之中央區。該等氣體出 種型態,並占有不同的位置空間。例如,該 包括一對閉式遠端,且該等氣出口可對準一 循與該軸線方 ](離軸區)。該 板上面的各種 :供應源,係分 t區。 條獨立管線中 之噴注器出氣 改變該等氣流 噴注區之氣體 理室之軸線呈 區和離φέ區之 自噴氣嘴尖端 氣體流動範圍 流氣體和擴散 物質的空間密 σ以修改。 速中任一速度 中,該氣體噴 齊之平坦式轴 係以可拆除式 需要之處理用 口可設計成各 氣體注入可 預定方向,俾 -14- 589658 (9) 發明說明續頁 可與該基板曝露表面相平行的一個平面構成一相對銳角 關係之位置上噴注該處理氣體。倘若該氣體噴注器是以可 拆除方式設置在該介質窗口内時,至少有一個0形環可在 該氣體噴注器和該介質窗孔之間提供一真空密封環境。 本發明另亦提供對一基板執行電漿處理之方法,包括將 一塊基板放置在一處理室内設置之一個基板支座上,其中 ,有一介質構件之内側表面形成該處理室面對該基板支 座之一面側壁;利用從一個穿過該介質構件後使其遠端曝 露在該處理室之氣體噴注器將處理用氣體送入該處理室 内之設計,其中之氣體噴注器包·括多個可將處理用氣體送 入處理室内之氣體出口;並利用由一射頻能源產生且經由 該介質構件進入該處理室内的射頻能量以射頻耦合方式 激勵處理室内之處理用氣體使其轉變成電漿狀態,該電漿 態相之處理氣體係與該基板曝露在外之一側表面發生化 學反應。依據本發明之某一具體實施例,該氣體噴注器各 出口洞孔處噴出之氣體係由一單一氣體供應源透過多條 氣供應管線供應。經由每一供氣管線流出的部分氣體可藉 由一種控制閥裝置(例如位於該電漿處理室外面一組氣閥 和節流閥網路)變更各該供應管線之供應量;因而,利用 改變該噴注氣内每一噴注區電導比率的方式來調變噴注 氣流的型態。 附圖簡要說明 圖1所示係依本發明原理繪製之一種電漿處理系統。 圖2 a-b所示係由一單一主要供應來源分割成兩條供氣 589658 (ίο) 路徑對兩個氣體噴注區供應處理氣體之詳細情形。 圖2 c所示係一設有電導性外套之雙區式氣體噴注器。 圖3a-c所示係利用依據本發明設計之一種氣體噴注裝 置在一電感耦合電漿反應器内之氣體散佈效果說明。 圖4a-c所示係利用一閘控蝕刻處理法以掩蓋式多矽蝕 刻速率進行蝕刻處理作業之流量比影響效果。 圖5a-c所示係利用淺溝隔離蝕刻處理以掩蓋式多矽蝕 刻速率進行蝕刻處理作業之流量比影響效果。 圖6a-b,圖7a-b所示係·說明藉由調整氣體流量比之方式 改進多矽閘極和修整後光阻遮罩臨界尺寸均勻性的效果。 圖8a-b所示係說明可藉由調整處理用氣體流量比之方 式調整各項平均蝕刻特性。 發明之詳細說明 本發明提供一種可供執行基板電漿處理(例如:蝕刻處 理或化學蒸汽澱積處理(CVD))時使用之改良式氣體注入 系統。該氣體噴注系統可用以噴注各種氣體諸如:含有矽 ,鹵素(例如:氟,氯,溴等),氧,氫,氮等之氣體。該 氣體注入系統可單獨使用,或與其他各種反應性及慣性氣 體供應裝置配合運用。 依據本發明某一可採用具體實施例之設計,係對一電感 耦合式電漿處理室提供一氣體喷注裝置。在該具體實施例 中,係將一氣體噴注器設置在該處理室頂部室壁之中央部 位上,並利用一或多個氣體噴注出口將處理用氣體導引入 該處理室内所放置且準備接受處理之一片半導體基板(例 -16- 589658 (Π) 餐萌續頁 如一晶圓或一平板式顯示器基板)的上方。依據本發明所 採用之氣體注入器可改善中心至邊緣之間的澱積物散佈 均勻性,以及平均钱刻或殿積處理之特性,例如:臨界尺 寸(C D),C D偏壓,夕卜形輪廓及/或外形輪廓之微負荷特性 等。 將處理用氣體注入電感耦合式電漿蝕刻室的方法,會影 響接受處理基板上方之化學反應性物質的散佈情形。蝕刻 處理結果之各種相關特點大部分係視該基板上方與空間 大小有關之該等化學反應性物質密度以及注入該基板上 具有高活動力之離子散佈情況等因素而定。本發明所提供 之方法係用以將處理用氣體由一局部地區噴注在受處理 基板中心部位之上方,進而改善蝕刻處理作業之執行效果。 處理成效可依據蝕刻率,蝕刻面之寬度及輪廓,圖型移 轉之傳真度,以及圓型移轉之均勻性等參數測定之。在原 設計用以產生大小不同以及角度各異(係指各氣體噴注出 口與該處理室軸向之間的相對角度而言)噴注氣體之各氣 體噴注口之間分隔每一出氣口之氣體喷注區範圍,即可達 成改善處理成效之目的,例如,將該噴注器各出氣口設置 在可以改善受處理基板上方各化學反應物均句分佈之部 位上。藉由調整流經該氣體噴注器各噴氣口之氣體流量比 ,即可達成改善最佳氣體效果以及最佳處理效果之目的 。在本發明某一具體實施例中,可改變流經各沿軸(on-axis)及離軸 (off-axis)噴 氣出口 之氣體 流量比 。以此 種方式 調整沿軸和離軸方向氣體噴注量,可確定自各該噴氣出口 589658 (12) 尖端下游區域内之氣體對流情形。此一流量範圍可用以修 改該處理室内之氣體總流量(包括對流氣體部分之流量和 擴散氣體部分之流量)。從而亦可調變各種化學反應物之 空間密度。因此,氣體噴注可予調整,並進而藉由保持流 經各該氣體噴注出口之處理氣體最低流量的方式降低因 處理室内部所產生電漿物擴散質流經該供氣系統時在該 氣體噴注器和管線内造成之污染現象。例如,可在各氣體 噴出口保留被阻斷之氣流。本發明之氣體噴注方式也可提 供以一單一硬體裝置調整氣體喷注量以達到最佳處理成 效。例如:對需要不同沿軸向及離軸向氣體流量以達成最 佳均勾性目的之不同蝕刻應用計劃(或任一蝕刻作業中之 不同步驟)而言,本發明提供之氣體喷注設計也可配合需 求改變該等氣體流量比,而無需修改工具。 各該氣體出口可設置在該氣體噴注器上位低於或高於 ,或與上述處理室頂壁齊平的一側表面内。例如,該氣體 噴注器可包含一圓筒狀構件,在其一側筒壁上設有若干氣 體出口,另有一單個氣體出口設於該圓筒構件軸向端部, 這些氣體出口係位於該處理室頂壁和該半導體基板外側 表面之間。依據本發明設計,如果在該處理室頂壁中央設 置一單一氣體出口即可達成改善蝕刻處理效果之目的 。但是,也可在該處理室頂壁上,設置一個以上之氣體喷 注器,尤其是在蝕刻用電漿是由一個利用一介質層或窗口 與該處理室内壁分離設置之天線所產生;及(或)如果該處 理室係用以處理體形較大的基板或同時處理多塊基板時 -18- 589658 (13) 翻:讎: ,更有此需要。 專利實施人可自行選定氣體出口之數目及(或)氣體自 該等出口向外噴射之角度,俾可在一特定之基板處理區内 提供理想的氣體散佈效果。例如,執行單一晶圓蝕刻處理 時,在處理室内應設置之各氣體出口數目,大小,氣體喷 射角度及或位置,可配合用以將射頻能量的電感耦合方式 引入該處理室之特定天線設計,該處理室頂壁和該基板曝 露面之間的間隙,以及計劃採用之蝕刻處理方法等其他條 件一併設定之。 圖1所示係一電漿蝕刻反應器1 0,例如,由本發明申請 案受讓人 Lam Research Corporation產製之 TCP 9100τΜ型反應 器。依據本發明設計,該氣體噴注器係設置在穿透該介質 窗口之一個開口内。該真空處理室10包括一基板固定架12 ,用以經由一靜電失盤16將一靜電夾力提供至一基板13 上,並將一射頻偏壓提供至該基板固定架所支撐之一塊基 板上;另有一聚焦環1 4,用以於該基板受到氮氣回冷處理 後將電漿限制在該基板上方之某一區域内。有一用以將該 處理室内之電漿保持在一高密度(例如:每平方公分含有 1011〜1012個離子)基準上之能源,例如由一適用之射頻能源 和相關之射頻阻抗匹配電路1 9激勵之天線1 8,利用電感_ 合方式將射頻能量引入處理室10用以提供一高密度電漿 環境。該處理室10包括適用之真空泵裝置(圖中未顯示) 連接至氣體出口 15,用以將處理室内部氣壓保持在一預定 壓力上(例如:低於50毫陶爾,標準情況為1-20毫陶爾)。 589658 (14) 煢明說%績頁 在天線1 8和該處理室1 0内壁之間有一大致上為平面形且 厚度一致的介質窗口 2 0,在讀處理室1 0之頂部形成一道真 空牆。在該介質窗口 20中某一開口處内設有一氣體噴注器 2 2,包括多處圓形氣體出口孔,用以將氣體供應源2 3供應 之處理用氣體送入該處理室10内。有一可選用圓錐形或圓 筒形的送氣管30自該窗口 20向外伸出,並圍繞在基板固定 架12之四周。 在操作期間,係將一基板(例如一晶圓)放置在該基板固 定架1 2上,如果採用氦氣回冷處理程序時,最好利用一靜 電夾,一機械夾,或其他夾具將該基板固定在固定架12 上。處理用氣體然後即經由氣體噴注器22被供應至真空處 理室10内。窗口 20可以是一平坦且厚度一致之形狀如圖1 所示,或其他構型,例如非平面且厚度不一致之其他幾何 圖形。將射頻電能供應至天線1 8即可點燃散佈在該窗口和 該基板之間空間内之高密度電漿。完成一塊基板的蝕刻處 理後,可將該基板自處理室内取出,並將另一塊基板放入 該處理室内接受蝕刻處理。 氣體噴注器22可包含以相同或不同材料製成之分離構 件做為其供氣窗口。例如,該氣體噴注器可利用金屬(如 ,鋁或不銹鋼)或介質材料(例如石英,礬土,矽氮化合物 ,或矽碳化合物等)製成。依據本發明某一具體實施例之 設計,其中之氣體噴注器是以可拆除方式裝設在該窗口之 一個開口内。但是,該氣體噴注器也可與該窗口結合成一 整體式構件。例如,可利用硬焊或燒結,或其他處理方式 -20- 589658 (15) _爾讀寒: 結合在該窗口之某一開口之内,例如,可將一種陶瓷粉( 諸如:Al2〇3,或Si3N4)燒結後形成該窗口,並與設計成該 窗口形之氣體噴注器結合在一起。 圖2 a-b所示之本發明某一具體實施例中,該氣體噴注器 2 2可提供多區氣體噴注操作。在該實施例中,其氣體噴注 器22包括一沿軸向噴氣出口 24,將處理用氣體朝向一個與 該基板表面垂直的軸向供應至第一氣體供應區;以及一離 軸向喷氣出口 26,將處理用氣體朝向一個與該基板不垂直 的角度方向供應至第二氣體供應區。可將相同之處理用氣 體(例如:從一歧管中送出之一减多種處理氣體混合而成 之處理氣體)供應至前述兩個區域。例如:可使用一「T 」形連接管3 4將主要供氣源3 2送出之氣體分為兩條管路分 別供應至前述兩個氣體噴注區内。為控制每一送氣管路内 之氣體流量,可在供氣至兩個不同噴注區域之每一條送氣 管路内設置兩個不同的可變流量限制裝置36a及36b。裝置 36 a及3 6b可由人工設定控制流量,或利用自動式電子流量 控制器。改變流量限制裝置3 6 a及3 6 b的控制定值,即可調 變流經兩個出氣口 24及26之氣體流量。在本發明其他各具 體實施例中,包括設置多個出氣口,及多個可變流量限制 氣閥,及(或)多個固定流量限制器及氣閥網路,用以集中 機動控制分送至每一氣體噴注區之氣體流量值。 在圖2 a所示實施例中,其中央氣體噴注出口係其中央内 腔25之延續部分,可使該内腔/出口 24,25被用以進行干 擾度測量。例如,該内腔2 5之上端部分可利用一預定通往 589658 (16) 發明说明續頁 監控設備29(例如美國第6,052,1 76號專利所揭露之一種燈 ,光譜儀,光纖及鏡頭裝置丨該項美國專利列為本說明書 之參考依據)之窗口 2 7將其封閉。在該種設計中,前述之 沿軸向氣體出口之直徑大於各該離軸氣體出口之直徑(例 如,沿軸向出口之直徑為1公分,而各該離軸向出口之直 徑為1毫米)。在圖2 b所示實施例中,該沿軸向氣體出口之 直徑係小於該内腔2 5之直徑。沿軸向氣體出口與離軸向氣 體出口之相對直徑關係,可預先選定,以達成理想氣流分 佈之要求。例如,各該離軸向氣體出口之截面積總值可設 定在小於,等於或大於該沿軸向·氣體出口之截面積總值。 依據本發明另一具體實例之設計,其氣體噴注器外可設 置一電導隔離罩,藉以降低在該氣體噴注器之氣體通道内 發生電漿點燃現象之機會。如果該氣體噴注器係以非電導 性材料(例如一種石英)製成者,則該氣體噴注器内部之電 漿放電現象可能會由天線產生之電場予以維持。在氣體噴 注器内部產生之化學反應性物質可能導致該噴注器内部 發生不需要之澱積物或被蝕刻等現象。因此(參閱圖2c) ,為了降低形成持續放電之機率,該氣體噴注器22外部可 裝設一電導隔離罩40或在其外部表面上塗敷一層電導性 薄膜。該電導性隔離罩可設置在氣體噴注器外部表面上, 例如,沿著該噴注器之側壁設置。該隔離罩可顯著降低該 氣體噴注器内之電場,故可防止在該氣體噴注器之氣體通 道内之電漿點燃及/或電漿放電等不良效應。如圖2 c所示 ,該電導性隔離罩4 0可設計成一管狀元件(例如一環狀外 -22- 589658 (17) 餞明爾議頁 罩)或一開口式圓筒形外·罩。該隔離罩亦可包括在該氣體 噴注器側面及(或)頂部附力ϋ 一層導電性塗層(例如圖2 c中 之4 0 ’)之選項。可將該導電性外套接地或浮接,俾可依據 鄰近之其他已接地且受射頻能量激勵之電導性表面的現 況進一步降低該氣體噴注器内之電場強度。 圖3 a- c所示係說明氣體噴注器内之氣體流量比對一電 感耦合式電漿反應器(包括安裝在窗口 20内某一開口内之 一個氣體噴注器2 2)内部所含反應性物質密度之影響情形 。(圖中以箭頭A所標示之曲線部份代表上昇之反應物密 度;此箭頭B所標示之曲線部份-係代表上昇之生成物密度 )。在圖3 a中,各氣體流量限制裝置(圖中未顯示)係設定 在可將大部份處理用氣體引導至經由沿軸向出口流出之 狀態。在圖3 b中,各氣體流量限制裝置(圖中未顯示)係設 定在可將大部份處理用氣體引導至經由各離軸向出口流 出之狀態。在圖3 c中,係將流經沿轴向氣體出口流出之氣 體流量和流經各離軸氣體出口流出之氣體流量二者間之 氣體供應流量比調整在可使氣體中反應物和其生成物均 產生平坦之氣體密度曲線。這些圖示曲線,並未說明噴注 氣體流量分佈情形和電漿產生及密度輪廓之間的互動關 係。也未顯示反應物利用之影響情形。合理的假定乃是該 等互動關係(交互作用)的確存在,且可影響該基板上方之 電漿及反應物中和密度輪廓範圍。流經該氣體噴注器各氣 體出口之氣體流量比可選定在可達到電漿及一或多種反 應物分佈均勻性最佳效果為目的。 -23- 589658 (18) 發明1;裙續貢 在本發明一具體實施例中,該氣體噴注器包括一個單獨 之沿軸向氣體出口和多個離軸向氣體出口(例如:如有三 個離軸向出口,則每隔1 2 0度徑度設置一個;如為4個離軸 向出口 ,則每隔90度徑度設置一個),氣體出口安排對多 矽蝕刻處理或鋁蝕刻處理較為適用。例如,可將各離軸向 氣體出口以4 5度徑度之間隔距離分離佈設在自該軸向末 端向外延伸之斜側表面上。各離軸向出口之離軸向角度可 設定為銳角,直角或鈍角。各離軸向出口之理想離軸向角 度範圍在與軸向形成1 〇度至9 0度之間,更理想的是在1 0 度至60度範圍以内。 · 氣體噴注器之最理想裝設安排應採取可拆除式安裝法 ,例如可將氣體噴注器利用螺絲裝設於該窗口内,或利用 一適當夾具將其固定在該窗口上。有一種理想且簡單的可 拆除式安裝法,是在該窗口和該氣體噴注器之間僅需利用 一或多個Ο形環即可將氣體噴注器以滑動方式裝設於窗 口内。例如,可在該氣體噴注器下半部周圍設置一條槽溝 ,俾可在該氣體噴注器和該窗口内之特定開口之間提供 一密封設施。如有需要,也可再該氣體上端部分(圖中未 顯示)設置另一條槽溝,俾可在該氣體噴注器和該窗口内 之該一特定開口處之間提供一密封設施。 氣體噴注器可使一操作人員隨時調變一電漿蝕刻反應 器内之處理氣體供應方法,以改善氣體在該反應器内之最 佳分佈效果。例如,在執行鋁金屬表面之電漿蝕刻作業時 ,最後將處理用氣體散佈在電漿中,而不是將處理用氣體 -24- 589658 (19) 發明說明續頁 直接引導至接受蝕刻處理之基板上。在執行電漿蝕刻多矽 層表面作業時,理想的方式1是將處理用氣體分佈在電漿中 ,並將處理用氣體引導至接受蝕刻處理之基板上。為改進 蝕刻處理作業之最佳效果,可能涉及需要選定一種可以延 伸進入該窗口内部表面下方某一預定距離之氣體噴注器 ,及(或)包括一種特殊設計之氣體出口設置方法。亦即, 根據所採用之蝕刻處理方法,可選定氣體出口之數目,設 置位置,例如設置在軸向端部,及(或)沿著氣體噴注器之 側邊設置,以及選定各該氣體出口之氣體噴射角度等參數 ,以提供最佳4虫刻處理效果。例-如,接受敍刻處理之基板 面積愈大,該喷射角度也應隨之加大。 執行以電漿蝕刻鋁金屬表面時,氣體喷注器可將處理用 氣體噴入該處理室内部,以便處理用氣體能夠均勻分佈在 該處理室内。例如,該處理氣體可包括100至500 seem由Cl2 及BC13,或N24BC13,Cl2及化混合而成之氣體。 執行以電漿蝕刻多矽表面時,也可將處理用氣體噴入該 處理室内以提供理想的氣體分佈效果。例如,所使用之處 理由氣體可包括100至500 seem僅由Cl2及ΗΒι·或Cl2混合而成 的氣體,或僅含有HBr的氣體,使用或不使用載子(例如 :氦(He)及(或)一添加物(例如氧02)均可。 在處理一半導體基板時,先將該基板插入處理室10内, 並利用機械式或靜電式夾具將其夾定在一基板支座上。在 處理室内處理該基板時,係將該處理室内一種處理用電將 激勵成一高密度電漿有一能源係用以保持該處理室内電 -25- 589658 (20) 明說明續頁 漿之高密度(例如:10M012離子/cm3,理想密度為101()-1012 離子/cm3),例如,利用一個油一適當的射頻能源激勵之天 線1 8 (例如一平面式多螺旋線圈天線,一非平面多線圈式 天線,或任何其他形狀之天線)以及適t合用之射頻阻抗 匹配電路以電感耦合方式將射頻能量引入該處理室内,用 以產生一高密度電漿。但,也可利用其他型式之能源(例 如:E C R,並聯屏極,螺錐形天線,螺旋式諧振器等)產 生電漿。該處理室可包括一適用之真空泵裝置,用以維持 該處理室之適當室内氣壓(例如:低於5陶爾,理想氣壓為 1 -1 00毫陶爾)。在天線1 8和該處·理室1 0内壁之間有一介質 作成的窗口(例如一平面式且厚度一致之介質窗口 20,或 一非平面式介質窗口),在該處理室上端部形成一面隔牆。 用以供應處理用氣體之一個供氣源,包括上述之氣體噴 注器。處理用氣體包括各種具反應特性之氣體以及可選用 之各種承載氣體,例如氬氣(A〇。由於各氣體出口之孔口 較小,數量不多,因而在該氣體噴注器和該處理室内腫之 間可能產生一較大之壓力大約為10毫陶爾時,該項壓力差 大約為100:1。因而,在各氣體出口處就會產生音速範圍 内之阻抗。如有需要,可將各氣體出口輪廓設計成在每一 氣體出口處可提供超音速氣體之形狀。 以音速噴注處理用氣體,可抑制電漿不致穿透各該氣壓 (例如··低於5陶爾,理想氣壓為1 -1 0 0毫陶爾)。在天線1 8 和該處理室1 0内壁之間有一介質作成的窗口(例如一平面 式且厚度一致之介質窗口 20,或一非平面式介質窗口), -26- 589658 (21)
發明說明纔I 在該處理室上端部形成一面隔牆。 用以供應處理用氣體之一個供氣源,包括上述之氣體噴 注器。處理用氣體包括各種具反應特性之氣體以及可選用 之各種承載氣體,例如氬氣(At·)。由於各氣體出口之孔口 較小,數量不多,因而在該氣體噴注器和該處理室内膛之 間可能產生一較大之壓力差。例如,在該氣體噴注器處之 氣體壓力大於1陶爾,而該處理室内之壓力大約為10毫陶 爾時,該項壓力差大約為100:1。因而,在各氣體出口處 就會產生音速範圍内之阻流。如有需要,可將各氣體出口 處輪廓設計成在每一氣體出口處處可提供超音速氣體之 形狀。 以音速噴注處理用氣體,可抑制電漿不致穿透各該氣體 出口。在進行某些材料(例如摻有或未摻有雜質之二氧化 矽)之澱積處理作業時,利用該種設計即可防止分解後之 氣體(例如:SiH4不致由該處理室内部進入該氣體噴注器 内。如此即可避免嗣後在該等氣體出口處内形成非結晶矽 殘渣的現象。依據本具體實施例設計之電漿處理系統,可 藉由集中該受處理基板上方之含矽處理氣體,以及以選擇 方式將處理導引至該基板上若干特定區域之方法,提供比 傳統式氣體散佈系統更高的基板表面沉積率以及均勻度。 依據本發明設計,對金屬,如鋁,有電導功能之半導體 材料,例如多矽及介質材料(包括含有阻光材料之二氧化 矽)等材料之蝕刻均勻度;以及對一些以函素及鹵素碳化 物等為基本材料之化學物質為半導體基板下層材料之選 -27- 589658 (22) 發罘說翠讀頁 擇性等問題可提供顯著之改善。相反地,利用裝設在一介 質窗口内部或下方所裝設乏蓮蓬頭之傳頭式氣體噴注器 ,則只能在該板上提供不均勻之蝕刻結果,例如:「中心 快速阻礙蝕刻」現象,從而導致蝕刻特徵及輪廓控制不良 ,以及該基板中心及邊緣之間出現蝕刻特徵差異等瑕疵 。此外,在蓮蓬頭上形成之聚合體也會導致在基板表面上 出現該等聚合體剝落碎屑污染受處理表面等缺點。因使用 蓮蓬頭噴注氣體之裝置所引發的其他各項問題也包括:因 為在該窗口上提供重疊式噴氣裝置所生之額外成本費用 ,溫度控制,氣體或電漿腐蝕蓮1噴頭,在蓮蓬頭氣體出 口内或在蓮蓬噴頭和該覆蓋在蓮蓬頭上方之窗洞二者之 間隙處發生電漿點燃,缺少處理重複操作功能,以及處理 偏差等問題。相反的,經由一氣體喷注環執引邊緣喷注操 作也可能造成「邊緣快速蝕刻」及處理室内壁產生沉積物 等問題。在此等情況下,光阻體對氧化物選擇性通常僅為 1 -4,但理想數值則為5 - 1 0。依據本發明設計之氣體噴注 器可改善阻礙蝕刻率之均勻性(通常為6%3)以及瞬間阻擋 對氧化物選擇性至少在5至1 0以上之間。因此,依本發明 原理所設計之氣體噴注系統可對受處理基板表面提供更 為均勻之反應中間物及化學基質流量,包括:蝕刻物料, 諸如:微小的氯和氧原子,和聚合物諸如:CxFyH氣體, 例如:CF,CF2, CF3 等。 當受處理基板之外形增大時,中央饋送氣體系統之需求 也隨之提高。由氣體環裝置供氣之氣體噴注系統在執行平 -28- 589658 (23) 面面板處理作業時,已無法對大面積基板中心地帶供應足 量之處理用氣體。在電漿處S系統中常見的底部泵氣式處 理室中氣體供應量不足之情況更為重要。以電漿蝕刻處理 為例,如無本發明設計之中央送氣系統,可能在該基板中 央部分上方有大批#刻副產物停滯不動,發生此一情況時 ,氣體傳送僅能藉由擴散方式執行,從而可能導致該基板 上蝕刻效果不均勻的現象。依據本發明設計,處理用氣體 係被噴注在面對或鄰近受處理基板中央部分範圍以内。例 如,可將氣體噴注器各氣體出口處設置在距離該窗口内側 表面下方較遠之部位上,實際上等於是浸入於電漿區之内 。最好將各該氣體出口設置在有足量離子及中性物質之 擴散區内,俾可確保理想的均句蝕刻效果或激積率之效果 。因而,可將該氣體噴注器設置在由一 TCPTM線圈感應 而生之方位電場降低為零之區域内,俾可使該電漿產生區 内之混亂現象降至最低。再者,也可將氣體喷注器浸入電 漿產生區内之距離設定在不超過該處理室頂部和該受處 理基板之間距離之8 0 %為度。如此乃可確保由該處理室上 半部擴散出來之離子有足夠空間填注入該氣體噴注器直 下方之游子密度較低之區域内。此種設計亦可使流往該基 板之離子區内發生氣體噴注器「陰影」之現象降至最低。 採用浸入或氣體噴注器可獨立選擇中央供氣位置以及 該處理室之展弦比。有利於處理氣體之有效運用,並藉以 改善對大面積基板中心區供氣之效果,降低對電漿均勻性 之干擾量度。此種結構設計另一優點乃是,因為各氣體出 -29- 589658 (24) 發明巍:明讀頁 口靠近受處理基板,故可在該基板正上方區域内提高氣體 對流與擴散之氣體傳送效果。除可改善反應性物質之傳送 外,該氣體喷注器也可促進將蝕刻副產物快速運出該基板 區以外之效果。進而可對蝕刻均勻度及蝕刻區輪廓控制提 供有利旳影響,特別是以化學激勵方式執行蝕刻處理(例 如:is金屬姓刻處理)時,效果更為顯著。 各氣體出口可設計成所需要的形狀,諸如,在氣體出口 整個長度内採用直徑一致之出氣孔口徑;或其他不同形狀 ,例如,圓錐形,逐漸向外展式表面,或輻射形輪廓表面 等。各氣體出口可對準任何方向·噴注氣體,包括:直接對 準該受處理基板,與基板之間構成任何銳角之方向,與該 基板平行的方向,或背向上層電漿邊界表面之方向(與噴 嘴縱軸成斜角關係之方向),或上述各種方向之混合方式 安排。欲促使大面積基板上實到均勻蝕刻及澱積率效果, 有必要應使各種化學基.質和反應性中間物質以均勻的比 例流向基板表面上。如有需要1也可在該基板附近或其側 壁上設置額外的氣體噴射裝置。 在氣體噴注器末梢端最好不要有尖銳角落出現,以減少 在端部發生局部電場強度增強的效應。但是,該等電場強 度增強現象之存在也有其優點。 範例1 多矽層蝕刻深度各項統計資料(平均值,標準偏差值, 及範圍)之測定,是以沿軸向與離軸向氣體流量比為函數 計算之。圖4a-c所示係一閘極蝕刻處理結果剖面圖,其中 -30- 589658 P5) 圖4a所示係以較高之沿軸向氣體噴注率執行#刻處理的 '结果情形;而圖4 c所示則係以較高之離軸向氣體噴注率執 行蝕刻處理的結杲情形。以沿軸向氣流為主導條件所產生 的蝕刻結果,其蝕刻深度值為212.9± 4.7奈米(± 2.2%),蝕 刻範圍為18.3奈米(± 1·4%)(參見圖4a之多矽層蝕刻結果) 。而以離軸向氣流為主導條件所產生之蝕刻結果,其深度 為2 12.6± 5.3奈米(± 2.5%),蝕刻範圍為22.3奈米(± 1.7%)(參 見圖4 c之多矽層蝕刻結果)。相反地,以混合氣流為主導 條件之蝕刻,則在蝕刻均勾度方面提供了一種戲劇化的改 善結果(參見圖4b之多矽層蝕刻結果)。在混合氣流之條件 下,其產生之平均蝕刻深度為213.5± 2.3奈米(± 1.1%),蝕 刻範圍則僅為7.7奈米(± 0.6%)。上述多矽層蝕刻處係採用 一種Cl2/HBr/〇2之混合氣流,總流量為420 seem,處理室壓 力為10 mT(毫陶爾)。該RF天線最高功率為800瓦,在底 部電極上之偏壓為-1 5 5伏特。氣體噴注器噴氣角度為60 度。 範例2 矽蝕刻深度值總計資料(平均值,標準偏差值,及範圍) 之測定,係以沿軸向對離軸向氣體流量比為函數計算之。 圖5 a- c所示係一閘極蝕刻處理結果之蝕刻率剖面圖,其中 圖5 a所示係一較高沿軸向氣體噴注作業結果圖,而圖5 c 所示係一較高離軸向氣體噴注作業結果圖。以沿軸向氣流 為主導條件所產生的蝕刻結杲,其蝕刻深度為1299A土 2 7A(± 2.1%),範圍為74Α(± 1·0%)(參見圖5a中之多矽層蝕 -31 - 589658 (26) 發明說碘續頁 刻結果)。以混合氣流條件執行之蝕刻結果,其蝕刻深度 為1295八±23八(土1.8%),範圍為76八(±1.0%)(參見圖51)中之 多矽層蝕刻結杲)。而以離軸向氣流為主導條件執行蝕刻 處理,則產生一戲劇性之均勾度改善情形(參見圖5 c所示 之多矽層蝕刻結果)。在離軸氣流條件下,其平均蝕刻深 度為 1272A± 14A(± 1.1%),範圍為 41A(± 0.53%)。此項矽蝕 刻處理係採用一種合氣流,處理室壓力為40 mT ,底部電極溫度為6 0度。RF天線(頂部)功率為1 2 0 0瓦,底 部電壓偏壓為- 320伏特。氣體喷注器角度為45度。 範例3 圖6 a- b所示係代表此兩種不同氣流比執行蝕刻處理前 後差異之多矽層閘極臨界尺寸(CD)變化情形。圖6a所示係 提高沿軸向氣流後之結果,而圖6 b則係提高離軸向氣流後 之結果。明確言之,圖6a所示結果,其平均CD變化為-3.9 奈米,標準偏差為2.1奈米,範圍為7.5奈米,而圖6B所示 結果,其CD變化為-3.4奈米,標準偏差為1.6奈米,範圍 為5.9奈米。 範例4 圖7 a-b所示代表以兩種不同氣流比執行蝕刻處理前後 差異之光阻體修整CD變化情形。因為使用可調式氣體噴 注法,而達成更好的CD均勻度。本項處理採用了一種 C 12 / Ο 2混合氣流,總流量為1 0 0 s c c m,處理室壓力為5 m T 底部電極溫度為6 0度。射頻天線(頂端)輸出功率為3 8 5瓦 ,底部電極自身偏壓為-34伏特。氣體噴注器角度為45度 -32- 589658 (27) 。明確言之,圖7a所示結果包括:平均CD變化為-4 9.3奈 米,標準偏差為2.5奈米,範圍為9 . 1奈米;而圖7 b所示結 果,包括:CD變化為-4 7.6奈米,標準變化為2.0奈米,範 圍為7.5奈米。 範例5 圖8 a - b所示代表以兩種不同氣流比執行#刻處理前後 差異之多矽閘極臨界尺寸(CD)變化情形。圖8a顯示,平均 CD變化。藉由調整氣體流量比之方式調整之。進行本項 測試時係採用一種Cl2/HBr/0^l合氣體以兩項步驟為之·· 在步驟1中,處理室壓力為1 5 m T,總流量為4 0 0 s c c m,天 線功率為5 7 5瓦(頂端/感應功率),底部電極自我偏極為-1 3 8伏特;在第二步驟中,其處理室壓力為3 0 mT,總流 量575sccm,天線功率為750瓦,底部電極自偏壓為-80伏 特。明確言之,如圖8 a所示步驟1處理結果顯示,其平均 CD變化為0.1奈米,標準偏差為2.4奈米,範圍為9.5奈米 ;而圖8b所示步驟2處理結果顯示,其平均CD變化為13.3 奈米,標準偏差為2.4奈米,及範圍為8.9奈米。 以上已就本發明之各項原理,可採行具體實例,方法或 操作方式提供說明。惟,不得將本發明之適明範圍解釋為 侷限於已說明之各種具體實例。因此,應將以上各種具體 實施例視為參考範例,而非限制範圍,相反地,應視為任 何對本技術領域具有專業技術者,均可在不偏離本說明書 申請專用範圍所提供之本發明各項重點之條件下,可對該 等具體實施例進行各種不同的變更設計。 589658 (28) 發明說明讀頁 圖式代表符號 言兒 明 10 電 漿 1虫 刻 反 應 i 12 基 板 固 定 架 13 基 板 14 聚 焦 環 15 氣 體 出 π 16 靜 電 夾 Art. 盤 18 天 線 19 射 頻 阻 抗 匹 配 電 路 20, 27 窗 α 22 氣 體 噴 注 器 23 氣 體 供 應 源 24 沿 軸 向 氣 體 噴 注 Π 26 離 轴 向 氣 體 噴 注 25 内 腔 27 窗 29 監 控 設 備 30 圓 筒 狀 或 圓 錐 狀 氣 32 主 要 供 氣 源 34 T形接頭 36a , 36b 流 量 限 制 裝 置 40 導 電 性 隔 離 罩 40f 導 電 性 塗 層 體管線 -34-

Claims (1)

  1. 589658 拾、申請專利範圍 1 . 一種電漿處理系統,包括: 一電漿處理室; 一個連接至該處理室之真空泵浦; 一個基板支座’可將一基板放置在該支座上在該 處理室中接受處理; 一塊介質構件,其内側表面面對該基板支座,且 該介質構件形成該處理室之一面内壁;及 一個氣體噴注器,延伸穿過該介質構件,俾可使 該氣體噴注器之延伸末端曝露在該處理室内,而該 氣體噴注器,則包括多個氣體出口,以不同的氣體 流速率將氣流分別供應至該處理室内; 一個射頻(RF)能源,以感應方式將射頻能源穿過 該介質元件後使處理用電漿受到推動後變成電漿 形態,用以處理該基板。 2.如申請專利範圍第1項之系統,該系統是一高密度 電聚化學蒸汽殿積系統,或一高密度電聚姓刻處理 系統。 3 .如申請專利範圍第1項之系統,其中之射頻(RF)能源 ,包括一 RF天線,及該氣體噴注器,用以將處理用 氣體噴向該處理室内之一個主要電漿產生區。 4 .如申請專利範圍第1項之系統,其中之各氣體出口 ,包括位於該氣體噴注器軸向末端表面内之一個 單獨之沿軸向出口,以及該氣體噴注器某一側邊表 面内設置之多個離軸向氣體出口,該等氣體出口之 589658 氣體係由一單獨氣體供應源將處理用氣體經由第 一及第二供氣管線供應+之,此等-氣體管線各包括一 氣體流量控制器,將調節後之氣流提供至各離軸向 氣體以外之沿軸向氣體出口。 5 .如申請專利範圍第1項之系統,其中之各氣體出口 包括一個中央氣體出口,沿著與該基板曝露在外之 表面相垂直之袖向外延伸,另有多個有角度之氣體 出口,沿著與上述軸向呈一銳角之方向外延伸;其 中之中央氣體出口係由一第一供氣管線接收處理 用氣體,而各該有角度氣體_出口則係由一二供氣管 線接收處理用氣體;該第一及第二供氣管線均係由 一相同供氣源送來之處理用氣體。 6 .如申請專利範圍第1項之系統,其中之氣體噴注器 係以次音速,音速,或超音速噴射該處理用氣體。 7 ·如申請專利範圍第1項之系統,其中之氣體噴注器 包括一平坦轴向端部表面’其中設有一沿抽向氣體 出口 ,以及一圓錐形側壁表面,其中設有多個離軸 向氣體出口 ,該沿軸向氣體出口係從該氣體噴注器 中一個中央通道接收處理用氣體,而該等離軸向氣 體出口則係從圍繞在該中央通道周圍之各環狀排列 之供氣通道接收處理用氣體。 8 -如申請專利範圍第1項之系統,其中之氣體噴注器 係以可拆除方式安裝在一介質窗口内,並將處理用 氣體供應至該處理室之中央區域内。 9 .如申請專利範圍第1項之系統,其中之氣體噴注器 -2- 589658 包括至少一個中央氣體出口 ,用以將處理用氣體沿 著與該基板曝露在外之表面相垂直之軸向噴注該 處理用氣體,另亦包括若干離車由向氣鹽出口 ’用以 將處理用氣體沿著與該基板外露表面相平行之平 面成一銳角關係之方向噴注該處理用氣體。 10. 如申請專利範圍第1項之系統,其中之氣體噴注器 是以可拆除方式裝設在上述介質窗口開口内,另亦 在該氣體噴注器和該介質窗口之間設有一真空密 封隔離設置。 11. 如申請專利範圍第1項之系妹,其中之RF能源,包 括一個平板形或不平坦式螺旋線圈形狀之R F天線 ,而且其中之氣體噴注器係將處理用氣體朝向一 主要電漿產生區方向噴入該處理室内。 12. 如申請專利範圍第1項之系統,其中有一單獨之主 要氣體供應源被分隔為多個供氣管線,對所有氣體 出口供應處理用氣體。 13. 如申請專利範圍第1項之系統,其中流經至少有一 些氣體供應管線之氣流係可利用各種不同之氣流 限制裝置分別以不同流量噴注氣體。 14. 如申請專利範圍第1項之系統,其中流經至少一些 氣體出口之氣體流量比,利用一些氣閥及油門控制 元件分別加以調整。 15. 如申請專利範圍第1項之系統,其中之氣體噴注器 另亦提供一電導隔離罩,用以將位於該氣體噴注器 内各該供氣通道中之電'漿被點燃之機會降至最低 589658 量度。 16. 利用電聚處理一基板之‘一種方法-,包括: 將一片基板放置於一處理室内設置之一個基板 支座上,其中有一介質構件中内側表面形成該處理 室面對該基板支座之一面室壁; 從一氣體噴注器,將處理用氣體供應至該處理室 内,該氣體噴注器係穿透該介質構件後向前延伸, 使其末端曝露在該處理室内,該氣體噴注器包括多 個氣體出口 ,用以將處理用氣體供應至處理室内; 控制流向至少一個氣體出口之處理用氣體流速 ,使其與流向至少另一個氣體出口之處理氣體之 流速有別; 將一 RF能源產生之RF能量,以感應耦合方式將處 理用氣體透過該介質構件耦合至處理室内,用以激 勵該處理氣體使其轉變成電漿狀態,轉變成電漿相 態後之處理氣體,即可與該接受處理基板之外露表面 發生化學反應。 17. 如申請專利範圍第16項之方法,其中之RF能源包括 一個平面型或非平面型線圈式RF天線,以及一個氣 體噴注器,用以將一些處理用氣體透過一沿軸向氣 體出口噴注至處理室之中央區域内,並透過若干離 軸向氣體出口噴注至該中央區域周圍之一個環形 區内。 18. 如申請專利範圍第1 6項之方法,其中至少有若干氣 體出口並非以直接朝向該基板外露表面的方向噴
    申請爾 、、'*、* ,> *、、々、:义·*〆,心〜、 、、、 -4- 589658 申ΐ奮專利範園續頁: < ,,,、Ά、、〜广 1 ί S 'i. * Ύ ίϋχ- is./%* 注處理用氣體。 19. 如申請專利範圍第16項—之方法,其中之氣體噴注器 係延伸至該介質窗口内側表面之下方,且該等氣體 出口係以多種不同方向噴注該處理氣體。 20. 如申請專利範圍第1 6項之方法,其中之氣體噴注器 係以次音速,音速或超音速之速度噴注處理用氣體 〇 21. 如申請專利範圍第1 6項之方法,其中之作業步驟係 將個別基板分別陸續送入該處理室内接受個別薄 膜層澱積或蝕刻處理。 _ 22. 如申請專利範圍第1 6項之方法,其中之氣體噴注器 係伸入該處理室之中央部位,且各該氣體出口係將 處理用氣體噴注在該基板外露表面和該介質構件 内側表面之間的多個空間區域内。 23. 如申請專利範圍第1 6項之方法,其中之氣體出口包 括在該氣體噴注器末端内之一個沿軸向中央氣體 出口 ,以及包圍在該沿軸向中央氣體出口四週之多 個離軸向氣體出口,且該等離軸向氣體出口係以多 種不同方向噴注處理用氣體。 24. 如申請專利範圍第1 6項之方法,其步驟包括藉由將 一種含氯氣體透過各氣體噴注出口噴入該處理室 内對該處理基板上之一層鋁質薄膜層執行電漿蝕 刻處理;其中至少有一些氣體出口並非以一與該基 板外露表面呈垂直關係之方向噴注處理氣體。 25. 如申請專利範圍第16項之方法,其步驟包括,藉由 589658 申請專利範圍續頁 將一種含氯及溴氣體透過一中央氣體出口沿循一 個與該基板外露表面相< 垂直的軸向,並透過多個位 於該中央氣體出口周圍之有角度氣體出口噴入該 處理室中用以對該基板上之一層多矽薄膜進行電 漿蝕刻處理;該等有角度氣體出口係分別朝與上述 軸向之間所構成,且角度範圍在1 0度至9 0度以内之 一個角度噴注處理用氣體。 26. 如申請專利範圍第16項之方法,其步驟包括,藉由 將一種含氟氣體透過一中央氣體噴注出口沿循一 個與該基板外露表面相垂直之軸向,並透過位於上 述軸向四週之多個有角度氣體出口噴入處理室内 對該基板上之一層氧化矽薄膜進行電漿蝕刻處理 :該等多個有角度氣體出口與該軸向之間的相對 角度應在10度至90度之範圍内。 27. 如申請專利範圍第1 6項之方法,其步驟包括,藉由 將一種含氯及溴之氣體透過一中央氣體出口沿循 一個與該基板外露表面相垂直之軸向,並透過位於 上述軸向四週之多個有角度氣體出口噴入處理室 内對該基板上之一層多矽薄膜進行電漿蝕刻處理 ;該等多個有角度氣體出口與該軸向之間的相對角 度應在10度至90度之範圍内。 28. 如申請專利範圍第1 6項之方法,其步驟包括:藉由 將一種含氟氣體透過一中央氣體出口沿循一個與 該基板外露表面相垂直之軸向,及(或)透過位於上 述軸向四週之多個有角度氣體出口 ,噴入該處理室 589658 申請專利氣園續:頁 内對該基板上之一層氧化矽薄膜進行電漿處理;該 等多個有角度氣體出與該軸向之間的相對角度 應在10度至90度之範圍内。 29. 如申請專利範圍第1 6項之方法,其中之單個氣體供 應源係被分隔成多條供氣管線,分別饋送氣體至各氣 體出口。 30. 如申請專利範圍第1 6項之方法,其中之通過至少某 些氣體出口的氣體流量比,係利用個別之流量限制 裝置執行不同之流量控制。 31. 如申請專利範圍第1 6項之方法,其中通過至少某些 氣體出口的氣體流量比係利用一氣閥網路及氣門 元件執行不同之流量比控制。 32. 如申請專利範圍第1 6項之方法,其中流經至少若干 氣體出口之氣體流量比係個別獨立調變後用以對 該基板上之一層薄膜進行蝕刻處理,以達成對該層 薄膜執行中心至邊緣之均勻蝕刻效果。 33. 如申請專利範圍第1 6項之方法,其中流經至少若干 氣體出口之氣體流量比,係經個別獨立調變後用以 在該基板上澱積一層薄膜,以達成該層薄膜中心至 邊緣之均勻澱積處理結果。 34. 如申請專利範圍第1 6項之方法,其中之氣體噴注器 另亦裝有一導電性隔離罩,以使電漿在該氣體噴注 器内各氣體通道中被點燃之機會降至最低。 35. 可用以將處理用氣體供應至一半導體處理室内之一 種氣體噴注器,包括: 589658 一噴注器本體,包括至少一和兩個氣體入口 ,至少 一或兩個氣體通道,以及至少一或兩個氣體出口 ,其 中之第一氣體通道是與第一氣體進口和第一氣體出 口之間有流體傳輸管道,而第二氣體通道則是與第 二氣體進口和第二氣體出口之間有流體傳輸管道 I第一和第二氣體通道係彼此分立,俾可分別獨立 調整流經第一和第二氣體出口之氣體流量比。 36. 如申請專利範圍第3 5項之方法,其中至少一個第一 氣體出口包含一單獨之沿軸向氣體出口,位於該噴 注器本體一個軸端的表面内_,且至少一個第二氣體 出口包含多 個離軸向氣體出口 ,位於該噴注器某 一側邊表面内。 37. 如申請專利範圍第3 5項之方法,其中之噴注器本體 ,包括一平面形轴端表面,以及一圓錐形側邊表面 ,其中之至少一個第一氣體出口包括一個沿轴向 出口 ,位於該軸端表面内,且至少一個第二氣體出 口包含多個離軸向氣體出口,位於該圓錐形側邊表 面内,該沿軸向出口連接至該氣體噴注器内一中央 氣體通道;而該離軸向出口則是連接至圍繞在該中 央氣體通道周邊之一個環形氣體通道。 38. 如申請專利範圍第3 5項之方法,另亦包含一導電性 隔離罩,用以使電漿在該氣體噴注器内各氣體通逆 中被點燃機會降至最低程度。
    申請專利範ft續頁
TW091123681A 2001-10-15 2002-10-15 Tunable multi-zone gas injection system TW589658B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US32879601P 2001-10-15 2001-10-15
US10/024,208 US20030070620A1 (en) 2001-10-15 2001-12-21 Tunable multi-zone gas injection system

Publications (1)

Publication Number Publication Date
TW589658B true TW589658B (en) 2004-06-01

Family

ID=26698179

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091123681A TW589658B (en) 2001-10-15 2002-10-15 Tunable multi-zone gas injection system

Country Status (11)

Country Link
US (3) US20030070620A1 (zh)
EP (1) EP1444717B1 (zh)
JP (2) JP5043288B2 (zh)
KR (1) KR100954709B1 (zh)
CN (2) CN1639831A (zh)
AT (1) ATE358887T1 (zh)
AU (1) AU2002356543A1 (zh)
DE (1) DE60219343T2 (zh)
IL (2) IL161297A0 (zh)
TW (1) TW589658B (zh)
WO (1) WO2003034463A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI473159B (zh) * 2006-09-08 2015-02-11 Jusung Eng Co Ltd 蝕刻裝置及使用該裝置之蝕刻方法
TWI473903B (zh) * 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成
TWI596230B (zh) * 2012-10-25 2017-08-21 應用材料股份有限公司 用於選擇性氣體注入與抽取之設備
TWI740140B (zh) * 2018-06-13 2021-09-21 台灣積體電路製造股份有限公司 電漿設備、半導體製造方法、以及氣體輸送源

Families Citing this family (136)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
JP2005149956A (ja) * 2003-11-17 2005-06-09 Ulvac Japan Ltd 大面積高均一プラズマ処理方法及び装置
KR101025323B1 (ko) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 장치 및 에칭 방법
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
JP2006210727A (ja) * 2005-01-28 2006-08-10 Hitachi High-Technologies Corp プラズマエッチング装置およびプラズマエッチング方法
JP2008532324A (ja) * 2005-03-03 2008-08-14 アプライド マテリアルズ インコーポレイテッド 制御された処理結果分布を有するエッチング方法
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
JP4833778B2 (ja) * 2006-02-13 2011-12-07 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
CN101137266B (zh) * 2006-08-28 2012-04-11 北京北方微电子基地设备工艺研究中心有限责任公司 气体注射装置
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
JP5074741B2 (ja) * 2006-11-10 2012-11-14 株式会社日立ハイテクノロジーズ 真空処理装置
US20080156264A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
JP5357037B2 (ja) * 2007-03-23 2013-12-04 パナソニック株式会社 プラズマドーピング装置及び方法
KR100872312B1 (ko) * 2007-05-04 2008-12-05 주식회사 디엠에스 에칭가스 제어시스템
US8144309B2 (en) * 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US20090162570A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Apparatus and method for processing a substrate using inductively coupled plasma technology
JP5223377B2 (ja) 2008-02-29 2013-06-26 東京エレクトロン株式会社 プラズマ処理装置用の電極、プラズマ処理装置及びプラズマ処理方法
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
CN101585019B (zh) * 2008-05-19 2013-03-27 北京北方微电子基地设备工艺研究中心有限责任公司 一种半导体加工装置以及用于该装置中的喷嘴结构
KR101223945B1 (ko) * 2008-08-18 2013-01-21 고쿠리츠 다이가쿠 호진 교토 다이가쿠 클러스터 분사식 가공 방법, 반도체 소자, 미소 기전 소자, 및 광학 부품
US8747963B2 (en) * 2009-01-23 2014-06-10 Lockheed Martin Corporation Apparatus and method for diamond film growth
WO2011031321A2 (en) * 2009-09-10 2011-03-17 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
WO2011030326A1 (en) * 2009-09-11 2011-03-17 Ramot At Tel-Aviv University Ltd. System and method for generating a beam of particles
US20110305835A1 (en) * 2010-06-14 2011-12-15 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for a gas treatment of a number of substrates
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US20120152900A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods and apparatus for gas delivery into plasma processing chambers
US8288174B1 (en) 2011-03-24 2012-10-16 Tokyo Electron Limited Electrostatic post exposure bake apparatus and method
JP5955062B2 (ja) 2011-04-25 2016-07-20 東京エレクトロン株式会社 プラズマ処理装置
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
JP5666991B2 (ja) * 2011-06-08 2015-02-12 東京エレクトロン株式会社 誘導結合プラズマ用アンテナユニットおよび誘導結合プラズマ処理装置
US9305810B2 (en) 2011-06-30 2016-04-05 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching, and programmable gas delivery
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
JP5865916B2 (ja) * 2011-10-31 2016-02-17 京セラ株式会社 ガスノズル、これを用いたプラズマ装置およびガスノズルの製造方法
US9396912B2 (en) * 2011-10-31 2016-07-19 Lam Research Corporation Methods for mixed acid cleaning of showerhead electrodes
US9941100B2 (en) 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US20130180954A1 (en) * 2012-01-18 2013-07-18 Applied Materials, Inc. Multi-zone direct gas flow control of a substrate processing chamber
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US20130337172A1 (en) * 2012-06-19 2013-12-19 Synos Technology, Inc. Reactor in deposition device with multi-staged purging structure
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10541183B2 (en) * 2012-07-19 2020-01-21 Texas Instruments Incorporated Spectral reflectometry window heater
CN103068137A (zh) * 2012-11-21 2013-04-24 中国科学院微电子研究所 一种进气结构及等离子体工艺设备
CN103060777B (zh) * 2012-12-25 2014-12-31 王奉瑾 激光激发cvd镀膜设备
JP6061384B2 (ja) * 2013-01-17 2017-01-18 国立大学法人静岡大学 アルミ・樹脂接合体の製造方法及びアルミ・樹脂接合体
US9790596B1 (en) * 2013-01-30 2017-10-17 Kyocera Corporation Gas nozzle and plasma device employing same
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US8893702B2 (en) 2013-02-20 2014-11-25 Lam Research Corporation Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
JP6359627B2 (ja) * 2013-03-15 2018-07-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高対称四重ガス注入によるプラズマリアクタ
US9142456B2 (en) 2013-07-30 2015-09-22 Lam Research Corporation Method for capping copper interconnect lines
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
JP5917477B2 (ja) 2013-11-29 2016-05-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP6317921B2 (ja) * 2013-12-20 2018-04-25 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
TWM503056U (zh) * 2014-07-24 2015-06-11 Wen-Hsin Chiang 用於電漿反應裝置之襯套單元
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
KR20160021958A (ko) * 2014-08-18 2016-02-29 삼성전자주식회사 플라즈마 처리 장치 및 기판 처리 방법
JP6525567B2 (ja) * 2014-12-02 2019-06-05 キヤノン株式会社 インプリント装置及び物品の製造方法
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
JP5916909B1 (ja) * 2015-02-06 2016-05-11 株式会社日立国際電気 基板処理装置、ガス整流部、半導体装置の製造方法およびプログラム
US9966270B2 (en) * 2015-03-31 2018-05-08 Lam Research Corporation Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
WO2017165016A1 (en) * 2016-03-25 2017-09-28 Applied Materials, Inc. Chamber liner for high temperature processing
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR101872338B1 (ko) * 2016-09-28 2018-07-02 주식회사 월덱스 사파이어 소재를 이용한 고수명 이체형 가스분사장치 제조방법
FR3058162B1 (fr) * 2016-11-02 2021-01-01 Commissariat Energie Atomique Procede de depot de films minces de chalcogenure
JP7002268B2 (ja) * 2017-09-28 2022-01-20 東京エレクトロン株式会社 プラズマ処理装置
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US11077410B2 (en) * 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US10818479B2 (en) * 2017-11-12 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Grounding cap module, gas injection device and etching apparatus
KR102601581B1 (ko) * 2018-10-31 2023-11-14 삼성전자주식회사 플라즈마 챔버의 가스 공급 장치 및 이를 적용한 플라즈마 처리 장치
CN111328174A (zh) * 2018-12-17 2020-06-23 北京北方华创微电子装备有限公司 反应腔室及等离子体产生方法
CN111383888B (zh) * 2018-12-27 2022-03-11 江苏鲁汶仪器有限公司 等离子体刻蚀机
CN111613508A (zh) * 2019-02-25 2020-09-01 北京北方华创微电子装备有限公司 进气装置及反应腔室
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US10553403B1 (en) * 2019-05-08 2020-02-04 Mks Instruments, Inc. Polygonal toroidal plasma source
WO2021011950A1 (en) 2019-07-17 2021-01-21 Lam Research Corporation Modulation of oxidation profile for substrate processing
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
CN112713073B (zh) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种耐腐蚀气体输送部件及其等离子体处理装置
US20220392753A1 (en) * 2019-11-05 2022-12-08 Lam Research Corporation Single crystal metal oxide plasma chamber component
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
JP7313269B2 (ja) * 2019-12-23 2023-07-24 東京エレクトロン株式会社 プラズマ処理装置
US11854839B2 (en) 2020-04-15 2023-12-26 Mks Instruments, Inc. Valve apparatuses and related methods for reactive process gas isolation and facilitating purge during isolation
TWI767244B (zh) * 2020-05-29 2022-06-11 朗曦科技股份有限公司 半導體製程腔體之氣體噴頭
KR20220019359A (ko) * 2020-08-10 2022-02-17 주성엔지니어링(주) 가스분배유닛을 이용한 가스공급방법
KR20220021206A (ko) * 2020-08-13 2022-02-22 삼성전자주식회사 플라즈마 처리 장치
CN114121582B (zh) * 2020-08-27 2023-10-31 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法
JP7543176B2 (ja) 2021-03-08 2024-09-02 株式会社アルバック プラズマ処理装置およびそのメンテナンス方法
KR20220131680A (ko) 2021-03-22 2022-09-29 세메스 주식회사 기판 처리 장치
AU2022246797A1 (en) 2021-03-31 2023-10-05 6K Inc. Systems and methods for additive manufacturing of metal nitride ceramics
CN113871283B (zh) * 2021-09-28 2024-05-17 北京北方华创微电子装备有限公司 半导体工艺设备及其工艺腔室
KR20230116436A (ko) 2022-01-28 2023-08-04 주식회사 유진테크 기판 처리 장치 및 기판 처리 방법
US20230377848A1 (en) * 2022-05-23 2023-11-23 6K Inc. Microwave plasma apparatus and methods for processing materials using an interior liner
CN114698218A (zh) * 2022-05-30 2022-07-01 中国空气动力研究与发展中心超高速空气动力研究所 瞬态等离子体电子密度分布的七通道微波干涉仪测量方法
US12040162B2 (en) 2022-06-09 2024-07-16 6K Inc. Plasma apparatus and methods for processing feed material utilizing an upstream swirl module and composite gas flows
US12094688B2 (en) 2022-08-25 2024-09-17 6K Inc. Plasma apparatus and methods for processing feed material utilizing a powder ingress preventor (PIP)
CN115537765B (zh) * 2022-09-27 2024-07-12 盛吉盛(宁波)半导体科技有限公司 等离子体化学气相沉积装置和小尺寸沟槽填充方法

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US572771A (en) * 1896-12-08 Automatic machine-gun
JPS51144183A (en) 1975-06-06 1976-12-10 Hitachi Ltd Semiconductor element containing surface protection film
DE2608417C3 (de) 1976-03-01 1981-02-12 Degussa Ag, 6000 Frankfurt Verfahren und vorrichtung zur herstellung von russ
US4270999A (en) * 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
JPS59150417A (ja) * 1983-02-08 1984-08-28 Toshiba Corp 気相成長方法およびその装置
US4691662A (en) 1983-02-28 1987-09-08 Michigan State University Dual plasma microwave apparatus and method for treating a surface
JPS61100935A (ja) * 1984-10-23 1986-05-19 Fujitsu Ltd ドライエツチング装置
US4614639A (en) 1985-04-26 1986-09-30 Tegal Corporation Compound flow plasma reactor
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US5160543A (en) 1985-12-20 1992-11-03 Canon Kabushiki Kaisha Device for forming a deposited film
US4992301A (en) 1987-09-22 1991-02-12 Nec Corporation Chemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4943345A (en) 1989-03-23 1990-07-24 Board Of Trustees Operating Michigan State University Plasma reactor apparatus and method for treating a substrate
US4980240A (en) 1989-04-20 1990-12-25 Honeywell Inc. Surface etched shadow mask
US5104634A (en) 1989-04-20 1992-04-14 Hercules Incorporated Process for forming diamond coating using a silent discharge plasma jet process
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5164040A (en) 1989-08-21 1992-11-17 Martin Marietta Energy Systems, Inc. Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets
JPH04355917A (ja) 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5252132A (en) 1990-11-22 1993-10-12 Mitsubishi Denki Kabushiki Kaisha Apparatus for producing semiconductor film
JP2839720B2 (ja) 1990-12-19 1998-12-16 株式会社東芝 熱処理装置
JPH05315290A (ja) * 1992-05-12 1993-11-26 Fujitsu Ltd ガス流量制御装置
US5531834A (en) 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
US5525159A (en) 1993-12-17 1996-06-11 Tokyo Electron Limited Plasma process apparatus
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5425810A (en) 1994-05-11 1995-06-20 Internation Business Machines Corporation Removable gas injectors for use in chemical vapor deposition of aluminium oxide
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5540800A (en) 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5580385A (en) 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3699142B2 (ja) * 1994-09-30 2005-09-28 アネルバ株式会社 薄膜形成装置
TW285746B (zh) 1994-10-26 1996-09-11 Matsushita Electric Ind Co Ltd
DE4440323A1 (de) 1994-11-11 1996-05-15 Sulzer Metco Ag Düse für einen Brennerkopf eines Plasmaspritzgeräts
JPH08158072A (ja) * 1994-12-02 1996-06-18 Nippon Soken Inc ドライエッチング装置
US5685942A (en) 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JP3150056B2 (ja) 1995-10-19 2001-03-26 東京エレクトロン株式会社 プラズマ処理装置
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5792569A (en) * 1996-03-19 1998-08-11 International Business Machines Corporation Magnetic devices and sensors based on perovskite manganese oxide materials
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US6077357A (en) 1997-05-29 2000-06-20 Applied Materials, Inc. Orientless wafer processing on an electrostatic chuck
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6007330A (en) 1998-03-12 1999-12-28 Cosmos Factory, Inc. Liquid precursor delivery system
US6294466B1 (en) 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6052176A (en) * 1999-03-31 2000-04-18 Lam Research Corporation Processing chamber with optical window cleaned using process gas
US6257168B1 (en) 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
US6486069B1 (en) 1999-12-03 2002-11-26 Tegal Corporation Cobalt silicide etch process and apparatus
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
KR100607991B1 (ko) * 2004-07-07 2006-08-02 삼성전자주식회사 화상제어장치용 광센서의 광량편차보정방법 및 인쇄기의화상제어장치

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI473159B (zh) * 2006-09-08 2015-02-11 Jusung Eng Co Ltd 蝕刻裝置及使用該裝置之蝕刻方法
TWI596230B (zh) * 2012-10-25 2017-08-21 應用材料股份有限公司 用於選擇性氣體注入與抽取之設備
TWI473903B (zh) * 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成
TWI740140B (zh) * 2018-06-13 2021-09-21 台灣積體電路製造股份有限公司 電漿設備、半導體製造方法、以及氣體輸送源

Also Published As

Publication number Publication date
US20100041238A1 (en) 2010-02-18
DE60219343T2 (de) 2007-12-13
AU2002356543A1 (en) 2003-04-28
US9051647B2 (en) 2015-06-09
IL161297A0 (en) 2004-09-27
WO2003034463A3 (en) 2003-06-19
JP5043288B2 (ja) 2012-10-10
KR100954709B1 (ko) 2010-04-23
WO2003034463A2 (en) 2003-04-24
DE60219343D1 (de) 2007-05-16
ATE358887T1 (de) 2007-04-15
JP5364054B2 (ja) 2013-12-11
US10403475B2 (en) 2019-09-03
CN101414537A (zh) 2009-04-22
KR20050034610A (ko) 2005-04-14
IL161297A (en) 2008-03-20
JP2005507159A (ja) 2005-03-10
US20150235811A1 (en) 2015-08-20
CN1639831A (zh) 2005-07-13
JP2011029645A (ja) 2011-02-10
CN101414537B (zh) 2011-11-09
EP1444717A2 (en) 2004-08-11
EP1444717B1 (en) 2007-04-04
US20030070620A1 (en) 2003-04-17

Similar Documents

Publication Publication Date Title
TW589658B (en) Tunable multi-zone gas injection system
US6013155A (en) Gas injection system for plasma processing
KR100665646B1 (ko) 플라즈마 처리용 가스 주입 시스템
TWI671841B (zh) 半導體基板處理設備中之可調式對流擴散氣流所用的具備中央氣體注射器之陶瓷噴淋頭
US6270862B1 (en) Method for high density plasma chemical vapor deposition of dielectric films
EP1230665B1 (en) Plasma processing system with dynamic gas distribution control
US20050000429A1 (en) Spiral gas flow plasma reactor
TW202410158A (zh) 具有改良均勻性之電漿噴灑頭

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees