JP5955062B2 - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP5955062B2
JP5955062B2 JP2012088583A JP2012088583A JP5955062B2 JP 5955062 B2 JP5955062 B2 JP 5955062B2 JP 2012088583 A JP2012088583 A JP 2012088583A JP 2012088583 A JP2012088583 A JP 2012088583A JP 5955062 B2 JP5955062 B2 JP 5955062B2
Authority
JP
Japan
Prior art keywords
injector
processing apparatus
plasma processing
dielectric member
hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2012088583A
Other languages
English (en)
Other versions
JP2012238845A (ja
Inventor
和基 茂山
和基 茂山
石橋 清隆
清隆 石橋
森田 治
治 森田
雄洋 谷川
雄洋 谷川
松本 直樹
直樹 松本
直輝 三原
直輝 三原
弥 吉川
弥 吉川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2012088583A priority Critical patent/JP5955062B2/ja
Priority to TW101114452A priority patent/TWI452597B/zh
Priority to US13/454,513 priority patent/US9111726B2/en
Priority to KR20120043187A priority patent/KR101304408B1/ko
Priority to CN201210125069.8A priority patent/CN102760632B/zh
Publication of JP2012238845A publication Critical patent/JP2012238845A/ja
Application granted granted Critical
Publication of JP5955062B2 publication Critical patent/JP5955062B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32229Waveguides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明の実施形態は、プラズマ処理装置に関するものである。
下記特許文献1には、一種のプラズマ処理装置が記載されている。特許文献1に記載されたプラズマ処理装置は、処理容器、ステージ、マイクロ波発生器、アンテナ、誘電体窓、同軸導波管、及びインジェクタベースを備えている。
ステージは、処理容器の内部に収容されている。ステージ上には被処理基体が載置される。アンテナは、ステージの上方に設けられている。アンテナは、マイクロ波発生器に同軸導波管を介して接続されている。このアンテナは、スロットが形成されたスロット板を含んでいる。誘電体窓は、アンテナとステージ上方の処理空間との間に設けられている。
誘電体窓には、インジェクタベースを収容するための空間が形成されており、更に当該空間から処理空間に向けて延びる貫通孔が形成されている。インジェクタベースは、アルミ製の基材にYの膜を形成することによって作成される。このインジェクタベースには、貫通孔が形成されている。
このプラズマ処理装置では、同軸導波管の内側導体の内孔、インジェクタベースの貫通孔、及び、誘電体窓の貫通孔を介して、処理ガスが処理空間内に供給される。
特開2010−21243号公報
本願発明者は、特許文献1に記載されたようなプラズマ処理装置において、更にパーティクルの発生を抑制する研究を行っている。この研究において、本願発明者は、フッ素系の処理ガスを用いた場合に、数10nm径の少数のパーティクルが発生することがあることを見出している。
したがって、当技術分野においては、より高性能の半導体装置の製造のために、パーティクルの発生を更に低減することが要請されている。
本発明の一側面に係るプラズマ処理装置は、処理容器、ステージ、誘電体部材、マイクロ波を導入する手段、インジェクタ、及び、電界遮蔽部を備えている。処理容器は、その内部に処理空間を画成する。ステージは、処理容器内に設けられている。誘電体部材は、ステージに対面するように設けられている。マイクロ波を導入する手段は、誘電体部材を介して処理空間内にマイクロ波を導入する。インジェクタは、誘電体製であり、一以上の貫通孔を有する。インジェクタは、例えば、バルク誘電体材料から構成される。このインジェクタは、誘電体部材の内部に配置される。インジェクタは、誘電体部材に形成された貫通孔と共に処理空間に処理ガスを供給するための経路を画成する。電界遮蔽部は、インジェクタの周囲を覆う。
上述したような従来のインジェクタベースでは、Yなど基材表面の膜に含まれる材料の還元やフッ化といった化学反応によってパーティクルが発生することがある。一方、本発明の一側面に係るプラズマ処理装置のインジェクタは、誘電体製のインジェクタ(例えば、バルク石英材料から構成される)であるので、化学的に安定している。また、このプラズマ処理装置では、誘電体製のインジェクタの周囲に電界遮蔽部が設けられているので、インジェクタ内部でのプラズマの発生が抑制され得る。したがって、このプラズマ処理装置によれば、パーティクルの発生がより抑制される。
一実施形態においては、インジェクタは誘電体部材に接合されていてもよい。別の実施形態では、インジェクタは誘電体部材と一体形成されていてもよい。インジェクタを誘電体部材に接合するか、又は、誘電体部材と一体成形することによって、インジェクタと誘電体部材の間に間隙が発生することが防止され得る。これにより、インジェクタと誘電体部材の間の間隙から処理ガスが漏れて、プラズマ処理装置内の部材が汚染されることが防止され得る。
一実施形態においては、インジェクタは、第1面と当該第1面に対向し処理空間に面した第2面とを含み、インジェクタの一以上の貫通孔は、第1面と第2面の間に延在しており、電界遮蔽部は、第1面から第2面に向かう方向において、当該第2面よりも処理空間に近い位置まで延在していてもよい。この実施形態によれば、インジェクタ内部における電界強度が更に低減される。その結果、インジェクタ内部におけるプラズマの発生が更に抑制され得る。
一実施形態においては、マイクロ波を導入する手段は、同軸導波管、及び、同軸導波管に結合されたアンテナを含み得る。アンテナは、径方向及び周方向に複数のスロットが形成された金属製のスロット板を含み得る。処理ガスは、同軸導波管の内側導体の内孔の中に配置された配管から供給されてもよい。この形態においては、電界遮蔽部は、当該配管に一体化されていてもよい。
一実施形態においては、インジェクタの一以上の貫通孔の各々は、スリット状の貫通孔であってもよい。貫通孔は、スリット状に形成されることにより、幅の狭い貫通孔となる。したがって、インジェクタの内部、即ち、インジェクタの貫通孔でのプラズマの発生が更に抑制され得る。なお、スリット状の貫通孔とは、当該貫通孔の貫通方向に平行な蛇行面に沿って形成された貫通孔も含み得る。
一実施形態においては、インジェクタの一以上の貫通孔の各々は、処理空間に近いほど幅が狭くなるように形成されていてもよい。一実施形態においては、かかる形状の貫通孔は、レーザ加工によって形成され得る。
一実施形態においては、インジェクタの一以上の貫通孔の最狭部における幅が、0.2mm以下であってもよい。ここで、最狭部とは、貫通孔の貫通方向において最も狭い幅を提供する当該貫通孔の部位である。この実施形態によれば、スリット状の貫通孔の最狭部の幅がデバイ長よりも狭くなるので、インジェクタ内部におけるプラズマの発生がより効果的に抑制され得る。
以上説明したように、本発明の一側面によれば、パーティクルの発生を低減することが可能なプラズマ処理装置が提供される。
一実施形態に係るプラズマ処理装置を概略的に示す断面図である。 図1に示すインジェクタ及びその周囲の部分を拡大して示す断面図である。 別の実施形態に係るインジェクタ及びその周囲の部分を拡大して示す断面図である。 別の実施形態に係るインジェクタを示す平面図である。 図4のV−V線に沿ってとった断面図である。 別の実施形態に係るインジェクタを示す平面図である。
以下、図面を参照して種々の実施形態について詳細に説明する。なお、各図面において同一又は相当の部分に対しては同一の符号を附すこととする。
図1は、一実施形態に係るプラズマ処理装置を概略的に示す断面図である。図1に示すプラズマ処理装置10は、処理容器12、ステージ14、誘電体部材16、アンテナ18、同軸導波管20、インジェクタ22、及び、配管部材24を備えている。
処理容器12は、被処理基体Wにプラズマ処理を行うための処理空間Sを画成している。処理容器12は、側壁12a、及び、底部12bを含み得る。側壁12aは、軸線X方向に延在する略筒形状を有している。底部12bは、側壁12aの下端側に設けられている。底部12bには、排気用の排気孔12hが設けられている。側壁12aの上端部は開口している。
側壁12aの上端部開口は、誘電体窓とも称される誘電体部材16によって閉じられている。この誘電体部材16と側壁12aの上端部との間にはOリング28が介在していてもよい。このOリング28により、処理容器12の密閉がより確実なものとなる。
プラズマ処理装置10は、更にマイクロ波発生器30を備え得る。マイクロ波発生器30は、例えば2.45GHzの周波数のマイクロ波を発生する。マイクロ波発生器30は、チューナー30aを有している。マイクロ波発生器30は、導波管32及びモード変換器34を介して、同軸導波管20の上部に接続されている。
同軸導波管20は、軸線Xに沿って延びている。同軸導波管20は、外側導体20a及び内側導体20bを含んでいる。外側導体20aは、軸線X方向に延びる筒形状を有している。外側導体20aの下端は、冷却ジャケット36の上部に電気的に接続され得る。内側導体20bは、外側導体20aの内側に設けられている。内側導体20bは、軸線Xに沿って延びている。内側導体20bの下端は、アンテナ18のスロット板18bに接続している。
アンテナ18は、誘電体板18a及びスロット板18bを含んでいる。誘電体板18aは、略円板形状を有している。誘電体板18aは、例えば、石英又はアルミナから構成され得る。誘電体板18aは、スロット板18bと冷却ジャケット36の下面の間に狭持されている。アンテナ18は、したがって、誘電体板18a、スロット板18b、及び、冷却ジャケット36の下面によって構成され得る。
スロット板18bは、複数のスロットが形成された略円板状の金属板である。一実施形態においては、アンテナ18は、ラジアルラインスロットアンテナであってもよい。即ち、一実施形態においては、スロット板18bには、複数のスロット対が形成されている。各スロット対は、互いに交差又は直交する方向に延びる二つのスロットを含んでいる。複数のスロット対は、軸線Xを中心にして径方向に所定の間隔で配置され、また、周方向に所定の間隔で配置され得る。マイクロ波発生器30により発生されたマイクロ波は、同軸導波管20を通って、誘電体板18aに伝播され、スロット板18bのスロットから誘電体部材16に導入される。
誘電体部材16は、略円板形状を有しており、例えば、石英又はアルミナから構成されている。誘電体部材16は、ステージ14と軸線X方向において対面するように設けられており、また、スロット板18bの直下に設けられている。誘電体部材16は、アンテナ18から受けたマイクロ波を透過して処理空間S内に導入する。これにより、誘電体部材16の直下に電界が発生し、処理空間S内にプラズマが発生する。このように、プラズマ処理装置10によれば、磁場を加えずにマイクロ波を用いてプラズマを発生させることが可能である。
一実施形態においては、誘電体部材16の下面は、凹部16dを画成し得る。凹部16dは、軸線X周りに環状に設けられており、テーパ形状を有している。この凹部16dは、導入されたマイクロ波による定在波の発生を促進するために設けられており、マイクロ波によるプラズマを効率的に生成することに寄与し得る。
プラズマ処理装置10では、内側導体20bは、軸線Xに沿って延びる筒形状を有し得る。この内側導体20bの内部には、配管部材24が挿入され得る。配管部材24の一端には、ガス供給系40が接続されている。ガス供給系40は、マスフローコントローラといった流量制御器40a及び開閉弁40bから構成され得る。一実施形態においては、ガス供給系40からの処理ガスが配管部材24を介してインジェクタ22に供給される。配管部材24からの処理ガスは、インジェクタ22、及び、誘電体部材16に形成された貫通孔16aを介して、処理空間Sに供給される。
一実施形態においては、プラズマ処理装置10は、別のガス供給部42を更に備え得る。ガス供給部42は、ガス管42aを含む。ガス管42aは、誘電体部材16とステージ14との間において軸線X周りに、環状に延在している。ガス管42aには、軸線Xに向かう方向にガスを噴射する複数のガス噴射孔42bが設けられている。このガス供給部42は、ガス供給系44に接続されている。
ガス供給系44は、ガス管44a、開閉弁44b、及び、マスフローコントローラといった流量制御器44cを含んでいる。ガス供給部42のガス管42aには、流量制御器44c、開閉弁44b、及びガス管44aを介して、処理ガスが供給される。なお、ガス管44aは、処理容器12の側壁12aを貫通している。ガス供給部42のガス管42aは、当該ガス管44aを介して、側壁12aに支持され得る。
ステージ14は、アンテナ18と当該ステージ14との間に処理空間Sを挟むように設けられている。このステージ14上には、被処理基体Wが載置される。一実施形態においては、ステージ14は、台14a、フォーカスリング14b、及び、静電チャック14cを含み得る。
台14aは、筒状支持部46に支持されている。筒状支持部46は、絶縁性の材料で構成されており、底部12bから垂直上方に延びている。また、筒状支持部46の外周には、導電性の筒状支持部48が設けられている。筒状支持部48は、筒状支持部46の外周に沿って処理容器12の底部12bから垂直上方に延びている。この筒状支持部46と側壁12aとの間には、環状の排気路50が形成されている。
排気路50の上部には、複数の貫通孔が設けられた環状のバッフル板52が取り付けられている。排気孔12hの下部には排気管54を介して排気装置56が接続されている。排気装置56は、ターボ分子ポンプなどの真空ポンプを有している。排気装置56により、処理容器12内の処理空間Sを所望の真空度まで減圧することができる。
台14aは、高周波電極を兼ねている。台14aには、マッチングユニット60及び給電棒62を介して、RFバイアス用の高周波電源58が電気的に接続されている。高周波電源58は、被処理基体Wに引き込むイオンのエネルギーを制御するのに適した一定の周波数、例えば、13.65MHzの高周波電力を所定のパワーで出力する。マッチングユニット60は、高周波電源58側のインピーダンスと、主に電極、プラズマ、処理容器12といった負荷側のインピーダンスとの間で整合をとるための整合器を収容している。この整合器の中に自己バイアス生成用のブロッキングコンデンサが含まれている。
台14aの上面には、静電チャック14cが設けられている。静電チャック14cは、被処理基体Wを静電吸着力で保持する。静電チャック14cの径方向外側には、被処理基体Wの周囲を環状に囲むフォーカスリング14bが設けられている。静電チャック14cは、電極14d、絶縁膜14e、及び、絶縁膜14fを含んでいる。電極14dは、導電膜によって構成されており、絶縁膜14eと絶縁膜14fの間に設けられている。電極14dには、高圧の直流電源64がスイッチ66および被覆線68を介して電気的に接続されている。静電チャック14cは、直流電源64より印加される直流電圧により発生するクーロン力によって、被処理基体Wを吸着保持することができる。
台14aの内部には、周方向に延びる環状の冷媒室14gが設けられている。この冷媒室14gには、チラーユニット(図示せず)より配管70,72を介して所定の温度の冷媒、例えば、冷却水が循環供給される。冷媒の温度によって静電チャック14cの伝熱ガス、例えば、Heガスがガス供給管74を介して静電チャック14cの上面と被処理基体Wの裏面との間に供給される。
以下、図2を参照して、インジェクタ22及びその周囲の部分についてより詳細に説明する。図2は、図1に示すインジェクタ及びその周囲の部分を拡大して示す断面図である。
図2に示すように、略円板状の誘電体部材16には、軸線Xに沿って延びる貫通孔16aが形成されている。この貫通孔16aは、下方に向けてその径が小さくなるテーパ形状を有し得る。誘電体部材16においては、貫通孔16aの上方に空間16sが形成されている。空間16sは、例えば、軸線X中心に延びる誘電体部材16の内周面16b及び底面16cによって画成される。また、誘電体部材16には、空間16sの下側周縁に連続する環状の溝16gが形成されている。
配管部材24は、金属製の部材であり、例えば、ステンレス鋼によって構成される。配管部材24は、第1の部分24a、第2の部分24b、及び第3の部分24cを含んでいる。第1の部分24aは、軸線Xに沿って延在する管であり、内側導体20bの内孔の中に挿入されている。
第2の部分24bは、第1の部分24aの下方において当該第1の部分24aに連続している。第2の部分24bは、第1の部分24aの直径より大きい直径を有する。第2の部分24bには、第1の部分24aの内孔に連続する孔が設けられている。この第2の部分24bは、スロット板18bを内側導体20bの下端と当該第2の部分24bの間に狭持している。
第3の部分24cは、第2の部分24bの下側周縁に連続して下方に延在しており、環形状を有している。第3の部分24cの下端部分は、上述した溝16g内に収容されている。
図2に示すように、インジェクタ22は、誘電体製であり、略円板形状を有している。インジェクタ22は、バルク誘電体材料から構成され得る。インジェクタ22を構成する誘電体材料には、例えば、石英、Yといった材料を用いることができる。
インジェクタ22は、軸線Xに交差する方向に延在する二つの面22b及び22cを含んでいる。面22cは、面22bと対向しており、処理空間Sに面している。インジェクタ22には、面22cと面22bの間に延在する一以上の貫通孔22aが形成されている。このような形状を有するインジェクタ22は、例えば、バルク誘電体材料に対する機械加工を行なった後に、表面の破砕層をウェットエッチング等により除去することにより、製造され得る。破砕層の除去は、インジェクタ22をより化学的に安定的なものとし得る。
このインジェクタ22は、誘電体部材16の内部の空間16s内に配置されている。より具体的には、インジェクタ22は、空間16sを画成する底面16c上に載置されている。これにより、インジェクタ22は、当該底面16c、配管部材24の第2の部分24bの下面、及び、配管部材24の第3の部分24cによって画成される部分空間内に配置される。
配管部材24からの処理ガスは、このインジェクタ22の貫通孔22aを通り、次いで、誘電体部材16の貫通孔16aを通って、処理空間S内に供給される。即ち、インジェクタ22は、誘電体部材16の孔16aと共に処理空間Sに処理ガスを供給するための経路を構成している。このように、インジェクタ22の内部には処理ガスが通されるが、インジェクタ22は、誘電体材料によって構成されているので、当該処理ガスに対して化学的に安定している。したがって、インジェクタ22からのパーティクルの発生が低減され得る。
プラズマ処理装置10では、上記の配管部材24の第3の部分24cがインジェクタ22の周囲を覆う電界遮蔽部を構成している。この電界遮蔽部により、インジェクタ22の内部においてプラズマが発生し難くなっている。したがって、インジェクタ22からのパーティクルの発生が更に抑制され得る。
また、一実施形態においては、インジェクタ22は誘電体部材16の底面16cに接合されていてもよい。この接合には、例えば、拡散接合が用いられ得る。この構成は、インジェクタ22と誘電体部材16との間における間隙の発生を抑制し得る。これにより、処理空間S等から処理ガスが間隙を介して空間16sに逆流してプラズマ処理装置10の部品を汚染する事態を防止することができる。
また、一実施形態では、インジェクタ22に対する電界遮蔽部である第3の部分24cが、配管部材24の一部として構成され得る。即ち、電界遮蔽部が、インジェクタ22への処理ガスの配管と一体化され得る。これにより、電界遮蔽部の組立及び配置といった製造工程が簡易化される。
また、一実施形態においては、第3の部分24c、即ち電界遮蔽部は、インジェクタ22の面22cよりも、軸線X方向において処理空間Sに近い位置まで延在し得る。これにより、インジェクタ22が配置された空間における電界強度が更に低減される。その結果、インジェクタ22の内部におけるプラズマの発生が更に抑制され、インジェクタ22からのパーティクルの発生が更に抑制される。
ここで、電界遮蔽部の下端面、即ち、第3の部分24cの下端面24dとインジェクタ22の面22cとの間の軸線X方向の距離Gと、インジェクタ22が配置された空間における電界強度との関係のシミュレーション結果について説明する。
このシミュレーションでは、距離Gを3.0mm、2.2mm、−2.8mm、−7.3mmに設定した。なお、負の距離Gは、電界遮蔽部の下端面(24d)が、インジェクタ22の面22cよりも上方に位置することを示している。このシミュレーションによれば、距離G=3mmで、電界強度は3600[V/m]であり、距離G=2.2mmで、電界強度は5397[V/m]であり、距離G=−2.8mmで、電界強度は9010[V/m]であり、距離G=−7.3mmで、電界強度は11422[V/m]であった。この結果から、電界遮蔽部の下端面(24d)を、インジェクタ22の面22cよりも下方に設けることで、電界強度を小さくして、インジェクタ22の内部におけるプラズマの発生を効果的に抑制し得ることが確認される。
以下、インジェクタの種々の別の実施形態について説明する。図3は、別の実施形態に係るインジェクタ及びその周囲の部分を拡大して示す断面図である。図3に示す構成では、誘電体部材16に代わる誘電体部材16Aが用いられている。また、インジェクタ22に代わるインジェクタ22Aが用いられている。以下、図2に示した構成と異なる点に関して、図3に示す構成を説明する。
誘電体部材16Aには、貫通孔16aとは異なり、軸線X方向に沿って略一定の直径を有する貫通孔16Aaが形成されている。また、誘電体部材16Aとインジェクタ22Aとが、一体形成されている。この構成によれば、インジェクタ22Aと誘電体部材16Aとの間の間隙の発生がより確実に防止される。
次に図4〜図5を参照する。図4は、別の実施形態に係るインジェクタを示す平面図である。図4には、インジェクタを上方からみた平面図が示されている。図5は、図4のV−V線に沿ってとった断面図である。図1〜図3に示したプラズマ処理装置のインジェクタの貫通孔は、例えば、貫通孔の貫通方向に直交する平面における形状として円形の形状を有し得る。インジェクタの貫通孔の形状はかかる形状に限定されるものではなく、インジェクタには、図4及び図5に示すように、スリット状の貫通孔22aが形成されていてもよい。具体的には、スリット状の貫通孔22aは、略長方形又は長円形の平面形状を有し得る。このように貫通孔22aをスリット状の貫通孔として形成することにより、貫通孔22aは、幅の狭い孔となる。かかるスリット状の貫通孔22aにより、貫通孔22aにおけるプラズマの発生が更に抑制される。プラズマの発生が抑制されることにより、インジェクタの表面における堆積物の発生が抑制され、また、貫通孔22aを画成するインジェクタの壁面の消耗が抑制され得る。
図5に示すように、一実施形態においては、スリット状の貫通孔22aは、処理空間Sに近いほど幅が狭くなるように形成されていてもよい。即ち、貫通孔22aは、面22bから面22cに近づくにつれて幅が狭くなるテーパ形状を有していてもよい。このようなテーパ形状の貫通孔は、例えば、レーザ加工によって形成され得る。
一実施形態においては、スリット状の貫通孔22aの最狭部における幅が、0.2mm以下であってもよい。ここで、最狭部とは、貫通孔22aの貫通方向、即ち軸線X方向において最も狭い幅を提供する貫通孔22aの部位である。この実施形態によれば、スリット状の貫通孔22aの最狭部の幅がデバイ長λよりも狭くなる。このデバイ長λは、下記の式(1)によって定義される。
Figure 0005955062

式(1)においてTは電子温度であり、nは電子密度である。このように定義されるデバイ長よりも狭い幅の空間では、プラズマは発生しない。ここで、プラズマ処理装置10の使用時において想定される電子温度は少なくとも4eVであり、電子密度は高くとも5×1010cm−3である。したがって、プラズマ処理装置10において想定されるデバイ長は少なくとも0.2mm以上となる。したがって、スリット状の貫通孔22aの最狭部の幅を0.2mm以下とすることで、貫通孔22aにおけるプラズマの発生をより効果的に抑制することができる。
以下、図4及び図5に示したインジェクタを有するプラズマ処理装置10について行った実験結果について説明する。この実験では、インジェクタの厚み、即ち、面22bと面22cとの間の距離を4mmとし、スリット状の貫通孔22aの面22b側の幅W1を0.25mmとし、スリット状の貫通孔22aの面22c側の幅W2を0.1mmとした。その他の条件は以下の通りとした。
マイクロ波の電力 2000W
マイクロ波の周波数 2.45GHz
RFバイアスの電力 120W
RFバイアスの周波数 13.56MHz
処理ガス 1000sccmのArガス、5sccmのCHガス、及び、2sccmのOガスを含む処理ガスの混合ガス
流量比(インジェクタからの流量:ガス供給部42からの流量) 30:70
処理容器内圧力:20mTorr(2.666Pa)
この実験の結果、貫通孔22aにおけるプラズマに基づく発光は観察することができないレベルであり、面22bにおいては炭素を含有する堆積物は観察されなかった。したがって、インジェクタ内部におけるプラズマの発生が抑制されること、また、インジェクタの表面における堆積物の発生が抑制されることが確認された。したがって、貫通孔22aを画成するインジェクタの内壁の消耗が抑制され、また、パーティクルの発生が抑制されることが確認された。
次に図6を参照する。図6は、別の実施形態に係るインジェクタを示す平面図である。図4に示した貫通孔22aの各々は、一方向に延びたスリット状の貫通孔であった。別の実施形態においては、スリット状の貫通孔22aは、図6に示すように、貫通方向(即ち、軸線X)に平行な蛇行面に沿って形成された貫通孔であってもよい。図6に示す実施形態によっても、幅の狭い貫通孔が提供され、貫通孔22a内におけるプラズマの発生が抑制され得る。
以上、種々の実施形態について説明したが、本発明の思想は、種々の変形態様を構成し得る。例えば、上述したプラズマ処理装置10は、プラズマ源としてラジアルラインスロットアンテナから供給されるマイクロ波を用いるプラズマ処理装置であるが、他のタイプのプラズマ処理装置にも本発明の思想を適用し得る。例えば、SWP(Surface Wave Plasma、表面波プラズマ)型のプラズマ処理装置における誘電体窓内に上述したインジェクタ及び電界遮蔽部が用いられてもよい。また、ECR(Electron Cyclotron Resonance、電子サイクロトン共鳴)型のプラズマ処理装置における誘電体窓内に上述したインジェクタ及び電界遮蔽部が用いられてもよい。かかるECR型のプラズマ処理装置には、例えば、国際公開第99/49705号に記載されたECR型のプラズマ処理装置を基本構成として採用し得る。
10…プラズマ処理装置、12…処理容器、14…ステージ、16…誘電体部材、18アンテナ、20…同軸導波管、22…インジェクタ、22b…インジェクタの孔、22b…インジェクタの第1面、22c…インジェクタの第2面、24…配管部材、24c…第3の部分(電界遮蔽部)、24d…下端面、30…マイクロ波発生器、32…導波管、34…モード変換器、36…冷却ジャケット、40…ガス供給系、42…ガス供給部、44…ガス供給系、S…処理空間、W…被処理基体。

Claims (11)

  1. 処理空間を画成する処理容器と、
    前記処理容器内に設けられたステージと、
    前記ステージに対面するように設けられた誘電体部材と、
    前記誘電体部材を介して前記処理空間内にマイクロ波を導入する手段であり、複数のスロットが形成された金属製のスロット板を有するアンテナを含み、該スロット板が前記誘電体部材の直上に設けられた、該手段と、
    一以上の貫通孔を有する誘電体製のインジェクタであり、前記誘電体部材の内部に配置され、前記誘電体部材に形成された貫通孔と共に前記処理空間に処理ガスを供給するための経路を画成する該インジェクタと、
    前記インジェクタの周囲を覆う電界遮蔽部と、
    を備えるプラズマ処理装置。
  2. 前記インジェクタは、バルク誘電体材料から構成されている、請求項1に記載のプラズマ処理装置。
  3. 前記インジェクタは、前記誘電体部材に接合されている、請求項1又は2に記載のプラズマ処理装置。
  4. 前記インジェクタは、前記誘電体部材と一体形成されている、請求項1又は2に記載のプラズマ処理装置。
  5. 前記インジェクタは、第1面と前記第1面に対向し前記処理空間に面した第2面とを含み、
    前記インジェクタの前記一以上の貫通孔は、前記第1面と前記第2面の間に延在しており、
    前記電界遮蔽部は、前記第1面から前記第2面に向かう方向において、前記第2面よりも前記処理空間に近い位置まで延在している、
    請求項1〜4の何れか一項に記載のプラズマ処理装置。
  6. 前記マイクロ波を導入する手段は、前記アンテナに結合された同軸導波管を更に含み、
    前記複数のスロットは、前記スロット板において径方向及び周方向に配置されており、
    前記同軸導波管の内側導体の内孔の中に配置された配管から前記処理ガスが前記インジェクタに供給される、
    請求項1〜5の何れか一項に記載のプラズマ処理装置。
  7. 前記電界遮蔽部は、前記配管に一体化されている、請求項6に記載のプラズマ処理装置。
  8. 前記インジェクタは、石英製である、請求項1〜7の何れか一項に記載のプラズマ処理装置。
  9. 前記インジェクタの前記一以上の貫通孔の各々は、スリット状の貫通孔である、請求項1〜8の何れか一項に記載のプラズマ処理装置。
  10. 前記インジェクタの前記一以上の貫通孔の各々は、前記処理空間に近いほど幅が狭くなるように形成されている、請求項9に記載のプラズマ処理装置。
  11. 前記インジェクタの前記一以上の貫通孔の最狭部における幅が、0.2mm以下である、請求項9又は10に記載のプラズマ処理装置。
JP2012088583A 2011-04-25 2012-04-09 プラズマ処理装置 Expired - Fee Related JP5955062B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2012088583A JP5955062B2 (ja) 2011-04-25 2012-04-09 プラズマ処理装置
TW101114452A TWI452597B (zh) 2011-04-25 2012-04-24 Plasma processing device
US13/454,513 US9111726B2 (en) 2011-04-25 2012-04-24 Plasma processing apparatus
KR20120043187A KR101304408B1 (ko) 2011-04-25 2012-04-25 플라즈마 처리 장치
CN201210125069.8A CN102760632B (zh) 2011-04-25 2012-04-25 等离子体处理装置

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2011097336 2011-04-25
JP2011097336 2011-04-25
JP2012088583A JP5955062B2 (ja) 2011-04-25 2012-04-09 プラズマ処理装置

Publications (2)

Publication Number Publication Date
JP2012238845A JP2012238845A (ja) 2012-12-06
JP5955062B2 true JP5955062B2 (ja) 2016-07-20

Family

ID=47020377

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012088583A Expired - Fee Related JP5955062B2 (ja) 2011-04-25 2012-04-09 プラズマ処理装置

Country Status (5)

Country Link
US (1) US9111726B2 (ja)
JP (1) JP5955062B2 (ja)
KR (1) KR101304408B1 (ja)
CN (1) CN102760632B (ja)
TW (1) TWI452597B (ja)

Families Citing this family (252)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010004836A1 (ja) * 2008-07-09 2010-01-14 東京エレクトロン株式会社 プラズマ処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5377587B2 (ja) * 2011-07-06 2013-12-25 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102012103425A1 (de) * 2012-04-19 2013-10-24 Roth & Rau Ag Mikrowellenplasmaerzeugungsvorrichtung und Verfahren zu deren Betrieb
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5717888B2 (ja) * 2013-02-25 2015-05-13 東京エレクトロン株式会社 プラズマ処理装置
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6501493B2 (ja) * 2014-11-05 2019-04-17 東京エレクトロン株式会社 プラズマ処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
JP6344437B2 (ja) 2016-07-27 2018-06-20 トヨタ自動車株式会社 高周波供給構造
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6749258B2 (ja) * 2017-01-31 2020-09-02 東京エレクトロン株式会社 マイクロ波プラズマ源、マイクロ波プラズマ処理装置、およびプラズマ処理方法
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11508556B2 (en) * 2017-05-16 2022-11-22 Tokyo Electron Limited Plasma processing apparatus
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7002268B2 (ja) * 2017-09-28 2022-01-20 東京エレクトロン株式会社 プラズマ処理装置
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11572624B2 (en) * 2018-12-13 2023-02-07 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3834958B2 (ja) * 1997-09-30 2006-10-18 株式会社日立製作所 プラズマ処理装置
WO1999049705A1 (fr) * 1998-03-20 1999-09-30 Tokyo Electron Limited Dispositif de traitement plasmique
US6528752B1 (en) * 1999-06-18 2003-03-04 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US6622650B2 (en) * 1999-11-30 2003-09-23 Tokyo Electron Limited Plasma processing apparatus
US6562190B1 (en) * 2000-10-06 2003-05-13 Lam Research Corporation System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
KR100883696B1 (ko) 2002-11-20 2009-02-13 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
JPWO2006112392A1 (ja) 2005-04-18 2008-12-11 北陸成型工業株式会社 シャワープレート及びその製造方法
JP5082229B2 (ja) * 2005-11-29 2012-11-28 東京エレクトロン株式会社 プラズマ処理装置
JP5068458B2 (ja) 2006-01-18 2012-11-07 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP5324026B2 (ja) * 2006-01-18 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の制御方法
KR101119627B1 (ko) 2007-03-29 2012-03-07 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
JP2009021220A (ja) 2007-06-11 2009-01-29 Tokyo Electron Ltd プラズマ処理装置、アンテナおよびプラズマ処理装置の使用方法
CN101971298A (zh) * 2007-11-02 2011-02-09 佳能安内华股份有限公司 表面处理设备和表面处理方法
WO2009091189A2 (en) * 2008-01-16 2009-07-23 Sosul Co., Ltd. Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
JP5227197B2 (ja) 2008-06-19 2013-07-03 東京エレクトロン株式会社 フォーカスリング及びプラズマ処理装置
JP5304061B2 (ja) * 2008-07-09 2013-10-02 東京エレクトロン株式会社 プラズマ処理装置
WO2010004836A1 (ja) * 2008-07-09 2010-01-14 東京エレクトロン株式会社 プラズマ処理装置
JP5423205B2 (ja) 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置

Also Published As

Publication number Publication date
US9111726B2 (en) 2015-08-18
CN102760632A (zh) 2012-10-31
CN102760632B (zh) 2015-07-29
KR101304408B1 (ko) 2013-09-05
JP2012238845A (ja) 2012-12-06
TW201306077A (zh) 2013-02-01
TWI452597B (zh) 2014-09-11
US20120267048A1 (en) 2012-10-25
KR20120120911A (ko) 2012-11-02

Similar Documents

Publication Publication Date Title
JP5955062B2 (ja) プラズマ処理装置
JP5717888B2 (ja) プラズマ処理装置
KR100498584B1 (ko) 플라즈마처리장치및플라즈마처리방법
JP3123883U (ja) プラズマ処理チャンバ内で使用されるプロセスキット
KR100497015B1 (ko) 플라즈마 처리 장치, 플라즈마 처리 방법 및 지파판
US7754997B2 (en) Apparatus and method to confine plasma and reduce flow resistance in a plasma
JP5851899B2 (ja) プラズマ処理装置
KR101496841B1 (ko) 혼합형 플라즈마 반응기
JP2010258461A (ja) プラズマ処理装置、およびプラズマ処理装置用の天板
JP2010525612A (ja) 環状のバッフル
US20150194290A1 (en) Plasma processing apparatus
US20180138018A1 (en) Dual-frequency surface wave plasma source
WO2013172456A1 (ja) プラズマ処理装置、及びプラズマ処理方法
JP5525504B2 (ja) プラズマ処理方法及びプラズマ処理装置
KR101484652B1 (ko) 플라즈마 처리 장치
KR102589743B1 (ko) 균일한 가스 분배를 위한 가스 분배 플레이트를 포함하는 플라즈마 챔버
JP3169134U (ja) プラズマ処理装置
JP2005079416A (ja) プラズマ処理装置
KR20080028518A (ko) 대면적 플라즈마 처리를 위한 유도 결합 플라즈마 반응기
JP5304061B2 (ja) プラズマ処理装置
JP2008166844A (ja) プラズマ処理装置
JP6117763B2 (ja) プラズマ処理装置
JP2005079603A (ja) プラズマ処理装置
KR101885108B1 (ko) 기판 처리 장치
KR101161200B1 (ko) 플라즈마 처리 장치 및 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150204

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150910

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150915

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151102

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160524

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160614

R150 Certificate of patent or registration of utility model

Ref document number: 5955062

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees