CN102760632A - 等离子体处理装置 - Google Patents

等离子体处理装置 Download PDF

Info

Publication number
CN102760632A
CN102760632A CN2012101250698A CN201210125069A CN102760632A CN 102760632 A CN102760632 A CN 102760632A CN 2012101250698 A CN2012101250698 A CN 2012101250698A CN 201210125069 A CN201210125069 A CN 201210125069A CN 102760632 A CN102760632 A CN 102760632A
Authority
CN
China
Prior art keywords
injector
hole
processing apparatus
plasma processing
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012101250698A
Other languages
English (en)
Other versions
CN102760632B (zh
Inventor
茂山和基
石桥清隆
森田治
谷川雄洋
松本直树
三原直辉
吉川弥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102760632A publication Critical patent/CN102760632A/zh
Application granted granted Critical
Publication of CN102760632B publication Critical patent/CN102760632B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32229Waveguides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明提供一种等离子处理装置,其具备:处理容器;工作台;电介质部件;导入微波的单元;喷射器;和电场屏蔽部。处理容器在其内部划分形成处理空间。工作台设置在处理容器内。电介质部件面对工作台设置。导入微波的单元经由电介质部件将微波导入处理空间内。喷射器为电介质制,具有一个以上的贯通孔。喷射器例如由松散电介质材料构成。该喷射器配置在电介质部件的内部。喷射器与形成在电介质部件上的贯通孔一起划分形成用于向处理空间供给处理气体的路径。电场屏蔽部覆盖喷射器的周围。

Description

等离子体处理装置
技术领域
本发明涉及等离子体处理装置。
背景技术
在下述专利文献1中记载了一种等离子体处理装置。在专利文献1中记载的等离子装置,具备:处理容器;工作台;微波发生器;天线;电介质窗;同轴波导管;和喷射器基底。
工作台收纳在处理容器的内部。在工作台上载置被处理基体。天线设置在工作台的上方。天线通过同轴波导管与微波发生器连接。该天线包括形成有缝隙的缝隙板。电介质窗设置在天线和工作台上方的处理空间之间。
电介质窗形成有用于收纳喷射器基底的空间,并且形成有从该空间向处理空间延伸的贯通孔。喷射器基底通过在氧化铝制的基材上形成Y2O3膜而制成。在该喷射器基底上形成有贯通孔。
该等离子体处理装置,通过同轴波导管的内侧导体的内孔、喷射器基底的贯通孔和电介质窗的贯通孔,向处理空间内供给处理气体。
现有技术文献
专利文献1:特开2010-21243号公报
发明内容
发明想要解决的问题
本申请的发明者,在专利文献1记载的等离子体处理装置中,进一步进行抑制颗粒的产生的研究。该研究中,本申请发明者,在使用氟类处理气体的情况下,发现产生数十nm直径的少量颗粒。
因此,在本技术领域中,为了制造更高性能的半导体装置,需要进一步降低颗粒的产生。
用于解决课题的方法
本发明的一个方面的等离子处理装置,具备:处理容器;工作台;电介质部件;导入微波的单元;喷射器;和电场屏蔽部。处理容器在其内部划分形成处理空间。工作台设置在处理容器内。电介质部件面对工作台设置。导入微波的单元通过电介质部件将微波导入处理空间内。喷射器为电介质制,具有一个以上的贯通孔。喷射器例如由松散电介质材料构成。该喷射器配置在电介质部件的内部。喷射器与在电介质部件上形成的贯通孔一起划分形成用于向处理空间供给处理气体的路径。电场屏蔽部覆盖喷射器的周围。
如上所述现有的喷射器基底,由于在Y2O3等基材表面的膜中所包含的材料的还原或氟化的化学反应,具有产生颗粒的问题。另一方面,本发明的一个方面的等离子体处理装置的喷射器为电介质制的喷射器(例如由松散石英材料构成),因此化学稳定。此外,在该等离子体处理装置中,在电介质制的喷射器的周围设置有电场屏蔽部,因此能够抑制在喷射器内部的等离子体的产生。因此,根据该等离子体处理装置,进一步抑制颗粒的产生。
在一个实施方式中,喷射器也可以与电介质部件接合。在其它的实施方式中,喷射器也可以与电介质材料一体形成。通过喷射器与电介质部件接合或者与电介质部件一体成型,能够防止在喷射器和电介质部件之间产生缝隙。由此,能够防止从喷射器和电介质部件之间的缝隙泄漏处理气体,防止等离子体处理装置内的部件被污染。
在一个实施方式中,喷射器包括:第一表面;和与该第一表面相对、面向处理空间的第二表面,喷射器的一个以上的贯通孔在第一表面和第二表面之间延伸,电场屏蔽部在从第一表面朝向第二表面的方向上延伸至比该第二表面更接近处理空间的位置。根据该实施方式,喷射器内部中的电场强度进一步降低。其结构,能够进一步抑制喷射器内部中的等离子体的发生。
在一个实施方式中,导入微波的单元包括同轴波导管和与同轴波导管接合的天线。天线包括在径向上和周向上形成有多个缝隙的金属制的缝隙板。处理气体也可以从配置在同轴波导管的内侧导体的内孔中配置的配管供给。在该实施方式中,电场屏蔽部也可以与该配管一体化。
在一个实施方式中,喷射器的一个以上的贯通孔各自也可以为狭缝状的贯通孔。贯通孔通过形成为狭缝状,形成宽度窄的贯通孔。因此,进一步抑制在喷射器的内部即喷射器的贯通孔的等离子体的产生。另外,狭缝状的贯通孔也包括沿与该贯通孔的贯通方向平行的蛇形面形成的贯通孔。
在一个实施方式中,喷射器的一个以上的贯通孔各自也可以以越接近所述处理空间宽度越窄的方式形成。在一个实施方式中,该形状的贯通孔通过激光加工形成。
在一个实施方式中,所述喷射器的所述一个以上的贯通孔的最窄部的宽度也可以在0.2mm以下。在此,最窄部是在贯通孔的贯通方向上提供最窄宽度的该贯通孔的部位。根据该实施方式,狭缝状的贯通孔的最窄部的宽度比德拜长度(Debye length)窄,所以能够进一步有效地防止喷射器内部的等离子体的产生。
如以上说明,根据本发明的一个方面,提供能够降低颗粒的发生的等离子体处理装置。
附图说明
图1是概略性的表示一个实施方式的等离子体处理装置的截面图。
图2是将图1所示的喷射器和其周围的部分放大表示的截面图。
图3是将其他的实施方式的喷射器和其周围部分放大表示的截面图。
图4是表示其它的实施方式的喷射器的俯视图。
图5是沿图4的V-V线的截面图。
图6是表示其它的实施方式的喷射器的俯视图。
符号说明
10等离子体处理装置
12处理容器
14工作台
16电介质部件
18天线
20同轴波导管
22喷射器
22b喷射器的孔
22b喷射器的第一表面(第一面)
22c喷射器的第二表面(第二面)
24配管部件
24c第三部分(电场屏蔽部)
24d下端面
30微波发生器
32波导管
34模式转换器
36冷却套管
40气体供给系统
42气体供给部
44气体供给系统
S  处理空间
W被处理基体
具体实施方式
以下,参照附图,对各种实施方式进行详细说明。其中,在各附图中,对相同或相当的部分付以相同的符号。
图1是概略性的表示一个实施方式的等离子体处理装置的截面图。如图1所示的等离子体处理装置10,具备:处理容器12;工作台14;电介质部件16;天线18;同轴波导管20;喷射器22;和配管部件24。
处理容器12划分形成用于对被处理基体W进行等离子体处理用的处理空间S。处理容器12包括侧壁12a和底部12b。侧壁12a具有在轴线X方向上延伸的大致筒形形状。底部12b设置在侧壁12a的下端一侧。在底部12b上设置有排气用的排气孔12h。侧壁12a的上端部开口。
侧壁12a的上端部开口通过称为电介质窗的电介质部件16关闭。在该电介质部件16和侧壁12a的上端部之间也可以设置O型环28。通过该O型环28,可靠地密闭处理容器12。
等离子体处理装置10还具备微波发生器30。微波发生器30产生例如2.45GHz的频率的微波。微波发生器30具有调谐器30a。微波发生器30经由波导管32和模式转换器34,与同轴波导管20的上部连接。
同轴波导管20沿着轴线X延伸。同轴波导管20包括外侧导体20a和内侧导体20b。外侧导体20a具有在轴线X方向上延伸的筒形形状。外侧导体20a的下端与冷却套管36的上部电连接。内侧导体20b设置在外侧导体20a的内侧。内侧导体20b沿着轴线X延伸。内侧导体20b的下端与天线18的缝隙板18b连接。
天线18包括电介质板18a和缝隙板18b。电介质板18a具有大致圆板形形状。电介质板18a例如由石英或氧化铝构成。电介质板18a夹持于缝隙板18b和冷却套管36的下表面之间。由此,天线18由电介质板18a、缝隙板18b和冷却套管36的下表面构成。
缝隙板18b为形成有多个缝隙的大致圆板状的金属板。在一个实施方式中,天线18也可以为径向直线缝隙天线。即,在一个实施方式中,在缝隙板18b上形成有多个缝隙对。各缝隙对包括在相互交叉或在正交的方向上延伸的两个缝隙。多个缝隙对以轴线X为中心,在径向上以规定间隔配置。此外,在周向上以规定间隔配置。由微波发生器30发生的微波,通过同轴波导管20,传播到电介质板18a,从缝隙板18b的缝隙导入电介质部件16。
电介质部件16具有大致圆板形形状,例如由石英或氧化铝构成。电介质部件16与工作台14在轴线X方向上相对设置,另外,设置在缝隙板18b的正下方。电介质部件16透过从天线18接受的微波导入处理空间S内。由此,在电介质部件16的正下方产生电场,在处理空间S内发生等离子体。如此,根据等离子体处理装置10,不需要施加磁场,使用微波就能够产生等离子体。
在一个实施方式中,在电介质部件16的下表面能够划分形成凹部16d。凹部16d环状地设置在轴线X周围,具有锥形形状。该凹部16d为了促进由导入的微波产生的驻波而设置,能够通过微波有效地产生等离子体。
在等离子体处理装置10中,内侧导体20b能够具有沿着轴线X延伸的筒形形状。在该内侧导体20b的内部插入有配管部件24。配管部件24的一端与气体供给体系40连接。气体供给体系40能够由质量流量控制器这样的流量控制器40a和开关阀40b构成。在一个实施方式中,来自气体供给体系40的处理气体通过配管部件24供给到喷射器22。来自配管部件24的处理气体,通过喷射器22和形成电介质部件16上的贯通孔16a供给到处理空间S。
在一个实施方式中,等离子体处理装置10还具备其他的气体供给部42。气体供给部42包括气体管42a。气体管42a在电介质部件16和工作台14之间在轴线X周围延伸为环状。在气体管42a上设置在朝向轴线X的方向上喷射气体的多个气体喷射孔42b。该气体供给部42与气体供给系统44连接。
气体供给系统44包括:气体管44a;开关阀44b;和质量流量控制器这样的流量控制器44c。通过流量控制器44c、开关阀44b和气体管44a,向气体供给部42的气体管42a供给处理气体。其中,气体管44a贯通处理容器12的侧壁12a。气体供给部42的气体管42a通过该气体管44a能够支承在侧壁12a上。
工作台14设置为在天线18和该工作台14之间夹持处理空间S。在该工作台14上载置有被处理基体W。在一个实施方式中,工作台14包括:台14a;聚焦环14b;和静电卡盘14c。
台14a支承在筒状支承部46。筒状支承部46由绝缘性的材料构成,从底部12b向垂直上方延伸。此外,在筒状支承部46的外周设置有导电性的筒状支承部48。筒状支承部48沿着筒状支承部46的外周从处理容器12的底部12b向垂直上方延伸。在该筒状支承部46和侧壁12a之间形成有环状的排气路径50。
在排气路径50上部安装有环状的挡板52,该环状的挡板52设置有多个贯通孔。排气孔12h的下部通过排气管54与排气装置56连接。排气装置56具有涡轮分子泵等的真空泵。通过排气装置56,能够将处理容器12内的处理空间S减压至期望的真空度。
台14a兼作为高频电极。台14a通过匹配单元60和供电棒62与RF偏压用的高频电源58电连接。高频电源58以规定的功率输出适合于对引入到被处理基体W上的离子的能量进行控制的固定的频率——例如13.65MHz——的高频电力。匹配单元60收纳用于整合高频电源58侧的阻抗和主要是电极、等离子体、处理容器12的所谓负荷侧的阻抗之间的匹配器。在该匹配器中包括自偏压生成用的级间耦合电容器。
在台14a的上表面设置有静电卡盘14c。静电卡盘14c通过静电吸附力保持被处理基体W。在静电卡盘14c的径向外侧设置有环状包围被处理基体W的周围的聚焦环14b。静电卡盘14c包括:电极14d;绝缘膜14e;和绝缘膜14f。电极14d由导电膜构成,设置在绝缘膜14e和绝缘膜14f之间。电极14d通过开关66和覆盖线68与高压的直流电源64电连接。静电卡盘14c通过由直流电源64施加的直流电压产生的库仑力,能够吸附保持被处理基体W。
在台14a的内部设置有在周向上延伸的环状的制冷剂室14g。该制冷剂室14g通过制冷机(未图示)经由配管70、72被循环供给规定温度的制冷剂,例如冷却水。通过制冷剂的温度,静电卡盘14c的传热气体例如He气体,经由气体供给管74供给到静电卡盘14c的上表面和被处理基体W的背面之间。
以下,参照图2,进一步详细地说明喷射器22及其周围的部分。图2为将图1所示的喷射器及其周围的部分放大表示的截面图。
如图2所示,在大致圆板形状的电介质部件16上形成有沿着轴线X延伸的贯通孔16a。该贯通孔16a具有向着下方其直径逐渐减小的锥形。在电介质部件16中,在贯通孔16a的上方形成有空间16s。空间16s,例如通过在轴线X中心延伸的电介质部件16的内周面16b和底面16c划分形成。此外,在电介质部件16上形成有与空间16s的下侧周边连续的环状的槽16g。
配管部件24为金属制部件,例如由不锈钢构成。配管部件24包括:第一部分24a;第二部分24b;和第三部分24c。第一部分24a为沿着轴线X延伸的管,插入内侧导体20b的内孔中。
第二部分24b在第一部分24a的下方与该第一部分24a连续。第二部分24b具有比第一部分24a的直径大的直径。在第二部分24b上设置有与第一部分24a的内孔连续的孔。该第二部分24b,将缝隙板18b夹持在内侧导体20b的下端和该第二部分24b之间。
第三部分24c与第二部分24b的下侧周边连续并向下方延伸,具有环形形状。第三部分24c的下端部分收纳在上述槽16g内。
如图2所示,喷射器22为电介质制,具有大致圆板形形状。喷射器22能够由松散的电介质材料构成。构成喷射器22的电介质材料,例如能够使用石英、Y2O3等的材料。
喷射器22包括在与轴线X交叉的方向上延伸的两个表面22b和22c。表面22c与表面22b相对,面向处理空间S。在喷射器22上形成有在表面22c和表面22b之间延伸的一个以上的贯通孔22a。具有该形状的喷射器22,例如能够在对松散电介质材料进行机械加工后,通过湿蚀刻等除去表面的破碎层,由此制造。除去破碎层,能够获得化学稳定更好的喷射器22。
该喷射器22配置在电介质部件16的内部的空间16s内。更加具体来说,喷射器22载置在划分形成空间16s的底面16c上。由此,喷射器22,配置在由该底面16c、配管部件24的第二部分24b的下表面和配管部件24的第三部分24c划分形成的部分空间内。
来自配管部件24的处理气体,通过该喷射器22的贯通孔22a,接着,通过电介质部件16的贯通孔16a,供给到处理空间S内。即,喷射器22与电介质部件16的孔16a一起构成用于向处理空间S供给处理气体用的路径。如此,在喷射器22内部通过处理气体,但是喷射器22由电介质材料构成,因此,相对该处理气体化学稳定。因此,能够降低来自喷射器22的颗粒的产生。
在等离子体处理装置10中,上述配管部件24的第三部分24c构成覆盖喷射器22的周围的电场屏蔽部。通过该电场屏蔽部,在喷射器22的内部难以产生等离子体。因此,能够进一步抑制来自喷射器22的颗粒的产生。
此外,在一个实施方式中,喷射器22也可以与电介质部件16的底面16c接合。该接合使用扩散接合。该结构能够抑制在喷射器22和电介质部件16之间产生缝隙。由此,能够防止处理气体从处理空间S等通过缝隙向空间16s逆流而污染等离子体处理装置10的部件的问题。
此外,在一个实施方式中,作为喷射器22的电场屏蔽部的第三部分24c,作为配管部件24的一部分构成。即,电场屏蔽部与向喷射器22供给处理气体的配管一体化。由此,电场屏蔽部的组装和配置的制造工序能够简单化。
此外,在一个实施方式中,第三部分24c即电场屏蔽部延伸至比喷射器22的表面22c在轴线X方向上更靠近处理空间S的位置。由此,配置有喷射器22的空间中的电场强度进一步降低。其结果,能够进一步抑制在喷射器22的内部产生等离子体,能够进一步抑制来自喷射器22的颗粒的产生。
在此,对电场屏蔽部的下端面、即第三部分24c的下端面24d和喷射器22的表面22c之间的轴线X方向的距离G,和配置喷射器22的空间中的电场强度的关系的模拟试验结果进行说明。
在该模拟实验中,将距离G设定为3.0mm、2.2mm、-2.8mm、-7.3mm。其中,负的距离G表示电场屏蔽部的下端面(24d)与喷射器22的表面22c相比位于更上方。根据该模拟实验,距离G=3mm,电场强度为3600[V/m],距离G=2.2mm,电场强度为5397[V/m],距离G=-2.8mm,电场强度为9010[V/m],距离G=-7.3mm,电场强度为11422[V/m]。根据该结果能够确认,电场屏蔽部的下端面(24d)设置在喷射器22的面22c的更下方,电场强度变小,能够有效抑制喷射器22的内部的等离子体的产生。
以下,对喷射器的其他的实施方式进行说明。图3为将其他方式的喷射器及其周围部分放大的截面图。在图3所示的结构中,使用电介质部件16代替电介质部件16A。此外,使用喷射器22A代替喷射器22。以下,关于与如图2所示的结构的不同点,对图3所示的结构进行说明。
在电介质部件16A上形成有与贯通孔16a不同的沿着轴线X方向具有大致固定的直径的贯通孔16Aa。此外,电介质部件16A和喷射器22A一体形成。根据该结构,能够更加可靠地防止喷射器22A和电介质部件16A之间的缝隙的产生。
接着,参照图4~图5。图4是表示其他的实施方式的喷射器的俯视图。图4表示从上方观看喷射器的俯视图。图5是沿图4的V-V线的截面图。图1~图3所示的等离子体处理装置的喷射器的贯通孔,例如,作为与贯通孔的贯通方向正交的平面上的形状能够具有圆形的形状。喷射器的贯通孔的形状并不限定于该形状,也可以如图4和图5所示,在喷射器形成有狭缝状的贯通孔22a。具体而言,狭缝状的贯通孔22a能够具有大致长方形或长圆形的平面形状。像这样通过使贯通孔22a形成为狭缝状的贯通孔,贯通孔22a成为宽度窄的孔。利用该狭缝状的贯通孔22a,能够进一步抑制贯通孔22a的等离子体的产生。通过抑制等离子体的产生,能够抑制喷射器的表面上的堆积物的产生,此外,能够抑制划分形成贯通孔22a的喷射器的边界的壁面的消耗。
如图5所示,在一个实施方式中,狭缝状的贯通孔22a也可以以越接近处理空间S宽度越窄的方式形成。即,贯通孔22a也可以具有随着从表面22b接近表面22c而宽度变窄的锥形形状。这样的锥形形状的贯通孔,例如能够通过激光加工而形成。
在一个实施方式中,狭缝状的贯通孔22a的最窄部的宽度也可以为0.2mm以下。在此,最窄部是在贯通孔22a的贯通方向即轴线X方向上提供最窄的宽度的贯通孔22a的部位。根据该实施方式,狭缝状的贯通孔22a的最窄部的宽度比德拜长度λD窄。该德拜长度λD由下式(1)定义。
(公式1)
λ D ( cm ) = 7.43 × 10 2 T e ( eV ) n 0 ( cm - 3 ) · · · ( 1 )
式(1)中Te是电子温度,no是电子密度。在像这样定义的比德拜长度窄的宽度的空间中,不产生等离子体。在此,等离子体处理装置10使用时假定的电子温度至少为4eV,电子密度最高为5×1010cm-3。因此,等离子体处理装置10中假定的德拜长最少为0.2mm以上。因此,通过使狭缝状的贯通孔22a的最窄部的宽度为0.2mm以下,能够更加有效地抑制贯通孔22a的等离子体的产生。
下面,对具有图4和图5所示的喷射器的等离子体处理装置10进行实验,对实验结果进行说明。在该实验中,喷射器的厚度,即表面22b与表面22c之间的距离为4mm,狭缝状的贯通孔22a的表面22b侧的宽度W1为0.25mm,狭缝状的贯通孔22a的表面22c侧的宽度W2为0.1mm。其他条件如下。
Figure BDA0000157076380000102
该实验的结果是,基于贯通孔22a中的等离子体的发光是不能观察到的等级,在表面22b中没有观察到含碳的堆积物。因此确认:喷射器内部的等离子体的产生受到抑制,此外,喷射器的表面的堆积物的产生受到抑制。因此确认;划分形成贯通孔22a的边界的喷射器的内壁的消耗受到抑制,另外,粒子的产生受到抑制。
接着,参照图6。图6是表示其他的实施方式的喷射器的俯视图。图4所示的贯通孔22a的各个是在一个方向上延伸的狭缝状的贯通孔。在其他的实施方式中,狭缝状的贯通孔22a,也可以如图6所示,是沿与贯通方向(即,轴线X)平行的蛇形面形成的贯通孔。根据图6所示的实施方式,也提供宽度窄的贯通孔,能够抑制贯通孔22a内的等离子体的产生。
以上,对各种实施方式进行了说明,但本发明的思想构成各种变形方式。例如,上述等离子体处理装置10是使用从径向直径缝隙天线供给的微波作为等离子体源的等离子处理装置,但是其他类型的等离子体处理装置也适用本发明的思想。例如,在SWP(Surface WavePlasma:表面波等离子体)型的等离子体处理装置中电介质窗内也可以使用上述喷射器和电场屏蔽部。此外,在ECR(Electron CyclotronResonance:电子回旋共振)型的等离子体处理装置中的电介质窗内也可以使用上述喷射器和电场屏蔽部。在该ECR型的等离子体处理装置中,例如采用国际公开第99/49705号中记载的ECR型的等离子体处理装置作为基本结构。

Claims (12)

1.一种等离子处理装置,其特征在于,具备:
划分形成处理空间的处理容器;
设置在所述处理容器内的工作台;
以面对所述工作台的方式设置的电介质部件;
经由所述电介质部件将微波导入所述处理空间内的单元;
喷射器,其为电介质制,具有一个以上的贯通孔,配置在所述电介质部件的内部,与形成在所述电介质部件中的贯通孔一起划分形成用于向所述处理空间供给处理气体用的路径;和
覆盖所述喷射器的周围的电场屏蔽部。
2.如权利要求1所述的等离子体处理装置,其特征在于:
所述喷射器由松散电介质材料构成。
3.如权利要求1或2所述的等离子体处理装置,其特征在于:
所述喷射器与所述电介质部件接合。
4.如权利要求1或2所述的等离子体处理装置,其特征在于:
所述喷射器与所述电介质部件一体形成。
5.如权利要求1或2所述的等离子体处理装置,其特征在于:
所述喷射器包括:第一表面;和与所述第一表面相对、面向所述处理空间的第二表面,
所述喷射器的所述一个以上的贯通孔在所述第一表面和所述第二表面之间延伸,
所述电场屏蔽部,在从所述第一表面朝向所述第二表面的方向上,延伸至比所述第二表面更接近所述处理空间的位置。
6.如权利要求1或2所述的等离子体处理装置,其特征在于:
导入所述微波的单元包括:
同轴波导管;和
作为与所述同轴波导管接合的天线,在径向和周向形成有多个缝隙的金属制的缝隙板,
所述处理气体从所述同轴波导管的内侧导体的内孔中配置的配管,供给至所述喷射器。
7.如权利要求6所述的等离子体处理装置,其特征在于:
所述电场屏蔽部与所述配管一体化。
8.如权利要求1或2所述的等离子体处理装置,其特征在于:
所述喷射器为石英制。
9.如权利要求1或2所述的等离子体处理装置,其特征在于:
所述喷射器的所述一个以上的贯通孔各自为狭缝状的贯通孔。
10.如权利要求9所述的等离子体处理装置,其特征在于:
所述喷射器的所述一个以上的贯通孔各自以越接近所述处理空间宽度越窄的方式形成。
11.如权利要求10所述的等离子体处理装置,其特征在于:
所述喷射器的所述一个以上的贯通孔,通过激光加工形成。
12.如权利要求9所述的等离子体处理装置,其特征在于:
所述喷射器的所述一个以上的贯通孔的最窄部的宽度在0.2mm以下。
CN201210125069.8A 2011-04-25 2012-04-25 等离子体处理装置 Expired - Fee Related CN102760632B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2011097336 2011-04-25
JP2011-097336 2011-04-25
JP2012088583A JP5955062B2 (ja) 2011-04-25 2012-04-09 プラズマ処理装置
JP2012-088583 2012-04-09

Publications (2)

Publication Number Publication Date
CN102760632A true CN102760632A (zh) 2012-10-31
CN102760632B CN102760632B (zh) 2015-07-29

Family

ID=47020377

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210125069.8A Expired - Fee Related CN102760632B (zh) 2011-04-25 2012-04-25 等离子体处理装置

Country Status (5)

Country Link
US (1) US9111726B2 (zh)
JP (1) JP5955062B2 (zh)
KR (1) KR101304408B1 (zh)
CN (1) CN102760632B (zh)
TW (1) TWI452597B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104602436A (zh) * 2013-10-31 2015-05-06 细美事有限公司 基板处理装置以及方法
CN108878248A (zh) * 2017-05-16 2018-11-23 东京毅力科创株式会社 等离子体处理装置
CN109587924A (zh) * 2017-09-28 2019-04-05 东京毅力科创株式会社 等离子体处理装置

Families Citing this family (249)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102084469B (zh) * 2008-07-09 2013-05-01 东京毅力科创株式会社 等离子体处理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5377587B2 (ja) * 2011-07-06 2013-12-25 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102012103425A1 (de) * 2012-04-19 2013-10-24 Roth & Rau Ag Mikrowellenplasmaerzeugungsvorrichtung und Verfahren zu deren Betrieb
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5717888B2 (ja) 2013-02-25 2015-05-13 東京エレクトロン株式会社 プラズマ処理装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6501493B2 (ja) * 2014-11-05 2019-04-17 東京エレクトロン株式会社 プラズマ処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
JP6344437B2 (ja) 2016-07-27 2018-06-20 トヨタ自動車株式会社 高周波供給構造
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6749258B2 (ja) * 2017-01-31 2020-09-02 東京エレクトロン株式会社 マイクロ波プラズマ源、マイクロ波プラズマ処理装置、およびプラズマ処理方法
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11572624B2 (en) * 2018-12-13 2023-02-07 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010036465A1 (en) * 1999-11-30 2001-11-01 Nobuo Ishll Plasma processing apparatus
US20030150846A1 (en) * 1999-06-18 2003-08-14 Tokyo Electron Ltd. Plasma-assisted processing system and plasma-assisted processing method
CN1639831A (zh) * 2001-10-15 2005-07-13 兰姆研究公司 可调谐的多区气体喷射系统
CN101176187A (zh) * 2005-04-18 2008-05-07 东京毅力科创株式会社 喷淋板及其制造方法
WO2010004836A1 (ja) * 2008-07-09 2010-01-14 東京エレクトロン株式会社 プラズマ処理装置
CN101647101A (zh) * 2007-03-29 2010-02-10 东京毅力科创株式会社 等离子加工设备
CN101919041A (zh) * 2008-01-16 2010-12-15 索绍股份有限公司 衬底固持器,衬底支撑设备,衬底处理设备以及使用所述衬底处理设备的衬底处理方法
CN101919030A (zh) * 2007-11-02 2010-12-15 佳能安内华股份有限公司 用于去除氧化膜的基板清洗方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3834958B2 (ja) * 1997-09-30 2006-10-18 株式会社日立製作所 プラズマ処理装置
WO1999049705A1 (fr) * 1998-03-20 1999-09-30 Tokyo Electron Limited Dispositif de traitement plasmique
US6562190B1 (en) * 2000-10-06 2003-05-13 Lam Research Corporation System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber
KR100883696B1 (ko) 2002-11-20 2009-02-13 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
JP5082229B2 (ja) * 2005-11-29 2012-11-28 東京エレクトロン株式会社 プラズマ処理装置
JP5068458B2 (ja) * 2006-01-18 2012-11-07 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP5324026B2 (ja) * 2006-01-18 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の制御方法
JP2009021220A (ja) 2007-06-11 2009-01-29 Tokyo Electron Ltd プラズマ処理装置、アンテナおよびプラズマ処理装置の使用方法
JP5227197B2 (ja) * 2008-06-19 2013-07-03 東京エレクトロン株式会社 フォーカスリング及びプラズマ処理装置
JP5304061B2 (ja) * 2008-07-09 2013-10-02 東京エレクトロン株式会社 プラズマ処理装置
JP5423205B2 (ja) 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030150846A1 (en) * 1999-06-18 2003-08-14 Tokyo Electron Ltd. Plasma-assisted processing system and plasma-assisted processing method
US20010036465A1 (en) * 1999-11-30 2001-11-01 Nobuo Ishll Plasma processing apparatus
CN1639831A (zh) * 2001-10-15 2005-07-13 兰姆研究公司 可调谐的多区气体喷射系统
CN101176187A (zh) * 2005-04-18 2008-05-07 东京毅力科创株式会社 喷淋板及其制造方法
CN101647101A (zh) * 2007-03-29 2010-02-10 东京毅力科创株式会社 等离子加工设备
CN101919030A (zh) * 2007-11-02 2010-12-15 佳能安内华股份有限公司 用于去除氧化膜的基板清洗方法
CN101919041A (zh) * 2008-01-16 2010-12-15 索绍股份有限公司 衬底固持器,衬底支撑设备,衬底处理设备以及使用所述衬底处理设备的衬底处理方法
WO2010004836A1 (ja) * 2008-07-09 2010-01-14 東京エレクトロン株式会社 プラズマ処理装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104602436A (zh) * 2013-10-31 2015-05-06 细美事有限公司 基板处理装置以及方法
CN104602436B (zh) * 2013-10-31 2017-09-22 细美事有限公司 基板处理装置以及方法
CN108878248A (zh) * 2017-05-16 2018-11-23 东京毅力科创株式会社 等离子体处理装置
CN109587924A (zh) * 2017-09-28 2019-04-05 东京毅力科创株式会社 等离子体处理装置
US11470712B2 (en) 2017-09-28 2022-10-11 Tokyo Electron Limited Plasma processing apparatus
US11832373B2 (en) 2017-09-28 2023-11-28 Tokyo Electron Limited Plasma processing apparatus
CN117440590A (zh) * 2017-09-28 2024-01-23 东京毅力科创株式会社 等离子体处理装置

Also Published As

Publication number Publication date
JP2012238845A (ja) 2012-12-06
TW201306077A (zh) 2013-02-01
US9111726B2 (en) 2015-08-18
US20120267048A1 (en) 2012-10-25
CN102760632B (zh) 2015-07-29
KR101304408B1 (ko) 2013-09-05
KR20120120911A (ko) 2012-11-02
TWI452597B (zh) 2014-09-11
JP5955062B2 (ja) 2016-07-20

Similar Documents

Publication Publication Date Title
CN102760632B (zh) 等离子体处理装置
US20110204023A1 (en) Multi inductively coupled plasma reactor and method thereof
KR101591404B1 (ko) 플라즈마 생성장치 및 플라즈마 처리장치
TWI416623B (zh) 具有單一平面天線之電感耦合雙區域處理腔室
JP4904202B2 (ja) プラズマ反応器
CN1905135B (zh) 等离子蚀刻设备
US20080168945A1 (en) Plasma generating apparatus
KR101496841B1 (ko) 혼합형 플라즈마 반응기
KR20080067042A (ko) 코어 커버를 구비한 유도 결합 플라즈마 반응기
EP2538432A2 (en) Plasma processing apparatus
US20170301514A1 (en) Plasma source and substrate treating apparatus including the same
Mishra et al. Synergetic effects in a discharge produced by a dual frequency–dual antenna large-area ICP source
US11551909B2 (en) Ultra-localized and plasma uniformity control in a plasma processing system
KR101974420B1 (ko) 기판처리장치 및 방법
US20140144382A1 (en) Plasma apparatus
KR20100129368A (ko) 복합 주파수를 이용한 대면적 플라즈마 반응기
JP2009123906A (ja) プラズマ処理装置
KR101139829B1 (ko) 다중 가스공급장치 및 이를 구비한 플라즈마 처리장치
KR20220168428A (ko) 유도 결합형 플라즈마 생성 장치
KR101200743B1 (ko) 다중 유도결합 플라즈마 처리장치 및 방법
CN108882494B (zh) 等离子体装置
KR102194176B1 (ko) 플라스마 처리 장치 및 플라스마 처리 장치의 제어 방법
CN105448633A (zh) 等离子体处理装置
KR20100129369A (ko) 수직 듀얼 챔버로 구성된 대면적 플라즈마 반응기
KR100805558B1 (ko) 마그네틱 코어에 결합된 다중 방전 튜브를 구비한 유도 결합 플라즈마 소스

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20150729