CN102084469B - 等离子体处理装置 - Google Patents

等离子体处理装置 Download PDF

Info

Publication number
CN102084469B
CN102084469B CN200980125715.7A CN200980125715A CN102084469B CN 102084469 B CN102084469 B CN 102084469B CN 200980125715 A CN200980125715 A CN 200980125715A CN 102084469 B CN102084469 B CN 102084469B
Authority
CN
China
Prior art keywords
wall
processing apparatus
plasma processing
dielectric plate
pedestal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200980125715.7A
Other languages
English (en)
Other versions
CN102084469A (zh
Inventor
松本直树
加藤和行
四方政史
高井和人
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2008178863A external-priority patent/JP5304061B2/ja
Priority claimed from JP2008178864A external-priority patent/JP5304062B2/ja
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102084469A publication Critical patent/CN102084469A/zh
Application granted granted Critical
Publication of CN102084469B publication Critical patent/CN102084469B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32238Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

等离子体处理装置(11)具备:在其内部对被处理基板W进行等离子体处理的处理容器(12);配置在处理容器(12)内且将被处理基板(W)保持在其上面的保持台(14);被设置在与保持台(14)对置的位置,并且将微波向处理容器(12)内导入的电介质板(16);向被保持在保持台(14)上的被处理基板(W)的中央区域供应等离子体处理用的反应气体的反应气体供应部(13)。这里,反应气体供应部(13)包含喷射器基座(61),该喷射器基座(61)被配置在比与保持台(14)对置的呈相对面的电介质板(16)的下表面63向电介质板(16)的内侧后缩的位置。在喷射器基座(61)中设置有将等离子体处理用的反应气体向处理容器(12)内供应的供应孔(66)。

Description

等离子体处理装置
技术领域
本发明涉及等离子体处理装置,尤其涉及将微波作为等离子体源来产生等离子体的等离子体处理装置。
背景技术
LSI(Large Scale Integrated Circuit:超大规模集成电路)等半导体装置是通过对作为被处理基板的半导体基板(晶片)实施蚀刻、CVD(Chemical Vapor Deposition:化学气相淀积)、溅射等多种处理后制造而成的。对于蚀刻、CVD、和溅射等处理,存在作为其能量供应源使用了等离子体的处理方法。即,等离子蚀刻、等离子CVD、和等离子溅射等。
这里,在日本特开2005-100931号公报(专利文献1)中公开了一种使用了微波的等离子体处理装置作为等离子体的发生源的技术。根据专利文献1,在在等离子体处理装置中所设置的顶板(电介质板)的下表面侧设置有锥形的凸部或者凹部。通过由微波发生器产生的微波在顶板的下表面侧的锥形的凸部或凹部形成电场的最适谐振区域,使得在腔室(处理容器)内产生稳定的等离子体,从而进行上述的蚀刻处理等。
专利文献1:日本特开2005-100931号公报。
对被处理基板进行等离子体处理时,从等离子体处理的效率化等观点出发,有时采用向被处理基板的中央区域供应反应气体的中心气体导入方式。
图16是表示中心气体导入方式的等离子体处理装置201的概略剖视图。其中,在下面所示的附图中,将纸面上作为上方向。如图16所示那样,等离子体处理装置201包括:圆板状的电介质板206;作为反应气体供应部的喷射器203,其被设置在电介质板206的径向的中央区域,将等离子体处理用的反应气体向处理容器202内供应;以及保持台204,其设置在与电介质板206对置的位置上,且保持被处理基板205。
喷射器203具备向保持台204侧延伸的突出部207。在突出部207的前端部208上设置有将反应气体向处理容器202内供应的供应孔209。设置有供应孔209的突出部207的前端部208位于比成为与保持台204对置的对置面的电介质板206的下表面210更靠保持台204侧。通过这样的构成的喷射器203将反应气体供应到处理容器202内,从而进行等离子体处理。
在等离子体处理时,保持台204与电介质板206之间,即,比电介质板206的下表面201靠下部侧,产生电场。这里,设置有供应孔209的突出部207的前端部208若位于比电介质板206的下表面210更位于下部侧,则前端部208被暴露在产生电场的区域,因此有电场在前端部208集中的可能性。这样,由于电场的集中而使前端部208承受负荷过大。例如,将混合有Ar的混合气体作为反应气体使用时,有时前端部208的温度会到达200℃。由此,存在喷射器203乃至等离子体处理装置201的寿命变短的可能性。
发明内容
本发明的目的在于提供一种可以实现长寿命化的等离子体处理装置。
本发明涉及的等离子体处理装置具备:在其内部对被处理基板进行等离子体处理的处理容器;被配置在处理容器内,并且将处理基板保持在其上的保持台;使等离子体激发用的微波产生的微波发生器;被设置在与保持台对置的位置,用来将微波向处理容器内导入的电介质板;向被保持在保持台上的被处理基板的中央区域供应等离子体处理用的反应气体的反应气体供应部。反应气体供应部包含喷射器基座,该喷射器基座被配置在比与保持台对置的电介质板的壁面更向电介质板的内侧后缩的位置上。在喷射器基座上设置有将等离子体处理用的反应气体向处理容器供应的供应孔。
在等离子体处理时,在保持台与电介质板之间形成了由微波等离子体产生的电场。根据这样的等离子体处理装置,在等离子体处理时,由于包含在反应气体供应部的喷射器基座被配置在比与保持台对置的电介质板的壁面更靠电介质板的内侧的后缩的位置上,所以喷射器基座不会被暴露在形成有电场的区域中。这样,可以降低电场在设置有将反应气体向处理容器内供应的供应孔的喷射器基座集中的可能性。因此,这样的等离子体处理装置可以实现长寿命化。
优选地在喷射器基座中,供应孔被设置在与保持台对置的壁面上。
更优选与保持台对置的壁面是平坦的。
并且,喷射器基座可以是包含突出部的构成,该突出部在未到达与保持台对置的电介质板的壁面的范围内,从与保持台对置的壁面延伸到保持台侧。
优选地在突出部的前端部设置有供应孔。
作为更优选的一实施方式,电介质板为圆板状,在喷射器基座上,供应孔被设置成位于电介质板的径向中央。
并且,优选电介质板设置有贯通板厚度方向,用来将喷射器基座收容的基座收容部,在喷射器基座中,在与基座收容部的壁面对置的壁面上设置有通过与基座收容部的壁面紧密结合来密封处理容器的密封件,并且在处理容器内露出的壁面与设置有密封件的壁面之间有台阶。
在对被处理基板进行等离子体处理时,从等离子体处理的高效率化等的观点出发,有时采用向被处理基板的中央区域供应反应气体的中心气体导入方式。
这里,对采用中心气体导入方式的等离子体处理装置的构成进行简单的说明。在中心气体导入方式的等离子体处理装置中,供应等离子体处理用的反应气体的反应气体供应部包括设置有将反应气体向处理容器内供应的供应孔的喷射器基座。喷射器基座被收容在基座收容部,该基座收容部被设置成在电介质板的径方向的中央区域贯通板厚度方向。并且,在喷射器基座的壁面中,在与基座收容部的壁面对置的壁面设置有O形密封圈,该O形密封圈为通过与基座收容部的壁面紧密结合来密封处理容器的橡胶制的密封件。即,通过在喷射器基座的壁面与基座收容部的壁面之间设置O形密封圈,可以确保处理容器的密封性。
在等离子体处理中,在处理容器内使等离子体产生,并从设置在喷射器基座的壁面的供应孔向处理容器内供应反应气体。这里,有时使用混合有氧的反应气体作为反应气体。在该情况下,通过在处理容器内产生等离子体而产生氧自由基。由于这样的氧自由基对O形密封圈等密封件具有攻击性,所以O形密封圈被自由基攻击而导致O形密封圈的劣化或消耗。尤其,当O形密封圈被暴露在自由基的浓度高的区域中时,该倾向就更加明显。由此导致O形密封圈的寿命降低,乃至无法实现等离子体处理装置的长寿命化。
然而,通过这样的构成,可以实现等离子体处理装置的长寿命化。即,在等离子体处理时,在处理容器的密闭空间内,产生反应气体的自由基,并对夹在喷射器基座的壁面与基座收容部之间的密封件进行攻击。但是,在如这样构成的等离子体处理装置中,在喷射器基座中,由于在处理容器内露出的壁面与设置有密封件的壁面之间有台阶,从而可以使从向处理容器内露出的壁面到设置有密封件的壁面的距离变长。这样,可以使密封件配置在自由基的浓度低的区域,能够缓和自由基对密封件的攻击性。因此,可以防止密封件的寿命降低,并实现等离子体处理装置的长寿命化。
还优选地从电介质板的下表面到在处理容器内露出的壁面的距离与从电介质板的下表面到设置有密封件的壁面的距离不同。
并且优选地构成台阶的喷射器基座的壁面包括向与下面两个壁面中的至少一方正交的方向延伸的面。这两个壁面分别是在处理容器内露出的壁面以及设置有密封件的壁面。
进一步优选在设置有密封件的喷射器基座的壁面设置有将密封件收入的从壁面的表面凹陷的凹部。
并且优选设置有多个台阶。
并且优选密封件包括O形密封圈。
进一步优选所述气体包括混合有氧气的反应气体。
根据这样的等离子体处理装置,在等离子体处理时,由于包含在反应气体供应部的喷射器基座被配置在比与保持台对置的电介质板的壁面更靠电介质板的内侧的后缩的位置上,因而喷射器基座不会被暴露在形成有电场的区域。这样,电场不会集中在设置有将反应气体向处理容器内供应的供应孔的喷射器基座上,从而可以减轻电场对喷射器基座造成的负荷。因此,这样的等离子体处理装置可以实现长寿命化。
附图说明
图1是表示本发明的一实施方式涉及的等离子体处理装置的主要部分的概略剖视图。
图2是图1所示的等离子体处理装置11中II所示的部分的放大图。
图3是从图1的箭头III方向观察包含在图1所示的等离子体处理装置中的喷射器基座的图。
图4是表示本发明其他实施方式涉及的等离子体处理装置的一部分的放大剖视图,相当于图2所示的部分。
图5是表示本发明的其他实施方式涉及的等离子体处理装置的主要部分的概略剖视图。
图6是表示图5所示的等离子体处理装置中VI所示部分的放大图。
图7是从图5中的箭头VII方向观察包含在图5所示的等离子体处理装置中的喷射器基座的图。
图8是表示在没有台阶的结构的等离子体处理装置与在本发明涉及的等离子体处理装置中,氧自由基的量与O形密封圈的位置的关系的曲线图。
图9是表示没有台阶的结构的等离子体处理装置的一部分的概略剖视图,相当于图6所示的部分。
图10表示比图9所示的等离子体处理装置到设置有O形密封圈的位置的距离长的等离子体处理装置的一部分,相当于图6所示的部分。
图11是表示O形密封圈的重量减少量与等离子体处理时间的关系的曲线图。
图12是表示O形密封圈的重量减少率与等离子体处理时间的关系的曲线图。
图13是表示本发明的其他实施方式涉及的等离子体处理装置的一部分的放大剖视图。
图14是表示本发明的其他实施方式涉及的等离子体处理装置的一部分的放大剖视图。
图15是表示本发明的其他实施方式涉及的等离子体处理装置的一部分的放大剖视图。
图16是表示包含喷射器的中心气体导入方式的等离子体处理装置的主要部分的概略剖视图。
符号说明
11、87、111、116、121、131、141…等离子体处理装置;
12…处理容器;
13…反应气体供应部;
14…保持台;
15…微波发生器;
16、90、122、146…电介质板;
17…底部;
18…侧壁;
19…排气孔;
20、65、95、114、119、126、134、148…O形密封圈;
21…匹配器;
22…模式转换器;
23…波导管;
24…同轴波导管;
25…中心导体;
26…外周导体;
27、106…凹部;
28…慢波板;
29…隙缝孔;
30…隙缝天线;
31、32…筒状支承部;
33…排气路;
34…挡板;
35…排气管;
36…排气装置;
37…高频电源;
38…匹配单元;
39…给电棒;
41…静电卡盘;
42…聚焦环;
43…电极;
44、45…绝缘膜;
46…直流电源;
47…开关;
48…包覆线;
51…冷媒室;
52、53…配管;
54…气体供应管;
61、81、91、147…喷射器基座;
63、93、123、150…下表面;
64、94…基座收容部;
86、96、112、117、124、132、142…供应孔;
82、97、103、104、105、107、113、118、125、127、133、135、136、137、138、143、149…壁面;
68…气体流路;
69…气体入口;
70…开关阀;
71…流量控制器;
72…气体供应系;
108…接触部;
109…中央部;
100…点;
83、145…突出部;
84…上表面;
85、144…前端部。
具体实施方式
下面,参照附图对本发明的实施方式进行说明。图1是表示本发明的一实施方式涉及的等离子体处理装置的主要部分的概略剖视图。如图1所示那样,等离子体处理装置11具备:在其内部对被处理基板W进行等离子体处理的处理容器12;向处理容器12内供应等离子体处理用的反应气体的反应气体供应部13;将被处理基板W保持在其上的圆板状的保持台14;产生用于激发等离子体的微波的微波发生器15;被配置在与保持台14对置的位置,将由微波发生器15产生的微波向处理容器12内导入的电介质板16;和对等离子体处理装置11整体进行控制的控制部(未图示)。控制部控制反应气体供应部13中的气体流量、处理容器12内的压力等、用于对被处理基板W进行等离子体处理的工序条件。
处理容器12包含位于保持台14的下方侧的底部17、和从底部17的外周向上方延伸的侧壁18。侧壁18呈圆筒状。在处理容器12的底部17设置有排气用的排气孔19。处理容器12的上部侧有开口,并通过配置在处理容器12的上部侧的电介质板16以及作为夹在电介质板16与处理容器12之间的密封部件的O形密封圈20,处理容器12成为可密封的构成。
具有匹配器(Matching)21的微波发生器15通过模式转换器22以及波导管23与导入微波的同轴波导管24的上部连接。同轴波导管24包括被设置在径向中央的中心导体25、和设置在中心导体25的径向外侧的外周导体26。中心导体25的上端部与模式转换器22的顶划分壁连接。作为在微波发生器15产生的微波的频率例如可以选择2.45GHz。其中,使用剖面为圆形的或为矩形的波导管作为波导管23。
电介质板16呈圆板状,并且由电介质构成。在电介质板16的下部侧设置有凹陷成用于使基于被导入的微波的驻波容易地产生的锥形的环状凹部27。通过该环状凹部27可以在电介质板16的下部侧高效地生成由微波产生的等离子体。其中,作为电介质板16的具体材料例如石英和矾土等。
而且,等离子体处理装置11具备:传播由同轴波导管24导入的微波的慢波板28;从被设置的多个隙缝孔29向电介质板16导入微波的薄板圆板状的隙缝天线30。由微波发生器15产生的微波通过同轴波导管24被传播到慢波板28,并从设置在隙缝天线30上的多个隙缝孔29被导入电介质板16。透过电介质板16的微波使电场产生在电介质板16的正下方,并且在处理容器12内生成等离子体。
保持台14兼作高频电极,并且被从底部17垂直向上方延伸的绝缘性的筒状支承部31支承。在沿着筒状支承部31的外周从处理容器12的底部17垂直向上方延伸的导电性的筒状支承部32与处理容器12的侧壁18之间,形成有环状的排气路33。在该排气路33的上部安装有设置有多个贯通孔的环状的挡板34。排气孔19的下部经由排气管35连接着排气装置36。排气装置36具有涡轮分子泵等真空泵。通过排气装置36可以将处理容器12内减压到所希望的真空度。
RF偏压用的高频电源37通过匹配单元38以及供电棒39与保持台14电性连接。该高频电源37将适合于控制引入被处理基板W的离子的能量的一定频率、例如13.65MHz的高频以规定的功率输出。匹配单元38收容有匹配器,该匹配器用于在高频电源37侧的阻抗与电极、等离子体、处理容器12等主要负载侧的阻抗之间进行匹配,在该匹配器中包含自偏压生成用的阻塞电容器。
在保持台14的上表面设置有用于将被处理基板W通过静电吸附力保持的静电卡盘41。而且,在静电卡盘41的径向外侧设置有将被处理基板W的周围环状包围的聚焦环42。静电卡盘41是通过将由导电膜形成的电极43夹在一对绝缘膜44、45之间而形成的。高压的直流电源46通过开关47以及包覆线48与电极43电性连接。通过由直流电源46施加的直流电压,可以将被处理基板W通过库仑力吸附并保持在静电卡盘41上。
在保持台14的内部设置有沿圆周方向延伸的环状的冷媒室51。对该冷媒室51由冷却单元(未图视)通过配管52、53循环供应规定温度的冷媒、例如冷水。可以基于冷媒的温度来控制静电卡盘41上的被处理基板W的处理温度。并且,来自传热气体供应部(未图示)的传热气体、例如He气体,通过气体供应管54被供应到静电卡盘41的上表面与被处理基板W的背面之间。
这里,对反应气体供应部13的具体结构进行说明。图2是图1所示的等离子体处理装置11中II所示部分的放大图。如图1以及图2所示那样,反应气体供应部13包括喷射器基座61,该喷射器基座61被配置在比成为与保持台14对置的壁面的电介质板16的下表面63更向电介质板16的内侧后缩的位置。在电介质16中设置有基座收容部64,基座收容部64在径向的中央区域贯通板厚方向,用于将喷射器基座61收容。喷射器基座61被设置成收容在基座收容部64中。在喷射器基座61和基座收容部64之间夹着O形密封圈65,从而确保了处理容器12内的密封性。
其中,作为喷射器基座61的材质使用实施了氧化铝膜处理的铝或敷Y2O3(氧化钇)膜铝等。这里,在喷射器基座61中,优选对于比O形密封圈65靠内侧配置的部分使用敷Y2O3(氧化钇)膜铝,而对比O形密封圈65靠外侧配置的部分使用实施了氧化铝膜处理的铝。并且,从图1中的箭头III的方向观察喷射器基座61单体的图如图3所示。另外,虽然未图示,但是由这样的导体构成的喷射器基座61为在等离子体处理装置11的外部接地的构成。
在喷射器基座61中,设置有将等离子体处理用的反应气体向处理容器12内供应的供应孔66。在喷射器基座61中,供应孔66被设置在与保持台14对置的壁面67上。与保持台14对置的壁面67是平坦的。设置有多个供应孔66。并且,在喷射器基座61中,供应孔66被设置成位于电介质板16的径向中央,包含供应孔66的壁面67的一部分被构成为在处理容器12内露出。
在反应气体供应部13中,设置有将同轴波导管24的中心导体25、隙缝天线30以及电介质板16分别贯通,并且形成至供应孔66的气体流路68。形成在中心导体25的上端部的气体入口69与气体供应系统72连接。该气体供应系统72在其途中设置有开关阀70和如质量流量控制器那样的流量控制器71等。通过气体供应系统72一边调整流量等一边供应反应气体。
接着,使用本发明的一实施方式涉及的上述等离子体处理装置11,对被处理基板W的等离子体处理方法进行说明。
首先,使被处理基板W保持在保持台14上。然后将处理容器12内减压到规定的压力,通过由反应气体供应部13供应反应气体来维持至规定的压力。具体而言,从气体流路68送入反应气体,并从供应孔66向处理容器12内朝着被处理基板W的中央区域供应反应气体。然后,通过微波发生器15使等离子体激发用的微波产生,并通过电介质板16将微波导入到处理容器12内,在处理容器12内产生等离子体。其中,反应气体包含混合有氧的反应气体。如上述那样,对被处理基板W进行等离子体处理。
这里,在等离子体发生时,在保持台14与电介质板16之间沿上下方向形成有基于微波等离子体的电场。在这样的区域中,若由导电体构成的部件被配置并暴露在电场中,则存在通过电场集中而增加负荷带来的可能性。
但是,根据这样的等离子体处理装置11,在等离子体处理时,包含在反应气体供应部13中的喷射器基座61由于被配置在比电介质板16的下表面63更向电介质板16的内侧的后缩的位置,所以喷射器基座61没有被暴露在形成有电场的区域中。这样可以降低电场集中在设置有将反应气体向处理容器12内供应的供应孔66的喷射器基座61上的可能性,并且可以减轻电场对喷射器基座61带来的负荷。因此,这样的等离子体处理装置11能够实现长寿命化。
并且,在等离子体处理装置11中进行了使用混合有上述的Ar的混合气体作为反应气体的实验,但是并没有引起喷射器基座61温度上升。
在上述实施方式中,在喷射器基座61中,虽然使与保持台14对置的壁面76变得平坦,但不限于此,还可以是其他形状,并且可以设置有凹凸。
而且,还可以将突出部设置成从与保持台14对置的壁面67向保持台14侧延伸,并且在该突出部的前端设置供应孔。图4是表示该情况下的等离子体处理装置的一部分的放大剖视图,相当于图2所示的部分。其中,图4与图2所示的等离子体处理装置除了喷射器基座以外,均为相同结构,并且对图4中,与图2相同的部件赋予相同参照符号,并省略其说明。
如图4所示那样,喷射器基座81包含突出部83,该突出部83在未到达电介质板16的下表面63的范围内,从与保持台14对置的壁面82向保持台14侧延伸。具体而言,与从图4中所示的保持台14的上表面84到电介质板16的下表面63的距离L1比较,从保持台14的上表面84到突出部83的前端部85的距离L2被更长地构成。并且,在突出部83的前端部85设置有供应孔86。
即使是这样的构成,也不在喷射器基座81的突出部83的前端部85集中电场,可以减轻电场对喷射器基座81带来的负荷。在该情况下,由于可以在与被处理基板W更近的位置将反应气体从供应孔86供应,所以可以实现等离子体处理的高效率化。
这里,优选被构成为从与保持台14对置的壁面82到前端部85的突出部83的长度,与在将微波导入到处理容器12内时形成于保持台14与电介质板16之间的电场的谐振长度不同。这样,可以使突出部83因电场谐振的影响减少从而减轻负荷。
另外,作为本发明的其他实施方式,还可以具有下面那样的结构。
图5是表示本发明的其他实施方式涉及的等离子体处理装置87的主要部分的概略剖视图。图6是表示图5所示的等离子体处理装置中VI所示部分的放大图。其中,图5与图1所示的等离子体处理装置除喷射器基座以及电介质板以外,均为相同结构,图5中对于与图1相同的部件赋予相同参照符号,并省略其说明。
参照图5,供应孔96被设置在与保持台14对置的对置面且在处理容器12内露出其一部分的壁面97上。即,喷射器基座91的壁面97的一部分没有被设置有基座收容部94的电介质板90包覆而在处理容器12内露出。在壁面97中,在处理容器12内露出的部分是在图6中,从被电介质板90包覆的最内径的点100开始向内径侧的部分。供应孔96被设置于壁面97中在处理容器12内露出的部分。壁面97是平坦的。从图5中的箭头VII的方向观察喷射器基座91单体的图如图7所示。设置了多个供应孔96。供应孔96被设置成位于喷射器基座91的径向中央。
在喷射器基座91中,与基座收容部94的壁面107对置的壁面103上设置有通过与基座收容部94的壁面107紧密结合来作为密封处理容器12内部的密封件的环状的O形密封圈95。O形密封圈95由全氟系橡胶部件构成。其中,在喷射器基座91中,优选对于比O形密封圈95更靠内侧配置的部分,使用敷Y2O3(氧化钇)膜铝,而对于比O形密封圈95靠外方侧配置的部分,使用实施了氧化铝膜处理的铝。
O形密封圈95被设置成夹在喷射器基座91的壁面103与基座收容部94的壁面107之间。具体而言,在壁面103上设置有从其表面凹陷的环状凹部106以便存放O形密封圈95收入,O形密封圈95被设置成被存放到该凹部106。因此可以使O形密封圈95的位置稳定。其中,壁面103被设置在壁面107的外径侧,壁面97与壁面103被构成为近似平行。
这里,在处理容器12内露出的壁面97与设置有O形密封圈95的壁面103之间有台阶。该台阶由向与壁面97以及壁面103两方都正交的方向延伸的壁面104构成。而且,从电介质板90的下表面93到在处理容器12内露出的壁面97的距离L3被构成为比从电介质板90的下表面93到设置有O形密封圈95的壁面103的距离L4短。其中,基座收容部94设置有与壁面104对置,且与壁面104近似平行的壁面105。
接下来,使用本发明的其他实施方式涉及的上述等离子体处理装置87,对被处理基板W的等离子体处理方法进行说明。
首先,使被处理基板W保持在保持台14上。接着,将处理容器12内减压到规定的压力,并通过由反应气体供应部13供应反应气体来维持到规定的压力。具体而言,从气体流路68送入反应气体,并从供应孔96向处理容器12内朝着被处理基板W的中央区域供应反应气体。然后,通过微波发生器15产生等离子激发用的微波,并通过电介质板90将微波导入处理容器12内,在处理容器12内使等离子体产生。其中,反应气体包含混合有氧的反应气体。如所述这样,对被处理基板W进行等离子体处理。
这里,在等离子体处理时在处理容器12内密闭的空间内产生反应气体的自由基。在该情况下,产生氧自由基。
但是,在这样的等离子体处理装置87中,由于在设置有供应孔96且在处理容器12内露出的壁面97与设置有O形密封圈95的壁面103之间有台阶,具体而言,由于具有向与壁面97以及壁面103正交的方向延伸,且构成壁面97与壁面103之间的台阶的壁面104,所以可以使从在处理容器12内露出的壁面97到设置有O形密封圈95的壁面103的距离变长。由于这样做可以使O形密封圈95配置在自由基的浓度稀薄的区域,从而可以缓和自由基对O形密封圈95的攻击性。因此可以防止O形密封圈95的寿命降低,并且可以实现等离子体处理装置87的长寿命化。
这里,对在处理容器内露出的壁面与设置有O形密封圈95的壁面之间没有台阶结构的等离子体处理装置、和本发明涉及的等离子体处理装置中,氧自由基的量与O形密封圈的位置关系进行说明。
图8表示在没有台阶的等离子体处理装置、和本发明涉及的等离子体处理装置中,氧自由基的量与O形密封圈的位置的关系的图。图8中,竖轴表示氧自由基的量(#/m3),横轴将设置有O形密封圈的位置(mm)通过从壁面97的径向中央部109到O形密封圈95与壁面107之间的接触部108的位置D来表示。
图9是表示没有台阶的等离子体处理装置111的一部分的概略剖视图,相当于图7所示的部分。在图9中,设置有供应孔112且在处理容器内露出的壁面113与设置有O形密封圈114的壁面113相同。即,是在处理容器内露出的壁面113与设置有O形密封圈114的壁面113之间没有台阶的结构。并且,图10表示比图9所示的等离子体处理装置111到设置有O形密封圈119的位置的距离长的等离子体处理装置116的一部分,相当于图6以及图9所示的部分。在图10中,设置有供应孔117且在处理容器内露出的壁面118与设置有O形密封圈119的壁面118也相同。图8中,四方标记是图9所示的等离子体处理装置111的情况,三角标记是图10所示的等离子体处理装置116的情况,×标记是图5以及图6所示的本发明涉及的等离子体处理装置87的情况。
如图5~图10所示那样,在图9所示的等离子体处理装置111的情况下,在D=约10mm的位置上,自由基的量为3.8E+19。在图10所示的等离子体处理装置116的情况下,在D=约14mm的位置上,自由基的量为1.09E+19。与此相对,在图5及图6所示的等离子体处理装置87的情况下,在D=约19mm的位置上,自由基的量为1.77E+18。这样,在有上述台阶的等离子体处理装置中,可以使设置O形密封圈的位置为自由基的量非常少的区域。
然后,说明对于O形密封圈的影响。图11是表示O形密封圈的重量减少量与等离子体处理时间的关系的曲线图。图12是表示O形密封圈的重量减少率与等离子体处理时间的关系的曲线图。在图11中,竖轴表示O形密封圈的重量减少量(mg),在图12中,竖轴表示O形密封圈的重量减少率(%)。在图11以及图12中,横轴表示等离子体处理时间(小时)。并且,在图11以及图12中,四方标记是上述图9所示的等离子体处理装置的情况,三角标记是图6所示的本发明涉及的等离子体处理装置的情况。在四角标记表示的等离子体处理装置中,从径向中央到设置有O形密封圈的位置的距离相同。其中,在图11以及图12中,O形密封圈的重量减少越大,O形密封圈消耗越大。
如图11以及图12所述那样,在使用四方标记表示的等离子体处理装置的情况下,在经过了4小时的时刻,与三角标记所示的本发明涉及的等离子体处理装置的情况相比较,O形密封圈的重量减少明显。经过10小时后,可以判定:O形密封圈的减少率与在使用四方标记所示的等离子体处理装置的情况下重量减少率近似为0.030%相对,在使用三角标记表示的等离子体处理装置的情况下,重量减少率为0.010%左右,O形密封圈的消耗为三分之一左右。
根据上述内容,在本发明涉及的等离子体处理装置中,可以防止O形密封圈的寿命减少,从而可以实现等离子体处理装置的长寿命化。
其中,虽然在上述的实施方式中,从电介质板90的下表面93到在处理容器12内露出的壁面97的距离L3被构成为比从电介质板90的下表面93到设置有O形密封圈95的壁面103的距离L4短,但不限于此,还可以被构成为从电介质板90的下表面93到在处理容器12内露出的壁面97的距离L3比从电介质板90的下表面93到设置有O形密封圈95的壁面103的距离L4长。
图13是放大表示将该情况下的等离子体处理装置121的一部分的放大剖视图,相当于图6所示的部分。如图13所示那样,本发明的其他设施方式涉及的等离子体处理装置121被构成为从电介质板122的下表面123到设置有供应孔124且在处理容器内露出的壁面125的距离L5比从电介质板122的下表面123到设置有O形密封圈126的壁面127的距离L6长。即使通过这样的构成,也可以使上述距离变长,来防止O形密封圈126的寿命降低,从而实现等离子体处理装置121的长寿命化。
而且,即使从电介质板的下表面到在处理容器内露出的壁面的距离与从电介质板的下表面到设置有O形密封圈的壁面的距离相同,也可以构成为如图14所示的本发明的其他实施方式涉及的等离子体处理装置131那样,在设置有供应孔132且在处理容器内露出的壁面133与设置有O形密封圈134的壁面135之间形成多个壁面136、137、138,并且在壁面133与壁面135之间有台阶。
其中,在上述的实施方式中,构成台阶的壁面是向与在处理容器内露出的壁面以及设置有密封件的壁面正交的方向延伸的壁面,但是不限于此,还可以是向与任意一方正交的方向延伸的壁面,或者是不与任何一方垂直,向倾斜方向延伸的壁面。并且,在构成台阶的壁面在如图2等所示的剖面中,还可包括圆弧形状等,并且还可以借助多个壁面来设置多个台阶。
并且,在上述实施方式中,使在喷射器基座中与保持台对置且在处理容器内露出的壁面作成平面状,但是不限于此,还可以是其他的形状,并且可以设置有凹凸。
另外,在处理容器内露出的壁面可以被构成为包含向保持台侧突出的突出部,并且在突出部的前端设置有供应孔。图15是表示该情况下的等离子体处理装置的一部分的剖视图,相当于图6所示的部分。如图15所示那样,在等离子体处理装置141所包含的喷射器基座147中,与图15中的位于下方的保持台(未图示)对置的壁面143包含向保持台侧突出的突出部145。在突出部145的前端部144设置有供应孔142。在处理容器内露出的壁面143与设置有O形密封圈148的壁面149之间有台阶。即使通过这样的构成,也可以达到上述效果。这里,在处理容器内露出的壁面143是指,包括没有被设置有基座收容部的电介质板146包覆的部分的壁面,在图15所示的实施方式中是指,向纸面左右方向延伸的壁面143。
其中,在该情况下,对于突出部145从壁面143突出的突出量,优选突出部145的前端部144与突出电介质板146的下表面150相比较,位于电介质板146的内侧。具体而言,与从保持台的上表面到电介质板146的下表面150的距离L7比较,从保持台的上表面到突出部145的前端部144的距离L8长。在等离子体处理时,虽然在电介质板146的下表面150侧产生电场,但是通过这样的构成,可以降低电场在突出部145的前端部144集中的可能性,从而可以减轻电场对突出部145带来的负荷。
并且,在上述的实施方式中,虽然对将O形密封圈作为密封件适用的情况进行了说明,但并不限于此,还可以是将喷射器基座与基座收容部之间密封的其他的密封件。并且,在O形密封圈的内径侧,即在O形密封圈与在处理容器内露出的壁面之间配置以不具有密封功能的PTFE(Poly Tertra Fuluoro Ethylene:聚四氟乙烯)等作为素材的环,由此可以捕捉到产生出的自由基。这样,可以进一步降低基于自由基对O形密封圈带来的损害,从而可以实现长寿命化。
而且,在上述实施方式中,作为反应气体使用了混合有氧的混合气体,但是并不限于此,在等离子体处理时即使使用了混合了产生自由基的其他气体的其他气体的混合气体的情况也适用。具体而言,例如氟或氯、溴、碘等卤素类气体产生卤素自由基,因此对于使用了这样的卤素类气体的情况也适用。
其中,在上述的实施方式中,喷射器基座由导电体构成,但是不限于此,还可以有石英等绝缘体构成。
上面,参照附图对本发明的实施方式进行了说明,但是本发明不被图示的实施方式所限定。对图示的实施方式,在与本发明相同的范围内,或者等同的范围内,可以进行各种修改或者变形。
产业上的利用可能性
本发明涉及的等离子体处理装置可以有效地利用于被要求长寿命化的情况。

Claims (13)

1.一种等离子体处理装置,其特征在于,具备:
处理容器,在其内部对被处理基板进行等离子体处理;
保持台,其被配置在所述处理容器内,并且将所述被处理基板保持在其上;
微波发生器,其产生用于激发等离子体的微波;
电介质板,其被设置在与所述保持台对置的位置,用于将微波导入所述处理容器内;
反应气体供应部,其向被保持在所述保持台的所述被处理基板的中央区域供应等离子体处理用的反应气体,
所述反应气体供应部包括喷射器基座,该喷射器基座被配置在比与所述保持台对置的所述电介质板的壁面向所述电介质板的内侧后缩的位置,
在所述喷射器基座上设置有将所述等离子体处理用的反应气体向所述处理容器内供应的供应孔。
2.根据权利要求1所述的等离子体处理装置,其特征在于,
在所述喷射器基座中,所述供应孔被设置在与所述保持台对置的壁面上。
3.根据权利要求2所述的等离子体处理装置,其特征在于,
与所述保持台对置的壁面是平坦的。
4.根据权利要求1所述的等离子体处理装置,其特征在于,
所述喷射器基座包含突出部,所述突出部在未到达与所述保持台对置的所述电介质板的壁面的范围内,从与所述保持台对置的壁面向所述保持台侧延伸。
5.根据权利要求4所述的等离子体处理装置,其特征在于,
在所述突出部的前端部设置有所述供应孔。
6.根据权利要求1所述的等离子体处理装置,其特征在于,
所述电介质板是圆板状,
在所述喷射器基座上,所述供应孔被设置成位于所述电介质板的径向中央。
7.根据权利要求1所述的等离子体处理装置,其特征在于,
所述电介质板设置有贯通板厚度方向且用于将所述喷射器基座收容的基座收容部,
在所述喷射器基座中与所述基座收容部的壁面对置的壁面,设置有通过与所述基座收容部的壁面紧密结合来密封所述处理容器的密封件,
在所述处理容器内露出的壁面与设置有所述密封件的壁面之间具有台阶。
8.根据权利要求7所述的等离子体处理装置,其特征在于,
从所述电介质板的下表面到在所述处理容器内露出的壁面的距离与从所述电介质板的下表面到设置有所述密封件的壁面的距离不同。
9.根据权利要求7所述的等离子体处理装置,其特征在于,
构成所述台阶的所述喷射器基座的壁面包含向与下述的两个壁面中的至少一方正交的方向延伸的面,
所述两个壁面分别是,在所述处理容器内露出的壁面以及设置有所述密封件的壁面。
10.根据权利要求7所述的等离子体处理装置,其特征在于,
在设置有所述密封件的所述喷射器基座的壁面,设置有从壁面表面凹陷的凹部以便存放所述密封件。
11.根据权利要求7所述的等离子体处理装置,其特征在于,
设置有多个所述台阶。
12.根据权利要求7所述的等离子体处理装置,其特征在于,
所述密封件包括O形密封圈。
13.根据权利要求7所述的等离子体处理装置,其特征在于,
所述反应气体包含混合了氧的反应气体。
CN200980125715.7A 2008-07-09 2009-06-16 等离子体处理装置 Expired - Fee Related CN102084469B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2008-178864 2008-07-09
JP2008-178863 2008-07-09
JP2008178863A JP5304061B2 (ja) 2008-07-09 2008-07-09 プラズマ処理装置
JP2008178864A JP5304062B2 (ja) 2008-07-09 2008-07-09 プラズマ処理装置
PCT/JP2009/060916 WO2010004836A1 (ja) 2008-07-09 2009-06-16 プラズマ処理装置

Publications (2)

Publication Number Publication Date
CN102084469A CN102084469A (zh) 2011-06-01
CN102084469B true CN102084469B (zh) 2013-05-01

Family

ID=41506957

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980125715.7A Expired - Fee Related CN102084469B (zh) 2008-07-09 2009-06-16 等离子体处理装置

Country Status (5)

Country Link
US (1) US8800484B2 (zh)
KR (1) KR101174277B1 (zh)
CN (1) CN102084469B (zh)
TW (1) TWI425883B (zh)
WO (1) WO2010004836A1 (zh)

Families Citing this family (264)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5710209B2 (ja) 2010-01-18 2015-04-30 東京エレクトロン株式会社 電磁波給電機構およびマイクロ波導入機構
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
GB201021855D0 (en) 2010-12-23 2011-02-02 Element Six Ltd Microwave power delivery system for plasma reactors
GB201021913D0 (en) 2010-12-23 2011-02-02 Element Six Ltd Microwave plasma reactors and substrates for synthetic diamond manufacture
GB201021865D0 (en) 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for manufacturing synthetic diamond material
SG191220A1 (en) 2010-12-23 2013-07-31 Element Six Ltd Controlling doping of synthetic diamond material
GB201021860D0 (en) 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for diamond synthesis
GB201021853D0 (en) 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for manufacturing synthetic diamond material
GB201021870D0 (en) 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for manufacturing synthetic diamond material
WO2012121289A1 (ja) * 2011-03-08 2012-09-13 東京エレクトロン株式会社 表面波プラズマ処理装置、マイクロ波プラズマ源、およびそれに用いるマイクロ波導入機構
JP5851899B2 (ja) 2011-03-25 2016-02-03 東京エレクトロン株式会社 プラズマ処理装置
JP5955062B2 (ja) * 2011-04-25 2016-07-20 東京エレクトロン株式会社 プラズマ処理装置
JP5368514B2 (ja) * 2011-06-30 2013-12-18 東京エレクトロン株式会社 プラズマ処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20140042152A1 (en) * 2012-08-08 2014-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Variable frequency microwave device and method for rectifying wafer warpage
KR101411993B1 (ko) * 2012-09-25 2014-06-26 (주)젠 안테나 어셈블리 및 이를 구비한 플라즈마 처리 챔버
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US20150118855A1 (en) * 2013-10-30 2015-04-30 Nisene Technology Group Microwave induced plasma decapsulation
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
EP3064765A1 (de) * 2015-03-03 2016-09-07 MWI Micro Wave Ignition AG Verbrennungsmotor
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN106601580B (zh) * 2015-10-19 2018-08-24 北京北方华创微电子装备有限公司 进气机构及反应腔室
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10308506B2 (en) * 2016-01-27 2019-06-04 International Business Machines Corporation Use of a reactive, or reducing gas as a method to increase contact lifetime in micro contact mems switch devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11670490B2 (en) 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US10636629B2 (en) * 2017-10-05 2020-04-28 Applied Materials, Inc. Split slit liner door
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10840066B2 (en) * 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004017684A1 (ja) * 2002-08-14 2004-02-26 Tokyo Electron Limited プラズマ処理装置
CN1509496A (zh) * 2001-03-28 2004-06-30 东京毅力科创株式会社 等离子体处理装置
JP2007149559A (ja) * 2005-11-29 2007-06-14 Tokyo Electron Ltd プラズマ処理装置
JP2007243138A (ja) * 2006-02-13 2007-09-20 Tokyo Electron Ltd 基板処理装置及び基板処理方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4270999A (en) * 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
US5372674A (en) * 1993-05-14 1994-12-13 Hughes Aircraft Company Electrode for use in a plasma assisted chemical etching process
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
TW285746B (zh) * 1994-10-26 1996-09-11 Matsushita Electric Ind Co Ltd
TW388070B (en) * 1996-03-18 2000-04-21 Hyundai Electronics Ind Method for an inductively coupled plasma chemical vapor deposition and thin amorphous silicon film transistor utilizing thereof
US5996528A (en) * 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
WO1999049705A1 (fr) * 1998-03-20 1999-09-30 Tokyo Electron Limited Dispositif de traitement plasmique
US6528752B1 (en) * 1999-06-18 2003-03-04 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP2003289065A (ja) * 2002-03-28 2003-10-10 Shibaura Mechatronics Corp マイクロ波プラズマ処理装置
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
JP4563729B2 (ja) 2003-09-04 2010-10-13 東京エレクトロン株式会社 プラズマ処理装置
JP4273983B2 (ja) * 2004-02-04 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
TW200709296A (en) * 2005-05-31 2007-03-01 Tokyo Electron Ltd Plasma treatment apparatus and plasma treatment method
US7679024B2 (en) * 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US20070187363A1 (en) 2006-02-13 2007-08-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8100082B2 (en) * 2007-05-18 2012-01-24 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
JP5466756B2 (ja) * 2010-03-04 2014-04-09 東京エレクトロン株式会社 プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置
JP5955062B2 (ja) * 2011-04-25 2016-07-20 東京エレクトロン株式会社 プラズマ処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1509496A (zh) * 2001-03-28 2004-06-30 东京毅力科创株式会社 等离子体处理装置
WO2004017684A1 (ja) * 2002-08-14 2004-02-26 Tokyo Electron Limited プラズマ処理装置
JP2007149559A (ja) * 2005-11-29 2007-06-14 Tokyo Electron Ltd プラズマ処理装置
JP2007243138A (ja) * 2006-02-13 2007-09-20 Tokyo Electron Ltd 基板処理装置及び基板処理方法

Also Published As

Publication number Publication date
CN102084469A (zh) 2011-06-01
US8800484B2 (en) 2014-08-12
KR20110028461A (ko) 2011-03-18
TW201016080A (en) 2010-04-16
US20110114261A1 (en) 2011-05-19
KR101174277B1 (ko) 2012-08-16
WO2010004836A1 (ja) 2010-01-14
TWI425883B (zh) 2014-02-01

Similar Documents

Publication Publication Date Title
CN102084469B (zh) 等离子体处理装置
JP5891341B2 (ja) プラズマ生成装置及び方法
JP2019077951A (ja) 合成ダイヤモンド材料を製造するマイクロ波プラズマ反応器
KR101123502B1 (ko) 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체
KR101016147B1 (ko) 플라즈마 처리 장치, 안테나, 플라즈마 처리 장치의 사용방법, 및 플라즈마 처리 장치의 클리닝 방법
US20080230008A1 (en) Plasma species and uniformity control through pulsed vhf operation
CN105379428A (zh) 等离子体处理装置和等离子体处理方法
US20140151334A1 (en) Method and apparatus for processing carbon nanotubes
US10083820B2 (en) Dual-frequency surface wave plasma source
CN101048029B (zh) 微波等离子体处理装置及其制造方法、等离子体处理方法
US10144040B2 (en) Plasma processing method and plasma processing apparatus
US10665428B2 (en) Plasma processing apparatus
US20150176125A1 (en) Substrate processing apparatus
CN102867724B (zh) 等离子体处理装置
JP5304061B2 (ja) プラズマ処理装置
JP5304062B2 (ja) プラズマ処理装置
JP2009123906A (ja) プラズマ処理装置
JP2015082546A (ja) プラズマ処理装置及びプラズマ処理方法
US11056318B2 (en) Plasma processing apparatus
JP2011187507A (ja) プラズマ処理装置およびプラズマ処理方法
KR20100056321A (ko) 다분할 전극을 구비한 마이크로웨이브 플라즈마 처리 챔버

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130501

Termination date: 20210616

CF01 Termination of patent right due to non-payment of annual fee