KR100665646B1 - 플라즈마 처리용 가스 주입 시스템 - Google Patents
플라즈마 처리용 가스 주입 시스템 Download PDFInfo
- Publication number
- KR100665646B1 KR100665646B1 KR1020017008435A KR20017008435A KR100665646B1 KR 100665646 B1 KR100665646 B1 KR 100665646B1 KR 1020017008435 A KR1020017008435 A KR 1020017008435A KR 20017008435 A KR20017008435 A KR 20017008435A KR 100665646 B1 KR100665646 B1 KR 100665646B1
- Authority
- KR
- South Korea
- Prior art keywords
- gas
- gas injector
- substrate
- plasma
- injector
- Prior art date
Links
- 238000012545 processing Methods 0.000 title claims abstract description 71
- 238000002347 injection Methods 0.000 title description 44
- 239000007924 injection Substances 0.000 title description 44
- 239000000758 substrate Substances 0.000 claims abstract description 137
- 238000000034 method Methods 0.000 claims abstract description 105
- 230000008569 process Effects 0.000 claims abstract description 98
- 239000004065 semiconductor Substances 0.000 claims abstract description 10
- 230000008878 coupling Effects 0.000 claims abstract description 7
- 238000010168 coupling process Methods 0.000 claims abstract description 7
- 238000005859 coupling reaction Methods 0.000 claims abstract description 7
- 230000008093 supporting effect Effects 0.000 claims abstract description 3
- 239000000460 chlorine Substances 0.000 claims description 15
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 14
- 229920005591 polysilicon Polymers 0.000 claims description 14
- 238000001020 plasma etching Methods 0.000 claims description 11
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 8
- 229910052801 chlorine Inorganic materials 0.000 claims description 8
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 7
- 229910052782 aluminium Inorganic materials 0.000 claims description 7
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 7
- 238000003672 processing method Methods 0.000 claims description 7
- 230000001154 acute effect Effects 0.000 claims description 6
- 238000005229 chemical vapour deposition Methods 0.000 claims description 6
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 claims description 5
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 claims description 5
- 229910052794 bromium Inorganic materials 0.000 claims description 5
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims description 3
- 229910052731 fluorine Inorganic materials 0.000 claims description 3
- 239000011737 fluorine Substances 0.000 claims description 3
- 239000011810 insulating material Substances 0.000 claims description 3
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 3
- 230000003213 activating effect Effects 0.000 claims description 2
- 238000009413 insulation Methods 0.000 claims 2
- 238000009832 plasma treatment Methods 0.000 claims 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims 1
- 239000007789 gas Substances 0.000 description 275
- 210000002381 plasma Anatomy 0.000 description 72
- 238000005530 etching Methods 0.000 description 34
- 235000012431 wafers Nutrition 0.000 description 27
- 238000000151 deposition Methods 0.000 description 16
- 230000008021 deposition Effects 0.000 description 15
- 150000002500 ions Chemical class 0.000 description 12
- 230000005684 electric field Effects 0.000 description 11
- 238000009616 inductively coupled plasma Methods 0.000 description 11
- 238000009826 distribution Methods 0.000 description 10
- 238000001878 scanning electron micrograph Methods 0.000 description 8
- 238000013461 design Methods 0.000 description 7
- 239000010408 film Substances 0.000 description 7
- 239000000376 reactant Substances 0.000 description 7
- 238000012546 transfer Methods 0.000 description 7
- 239000006227 byproduct Substances 0.000 description 6
- 230000000694 effects Effects 0.000 description 5
- 230000001976 improved effect Effects 0.000 description 5
- 238000002955 isolation Methods 0.000 description 5
- 239000000463 material Substances 0.000 description 5
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 5
- 238000009792 diffusion process Methods 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 239000012141 concentrate Substances 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- 238000009825 accumulation Methods 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 230000009286 beneficial effect Effects 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 125000001309 chloro group Chemical group Cl* 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 238000000354 decomposition reaction Methods 0.000 description 2
- -1 fluorine (F) Chemical class 0.000 description 2
- 229910052736 halogen Inorganic materials 0.000 description 2
- 150000002367 halogens Chemical class 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 239000007943 implant Substances 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 238000005086 pumping Methods 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 238000005245 sintering Methods 0.000 description 2
- 238000005728 strengthening Methods 0.000 description 2
- 238000009827 uniform distribution Methods 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- BSYNRYMUTXBXSQ-UHFFFAOYSA-N Aspirin Chemical compound CC(=O)OC1=CC=CC=C1C(O)=O BSYNRYMUTXBXSQ-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 238000005219 brazing Methods 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 230000006866 deterioration Effects 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000005520 electrodynamics Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 239000002360 explosive Substances 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 150000008282 halocarbons Chemical class 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 238000009828 non-uniform distribution Methods 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- 230000000379 polymerizing effect Effects 0.000 description 1
- 239000000843 powder Substances 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 239000012429 reaction media Substances 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
Images
Classifications
-
- H01L21/205—
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Physical Or Chemical Processes And Apparatus (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
Description
Claims (43)
- 플라즈마 처리 챔버;상기 처리 챔버에 연결된 진공 펌프;상기 처리 챔버내에서 기판을 지지하는 기판 지지대;상기 기판 지지대를 향하는 내부 표면을 가지며, 상기 처리 챔버의 벽을 형성하는 절연 부재;상기 절연 부재의 개구부내에 제거가능하게 장착되며, 그 말단은 처리 챔버내에서 노출되고, 상기 처리 챔버 내로 동일한 공정 가스를 공급하는 다수의 가수 배출구를 포함하며, 상기 절연 부재의 외부 표면과 접촉하는 표면을 포함하는 가스 인젝터; 및상기 공정 가스를 플라즈마 상태로 활성화시켜 상기 기판을 처리하기 위하여, 상기 절연 부재를 통하여 상기 챔버내로 RF 에너지를 유도적으로 결합시키는 RF 에너지 소오스를 포함하는 플라즈마 처리 시스템.
- 제 1 항에 있어서, 상기 시스템은 고밀도 플라즈마 화학 기상 증착 시스템 또는 고밀도 플라즈마 식각 시스템인 것을 특징으로 하는 플라즈마 처리 시스템.
- 제 1 항에 있어서, 상기 RF 에너지 소오스는 RF 안테나를 포함하며, 상기 가스 인젝터는 상기 챔버내의 주요 플라즈마 발생 영역을 향하여 상기 공정 가스를 주입하는 것을 특징으로 하는 플라즈마 처리 시스템.
- 제 1 항에 있어서, 상기 가스 배출구는 상기 가스 인젝터의 축방향 단부 표면에 위치되는 것을 특징으로 하는 플라즈마 처리 시스템.
- 제 1 항에 있어서, 상기 가스 배출구는 상기 기판의 노출된 표면에 대하여 수직인 축방향으로 연장되는 중심 가스 배출구와, 상기 축방향에 대하여 소정 예각으로 연장되는 다수개의 경사진 가스 배출구를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템.
- 제 1 항에 있어서, 상기 가스 인젝터는 아음속(subsonic velocity), 음속(sonic velocity) 또는 초음속(supersonic velocity)으로 상기 공정 가스를 주입하는 것을 특징으로 하는 플라즈마 처리 시스템.
- 제 1 항에 있어서, 상기 가스 인젝터는 절연 부재의 내부 표면과 동일 평면을 이루는 평면 축방향 말단면을 포함하는 것을 특징으로 하는 플라즈마 처리 시스템.
- 제 1 항에 있어서, 상기 가스 인젝터는 상기 챔버의 중심 영역으로 상기 공정 가스를 공급하는 것을 특징으로 하는 플라즈마 처리 시스템.
- 제 1 항에 있어서, 상기 가스 인젝터는 폐쇄된 말단을 포함하며, 상기 가스 배출구들은 상기 기판의 노출된 표면에 평행하는 평면에 대해서 소정 예각으로 상기 공정 가스를 주입하는 것을 특징으로 하는 플라즈마 처리 시스템.
- 제 1 항에 있어서, 상기 가스 인젝터는 상기 가스 인젝터와 상기 절연 부재 사이에 진공 밀봉을 제공하는 적어도 하나 이상의 오링을 포함하는 것을 특징으로 하는 플라즈마 처리 시스템.
- 제 1 항에 있어서, 상기 RF 에너지 소오스는 평면 또는 비평면 나선형 코일 형태의 RF 안테나를 포함하며, 상기 가스 인젝터는 상기 챔버내의 주요 플라즈마 발생 영역을 향하여 상기 공정 가스를 주입하는 것을 특징으로 하는 플라즈마 처리 시스템.
- 처리 챔버내에서 상기 처리 챔버의 벽을 형성하는 절연 부재의 내부 표면과 마주하는 기판 지지대상에 기판을 위치시키는 단계;상기 처리 챔버 내부로 동일한 공정 가스를 공급하는 다수의 가스 배출구들을 포함하며, 그 말단이 상기 처리 챔버내로 노출되는 상기 절연 부재의 개구부내에 제거가능하게 장착되는 가스 인젝터로부터 상기 처리 챔버내로 상기 공정 가스를 공급하는 단계; 및RF 에너지 소오스에 의해 발생된 RF 에너지를 상기 절연 부재를 통해 상기 처리 챔버내로 유도 결합하는 것에 의해 상기 공정 가스가 상기 기판의 노출면과 플라즈마 상으로 반응하도록 상기 공정 가스를 플라즈마 상태로 활성화시키는 단계를 포함하는 것을 특징으로 하는 기판의 플라즈마 처리 방법.
- 제 12 항에 있어서, 상기 RF 에너지 소오스는 평면 또는 비평면 나선형 코일 형태의 RF 안테나를 포함하며, 상기 가스 인젝터는 상기 챔버내의 주요 플라즈마 발생 영역을 향하여 상기 공정 가스를 주입하는 것을 특징으로 하는 플라즈마 처리 방법.
- 제 12 항에 있어서, 상기 가스 배출구들은 상기 공정 가스를 상기 기판의 노출면에 직접 향하기 보다는 다른 방향으로 주입하는 것을 특징으로 하는 플라즈마 처리 방법.
- 제 12 항에 있어서, 상기 가스 인젝터는 절연 부재의 내부 표면 하부까지 연장되고, 상기 가스 배출구는 다수의 방향으로 상기 공정 가스를 주입하는 것을 특징으로 하는 플라즈마 처리 방법.
- 제 12 항에 있어서, 상기 가스 인젝터는 아음속, 음속 또는 초음속으로 상기 공정 가스를 주입하는 것을 특징으로 하는 플라즈마 처리 방법.
- 제 12 항에 있어서, 개개의 기판들이, 상기 기판들 각각의 상부에 층을 증착 또는 식각하도록, 상기 기판들과 플라즈마 가스를 접촉시킴으로써 상기 처리 챔버내에서 연속적으로 처리되는 것을 특징으로 하는 플라즈마 처리 방법.
- 제 12 항에 있어서, 상기 가스 인젝터는 상기 챔버의 중심 영역으로 연장되고, 상기 가스 배출구들은 상기 기판의 노출된 면과 상기 절연 부재의 내부 표면 사이의 영역내에 상기 공정 가스를 주입하는 것을 특징으로 하는 플라즈마 처리 방법.
- 제 12 항에 있어서, 상기 가스 배출구들은 상기 가스 인젝터의 말단의 중심 가스 배출구와, 상기 중심 가스 배출구를 둘러싸며, 다수의 다른 방향으로 상기 공정 가스를 주입하는 다수의 가스 배출구를 포함하는 것을 특징으로 하는 플라즈마 처리 방법.
- 제 12 항에 있어서, 상기 가스 배출구들을 통하여 염소(Chlorine)를 포함하는 가스를 주입하여 상기 기판상의 알루미늄층을 플라즈마 식각하는 단계를 포함하며, 상기 각각의 가스 배출구들은 상기 기판의 노출면에 대하여 수직이 아닌 방향으로 상기 가스를 주입하는 것을 특징으로 플라즈마 처리 방법.
- 제 12 항에 있어서, 상기 기판의 노출면에 대하여 수직인 축방향에 있는 중심 가스 배출구 및 상기 중심 배출구를 둘러싸는 다수의 경사진 가스 배출구들을 통하여, 염소, 브롬(Bromine) 또는 염소 및 브롬을 함유하는 가스를 주입하여, 상기 기판상의 폴리실리콘층을 플라즈마 식각하는 단계를 포함하며, 상기 경사진 가스 배출구들은 상기 축방향에 대해서 10 내지 60°를 이루는 방향으로 상기 가스를 주입는 것을 특징으로 하는 플라즈마 처리 방법.
- 제 12 항에 있어서, 상기 기판의 노출면에 대하여 수직인 축방향에 있는 중심 가스 배출구 및 상기 중심 배출구를 둘러싸는 다수의 경사진 가스 배출구들을 통하여, 불소(Fluorine)를 함유하는 가스를 주입하여, 상기 기판상의 실리콘 산화물층을 플라즈마 식각하는 단계를 포함하며, 상기 경사진 가스 배출구들은 상기 축방향에 대해서 10 내지 60°를 이루는 방향으로 가스를 주입하는 것을 특징으로 하는 플라즈마 처리 방법.
- 제 12 항에 있어서, 상기 기판의 노출면에 대하여 수직인 축방향에 있는 중심 가스 배출구 및 상기 중심 배출구를 둘러싸는 다수의 경사진 가스 배출구들을 통하여, 염소, 브롬 또는 염소 및 브롬을 함유하는 가스를 주입하여, 상기 기판상의 폴리실리콘층을 플라즈마 식각하는 단계를 포함하며, 상기 경사진 가스 배출구들은 상기 축방향에 대해서 10 내지 30°를 이루는 방향으로 상기 가스를 주입하는 것을 특징으로 하는 플라즈마 처리 방법.
- 제 12 항에 있어서, 상기 기판의 노출면에 대하여 수직인 축방향에 있는 중심 가스 배출구 및 상기 중심 배출구를 둘러싸는 다수의 경사진 가스 배출구들을 통하여, 불소(Fluorine)를 함유하는 가스를 주입하여, 상기 기판상의 실리콘 산화물층을 플라즈마 식각하는 단계를 포함하며, 상기 경사진 가스 배출구들은 상기 축방향에 대해서 10 내지 45°를 이루는 방향으로 상기 가스를 주입하는 것을 특징으로 하는 플라즈마 처리 방법.
- 반도체 기판이 플라즈마 처리되어지는 플라즈마 처리 챔버로 공정 가스를 공급하기 위한 가스 인젝터에 있어서, 상기 가스 인젝터는,상기 처리 챔버의 챔버 벽체를 통하여 연장되는 크기로 만들어진 가스 인젝터 몸체를 포함하며, 상기 가스 인젝터 몸체의 축방향 말단 표면은 상기 처리 챔버내로 노출되며, 상기 가스 인젝터 몸체는 상기 처리 챔버 내로 동일한 공정 가스를 공급하도록 적용된 다수의 가수 배출구를 포함하며, 상기 가스 배출구는 축방향으로 연장되는 중심 가스 배출구 및 축 방향에 대하여 예각으로 연장되는 다수개의 경사진 가스 배출구를 포함하며, 상기 가스 배출구들은 상기 가스 인젝터 몸체의 축 말단 표면 내에 위치하는 것을 특징으로 하는 가스 인젝터.
- 제 25 항에 있어서, 상기 가스 인젝터는 아음속, 음속 또는 초음속으로 상기 공정 가스를 주입하는 것을 특징으로 하는 가스 인젝터.
- 제 25 항에 있어서, 상기 가스 인젝터는 삼기 챔버 벽체를 형성하는 절연 윈도우의 내부 표면과 동일 평면을 이루는 평면 축방향 말단면을 포함하는 것을 특징으로 하는 가스 인젝터.
- 제 27 항에 있어서, 상기 가스 인젝터는 상기 가스 인젝터가 상기 절연 윈도우내에 장착될 때 상기 절연 윈도우와 접촉되도록 적용된 적어도 하나의 밀봉을 포함하는 것을 특징으로 하는 가스 인젝터.
- 제 25 항에 있어서, 상기 가스 인젝터는 폐쇄된 말단 표면을 포함하며, 상기 가스 배출구들은 상기 말단 표면에 평행하는 평면에 대해서 소정 예각으로 상기 공정 가스를 주입하는 것을 특징으로 하는 가스 인젝터.
- 제 25 항에 있어서, 상기 가스 인젝터는 상기 챔버 벽체 내의 개구부내에 제거가능하게 장착되도록 적용되며, 상기 가스 인젝터와 상기 챔버 벽체 사이에 진공 밀봉을 제공하는 적어도 하나 이상의 오링을 포함하는 것을 특징으로 하는 가스 인젝터.
- 제 25 항에 있어서, 상기 가스 인젝터 몸체는 상기 챔버 벽체의 외부 표면과 접촉하도록 적용된 표면을 포함하는 것을 특징으로 하는 가스 인젝터.
- 제 25 항에 있어서, 상기 가스 인젝터 몸체는 상기 챔버 벽체의 외부 표면과 접촉하도록 적용된 원형 플랜지를 포함하는 것을 특징으로 하는 가스 인젝터.
- 제 25 항에 있어서, 상기 가스 인젝터 몸체는 상기 가스 인젝터 몸체의 외부 표면상에 적어도 하나의 오링을 포함하는 것을 특징으로 하는 가스 인젝터.
- 제 25 항에 있어서, 상기 가스 인젝터 몸체는 상기 가스 인젝터 몸체의 외부 표면상에 제1 오링과 상기 가스 인젝터 몸체의 외부 표면으로부터 연장되는 플랜지의 표면내에 제2 오링을 포함하는 것을 특징으로 하는 가스 인젝터.
- 제 25 항에 있어서, 상기 가스 인젝터 몸체의 말단은 기본적으로 평면인 것을 특징으로 하는 가스 인젝터.
- 제 25 항에 있어서, 상기 모든 가스 배출구들은 상기 가스 인젝터 몸체의 말단을 통하여 공정 가스를 공급하는 것을 특징으로 하는 가스 인젝터.
- 제 25 항에 있어서, 상기 가스 인젝터 몸체는 상기 가스 인젝터 몸체의 상부 축방향 말단면으로부터 축방향으로 연장되는 균일한 직경의 중앙 보어를 포함하며, 상기 중앙 보어는 원통형 측벽과 평탄한 말단벽에 의해 정의되어지며, 상기 가스 배출구들의 배출구는 상기 평탄한 말단벽상에 위치하는 것을 특징으로 하는 가스 인젝터.
- 제 25 항에 있어서, 상기 가스 인젝터 몸체는 절연 물질로 이루어진 것을 특징으로 하는 가스 인젝터.
- 제 25 항에 있어서, 상기 가스 인젝터 몸체는 8개의 상기 경사진 가스 배출구들을 포함하는 것을 특징으로 하는 가스 인젝터.
- 제 25 항에 있어서, 상기 예각은 10 내지 70°인 것을 특징으로 하는 가스 인젝터.
- 제 25 항에 있어서, 상기 경사진 가스 배출구들은 상기 공정 가스가 처리되어질 기판을 향하여 직접 흐르지 않도록 상기 공정 가스를 배출하는 것을 특징으로 하는 가스 인젝터.
- 반도체 기판이 플라즈마 처리되어지는 플라즈마 처리 챔버로 공정 가스를 공급하기 위한 가스 인젝터에 있어서, 상기 가스 인젝터는,상기 처리 챔버의 챔버 벽체를 통하여 연장되는 크기로 만들어진 가스 인젝터 몸체를 포함하며, 상기 가스 인젝터 몸체의 말단이 상기 처리 챔버내로 노출되며, 상기 가스 인젝터 몸체는 상기 처리 챔버내로 동일한 공정 가스를 공급하도록 적용된 다수의 가수 배출구를 포함하는 가스 인젝터 몸체;상기 챔버 벽체의 외부 표면과 중첩하며 접촉하도록 적용된 원형 플랜지; 및상기 챔버 벽체의 외부 표면에 대하여 밀봉을 제공하기 위해 상기 플랜지의 표면상에 형성되는 제1 오링을 포함하는 것을 특징으로 하는 가스 인젝터.
- 제 42 항에 있어서, 상기 가스 인젝터 몸체의 외부 표면상에 형성된 제2 오링을 더 포함하는 것을 특징으로 하는 가스 인젝터.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/223,273 US6230651B1 (en) | 1998-12-30 | 1998-12-30 | Gas injection system for plasma processing |
US09/223,273 | 1998-12-30 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20010101359A KR20010101359A (ko) | 2001-11-14 |
KR100665646B1 true KR100665646B1 (ko) | 2007-01-09 |
Family
ID=22835796
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020017008435A KR100665646B1 (ko) | 1998-12-30 | 1999-12-07 | 플라즈마 처리용 가스 주입 시스템 |
Country Status (7)
Country | Link |
---|---|
US (3) | US6230651B1 (ko) |
EP (1) | EP1145277B1 (ko) |
JP (1) | JP4588885B2 (ko) |
KR (1) | KR100665646B1 (ko) |
IL (1) | IL144001A (ko) |
TW (1) | TW548680B (ko) |
WO (1) | WO2000041212A1 (ko) |
Families Citing this family (110)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6129808A (en) * | 1998-03-31 | 2000-10-10 | Lam Research Corporation | Low contamination high density plasma etch chambers and methods for making the same |
US6230651B1 (en) * | 1998-12-30 | 2001-05-15 | Lam Research Corporation | Gas injection system for plasma processing |
US6432259B1 (en) | 1999-12-14 | 2002-08-13 | Applied Materials, Inc. | Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates |
US6451161B1 (en) * | 2000-04-10 | 2002-09-17 | Nano-Architect Research Corporation | Method and apparatus for generating high-density uniform plasma |
US6403491B1 (en) * | 2000-11-01 | 2002-06-11 | Applied Materials, Inc. | Etch method using a dielectric etch chamber with expanded process window |
US6716302B2 (en) | 2000-11-01 | 2004-04-06 | Applied Materials Inc. | Dielectric etch chamber with expanded process window |
KR100413145B1 (ko) * | 2001-01-11 | 2003-12-31 | 삼성전자주식회사 | 가스 인젝터 및 이를 갖는 식각 장치 |
US6852167B2 (en) * | 2001-03-01 | 2005-02-08 | Micron Technology, Inc. | Methods, systems, and apparatus for uniform chemical-vapor depositions |
US6758909B2 (en) * | 2001-06-05 | 2004-07-06 | Honeywell International Inc. | Gas port sealing for CVD/CVI furnace hearth plates |
US6548416B2 (en) * | 2001-07-24 | 2003-04-15 | Axcelis Technolgoies, Inc. | Plasma ashing process |
US7138336B2 (en) * | 2001-08-06 | 2006-11-21 | Asm Genitech Korea Ltd. | Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof |
US20030070620A1 (en) * | 2001-10-15 | 2003-04-17 | Cooperberg David J. | Tunable multi-zone gas injection system |
US7160577B2 (en) | 2002-05-02 | 2007-01-09 | Micron Technology, Inc. | Methods for atomic-layer deposition of aluminum oxides in integrated circuits |
JP4338355B2 (ja) * | 2002-05-10 | 2009-10-07 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US7861667B2 (en) * | 2002-05-23 | 2011-01-04 | Lam Research Corporation | Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a multi-part electrode |
GB2406583B (en) * | 2002-08-08 | 2005-12-21 | Trikon Technologies Ltd | Improvements to showerheads |
US7270713B2 (en) * | 2003-01-07 | 2007-09-18 | Applied Materials, Inc. | Tunable gas distribution plate assembly |
WO2004088729A1 (en) * | 2003-03-26 | 2004-10-14 | Tokyo Electron Limited | Chemical processing system and method |
US6942753B2 (en) * | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
JP2007525822A (ja) * | 2003-05-30 | 2007-09-06 | アヴィザ テクノロジー インコーポレイテッド | ガス分配システム |
US7083903B2 (en) * | 2003-06-17 | 2006-08-01 | Lam Research Corporation | Methods of etching photoresist on substrates |
JP2005072446A (ja) * | 2003-08-27 | 2005-03-17 | Chi Mei Electronics Corp | プラズマ処理装置及び基板の表面処理装置 |
KR100859265B1 (ko) * | 2003-12-30 | 2008-09-18 | 동부일렉트로닉스 주식회사 | 반도체 제조 설비의 공정 가스 유입관 구조 |
KR101025323B1 (ko) * | 2004-01-13 | 2011-03-29 | 가부시키가이샤 아루박 | 에칭 장치 및 에칭 방법 |
US20070066038A1 (en) | 2004-04-30 | 2007-03-22 | Lam Research Corporation | Fast gas switching plasma processing apparatus |
US7708859B2 (en) * | 2004-04-30 | 2010-05-04 | Lam Research Corporation | Gas distribution system having fast gas switching capabilities |
US20060021703A1 (en) * | 2004-07-29 | 2006-02-02 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US7081421B2 (en) | 2004-08-26 | 2006-07-25 | Micron Technology, Inc. | Lanthanide oxide dielectric layer |
US7494939B2 (en) | 2004-08-31 | 2009-02-24 | Micron Technology, Inc. | Methods for forming a lanthanum-metal oxide dielectric layer |
US20060051965A1 (en) * | 2004-09-07 | 2006-03-09 | Lam Research Corporation | Methods of etching photoresist on substrates |
US7235501B2 (en) | 2004-12-13 | 2007-06-26 | Micron Technology, Inc. | Lanthanum hafnium oxide dielectrics |
KR20060076346A (ko) * | 2004-12-29 | 2006-07-04 | 주식회사 하이닉스반도체 | 반도체 제조용 고밀도 플라즈마 화학기상증착 장치 |
WO2006106764A1 (ja) * | 2005-03-30 | 2006-10-12 | Matsushita Electric Industrial Co., Ltd. | 伝送線路 |
US7662729B2 (en) | 2005-04-28 | 2010-02-16 | Micron Technology, Inc. | Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer |
KR100522166B1 (ko) * | 2005-05-26 | 2005-10-18 | 한국기계연구원 | 플라즈마 반응장치 |
US7927948B2 (en) | 2005-07-20 | 2011-04-19 | Micron Technology, Inc. | Devices with nanocrystals and methods of formation |
US20070032081A1 (en) | 2005-08-08 | 2007-02-08 | Jeremy Chang | Edge ring assembly with dielectric spacer ring |
JP4628900B2 (ja) * | 2005-08-24 | 2011-02-09 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US7703479B2 (en) * | 2005-10-17 | 2010-04-27 | The University Of Kentucky Research Foundation | Plasma actuator |
US20090087967A1 (en) * | 2005-11-14 | 2009-04-02 | Todd Michael A | Precursors and processes for low temperature selective epitaxial growth |
KR100707983B1 (ko) * | 2005-11-28 | 2007-04-16 | 주식회사 에이이티 | 산화막의 원자층 에칭방법 |
US7554053B2 (en) * | 2005-12-23 | 2009-06-30 | Lam Research Corporation | Corrugated plasma trap arrangement for creating a highly efficient downstream microwave plasma system |
US7679024B2 (en) * | 2005-12-23 | 2010-03-16 | Lam Research Corporation | Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber |
US7562638B2 (en) * | 2005-12-23 | 2009-07-21 | Lam Research Corporation | Methods and arrangement for implementing highly efficient plasma traps |
US8088248B2 (en) | 2006-01-11 | 2012-01-03 | Lam Research Corporation | Gas switching section including valves having different flow coefficients for gas distribution system |
US7685965B1 (en) * | 2006-01-26 | 2010-03-30 | Lam Research Corporation | Apparatus for shielding process chamber port |
JP4833778B2 (ja) * | 2006-02-13 | 2011-12-07 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
JP4674177B2 (ja) * | 2006-03-15 | 2011-04-20 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US8187415B2 (en) * | 2006-04-21 | 2012-05-29 | Applied Materials, Inc. | Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone |
US20070249173A1 (en) * | 2006-04-21 | 2007-10-25 | Applied Materials, Inc. | Plasma etch process using etch uniformity control by using compositionally independent gas feed |
US7540971B2 (en) * | 2006-04-28 | 2009-06-02 | Applied Materials, Inc. | Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content |
US8231799B2 (en) * | 2006-04-28 | 2012-07-31 | Applied Materials, Inc. | Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone |
US20070254483A1 (en) * | 2006-04-28 | 2007-11-01 | Applied Materials, Inc. | Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity |
US7541292B2 (en) * | 2006-04-28 | 2009-06-02 | Applied Materials, Inc. | Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones |
US7431859B2 (en) * | 2006-04-28 | 2008-10-07 | Applied Materials, Inc. | Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation |
CN101466866B (zh) * | 2006-05-09 | 2012-10-03 | 株式会社爱发科 | 薄膜制造装置及薄膜制造装置用内部块 |
US7605063B2 (en) * | 2006-05-10 | 2009-10-20 | Lam Research Corporation | Photoresist stripping chamber and methods of etching photoresist on substrates |
KR100748872B1 (ko) * | 2006-06-09 | 2007-08-13 | 에이피티씨 주식회사 | 가스 공급 인젝터를 포함하는 플라즈마 공정 장비 |
US7928366B2 (en) * | 2006-10-06 | 2011-04-19 | Lam Research Corporation | Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access |
WO2008005539A2 (en) * | 2006-07-07 | 2008-01-10 | Accretech Usa, Inc. | Apparatus for cleaning a wafer substrate |
US7972471B2 (en) * | 2007-06-29 | 2011-07-05 | Lam Research Corporation | Inductively coupled dual zone processing chamber with single planar antenna |
KR20090018290A (ko) * | 2007-08-17 | 2009-02-20 | 에이에스엠지니텍코리아 주식회사 | 증착 장치 |
US20090221149A1 (en) * | 2008-02-28 | 2009-09-03 | Hammond Iv Edward P | Multiple port gas injection system utilized in a semiconductor processing system |
JP5223377B2 (ja) | 2008-02-29 | 2013-06-26 | 東京エレクトロン株式会社 | プラズマ処理装置用の電極、プラズマ処理装置及びプラズマ処理方法 |
JP5230225B2 (ja) * | 2008-03-06 | 2013-07-10 | 東京エレクトロン株式会社 | 蓋部品、処理ガス拡散供給装置、及び基板処理装置 |
EP2342951B1 (en) * | 2008-10-31 | 2019-03-06 | Lam Research Corporation | Lower electrode assembly of plasma processing chamber |
KR200475462Y1 (ko) * | 2009-03-27 | 2014-12-03 | 램 리써치 코포레이션 | 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션 |
US8415884B2 (en) * | 2009-09-08 | 2013-04-09 | Tokyo Electron Limited | Stable surface wave plasma source |
JP3178295U (ja) * | 2009-09-10 | 2012-09-13 | ラム リサーチ コーポレーション | プラズマ処理装置の交換式上部チャンバ部品 |
KR20120116923A (ko) * | 2009-11-30 | 2012-10-23 | 램 리써치 코포레이션 | 각진 측벽을 가진 정전 척 |
DE202010015933U1 (de) | 2009-12-01 | 2011-03-31 | Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont | Eine Randringanordnung für Plasmaätzkammern |
US20110278260A1 (en) | 2010-05-14 | 2011-11-17 | Applied Materials, Inc. | Inductive plasma source with metallic shower head using b-field concentrator |
US8485128B2 (en) | 2010-06-30 | 2013-07-16 | Lam Research Corporation | Movable ground ring for a plasma processing chamber |
US9171702B2 (en) | 2010-06-30 | 2015-10-27 | Lam Research Corporation | Consumable isolation ring for movable substrate support assembly of a plasma processing chamber |
US8826855B2 (en) | 2010-06-30 | 2014-09-09 | Lam Research Corporation | C-shaped confinement ring for a plasma processing chamber |
US9728429B2 (en) | 2010-07-27 | 2017-08-08 | Lam Research Corporation | Parasitic plasma prevention in plasma processing chambers |
US10658161B2 (en) * | 2010-10-15 | 2020-05-19 | Applied Materials, Inc. | Method and apparatus for reducing particle defects in plasma etch chambers |
US8133349B1 (en) | 2010-11-03 | 2012-03-13 | Lam Research Corporation | Rapid and uniform gas switching for a plasma etch process |
US8288174B1 (en) | 2011-03-24 | 2012-10-16 | Tokyo Electron Limited | Electrostatic post exposure bake apparatus and method |
US8562785B2 (en) | 2011-05-31 | 2013-10-22 | Lam Research Corporation | Gas distribution showerhead for inductively coupled plasma etch reactor |
US9245717B2 (en) | 2011-05-31 | 2016-01-26 | Lam Research Corporation | Gas distribution system for ceramic showerhead of plasma etch reactor |
US9947512B2 (en) * | 2011-10-25 | 2018-04-17 | Lam Research Corporation | Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber |
US9679751B2 (en) | 2012-03-15 | 2017-06-13 | Lam Research Corporation | Chamber filler kit for plasma etch chamber useful for fast gas switching |
US10174422B2 (en) | 2012-10-25 | 2019-01-08 | Applied Materials, Inc. | Apparatus for selective gas injection and extraction |
WO2014119177A1 (ja) * | 2013-01-30 | 2014-08-07 | 京セラ株式会社 | ガスノズルおよびこれを用いたプラズマ装置 |
US9314854B2 (en) | 2013-01-30 | 2016-04-19 | Lam Research Corporation | Ductile mode drilling methods for brittle components of plasma processing apparatuses |
US8893702B2 (en) | 2013-02-20 | 2014-11-25 | Lam Research Corporation | Ductile mode machining methods for hard and brittle components of plasma processing apparatuses |
US9536710B2 (en) * | 2013-02-25 | 2017-01-03 | Applied Materials, Inc. | Tunable gas delivery assembly with internal diffuser and angular injection |
CN107424901B (zh) * | 2013-03-12 | 2019-06-11 | 应用材料公司 | 具有方位角与径向分布控制的多区域气体注入组件 |
CN104782234B (zh) * | 2013-03-15 | 2017-07-14 | 应用材料公司 | 具有高度对称四重式气体注入的等离子体反应器 |
US9449797B2 (en) | 2013-05-07 | 2016-09-20 | Lam Research Corporation | Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface |
US9275869B2 (en) * | 2013-08-02 | 2016-03-01 | Lam Research Corporation | Fast-gas switching for etching |
US9123661B2 (en) | 2013-08-07 | 2015-09-01 | Lam Research Corporation | Silicon containing confinement ring for plasma processing apparatus and method of forming thereof |
US10553398B2 (en) * | 2013-09-06 | 2020-02-04 | Applied Materials, Inc. | Power deposition control in inductively coupled plasma (ICP) reactors |
US10249511B2 (en) * | 2014-06-27 | 2019-04-02 | Lam Research Corporation | Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus |
JP6499835B2 (ja) * | 2014-07-24 | 2019-04-10 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
US10465288B2 (en) * | 2014-08-15 | 2019-11-05 | Applied Materials, Inc. | Nozzle for uniform plasma processing |
US9966270B2 (en) * | 2015-03-31 | 2018-05-08 | Lam Research Corporation | Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity |
KR101633721B1 (ko) * | 2015-11-27 | 2016-06-27 | (주)이엠아이티 | 수직 다중 기둥 구조를 갖는 rf 안테나 |
US9716005B1 (en) | 2016-03-18 | 2017-07-25 | Applied Materials, Inc. | Plasma poisoning to enable selective deposition |
KR102553629B1 (ko) * | 2016-06-17 | 2023-07-11 | 삼성전자주식회사 | 플라즈마 처리 장치 |
US20190032211A1 (en) * | 2017-07-28 | 2019-01-31 | Lam Research Corporation | Monolithic ceramic gas distribution plate |
US11670490B2 (en) | 2017-09-29 | 2023-06-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit fabrication system with adjustable gas injector |
US10840066B2 (en) * | 2018-06-13 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Adjustable fastening device for plasma gas injectors |
CN110223904A (zh) * | 2019-07-19 | 2019-09-10 | 江苏鲁汶仪器有限公司 | 一种具有法拉第屏蔽装置的等离子体处理系统 |
CN111081525B (zh) * | 2019-12-31 | 2021-06-08 | 江苏鲁汶仪器有限公司 | 一种阻挡工艺腔室等离子体反流保护进气结构的装置 |
WO2021206950A1 (en) * | 2020-04-06 | 2021-10-14 | Lam Research Corporation | Ceramic additive manufacturing techniques for gas injectors |
TWI767244B (zh) * | 2020-05-29 | 2022-06-11 | 朗曦科技股份有限公司 | 半導體製程腔體之氣體噴頭 |
KR20220021206A (ko) * | 2020-08-13 | 2022-02-22 | 삼성전자주식회사 | 플라즈마 처리 장치 |
JP2023043679A (ja) * | 2021-09-16 | 2023-03-29 | 株式会社Screenホールディングス | 基板処理装置および基板処理方法 |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP0819780A2 (en) * | 1996-07-15 | 1998-01-21 | Applied Materials, Inc. | Inductively coupled HDP-CVD reactor |
Family Cites Families (65)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS51144183A (en) * | 1975-06-06 | 1976-12-10 | Hitachi Ltd | Semiconductor element containing surface protection film |
DE2608417C3 (de) * | 1976-03-01 | 1981-02-12 | Degussa Ag, 6000 Frankfurt | Verfahren und vorrichtung zur herstellung von russ |
US4270999A (en) | 1979-09-28 | 1981-06-02 | International Business Machines Corporation | Method and apparatus for gas feed control in a dry etching process |
JPS59150417A (ja) | 1983-02-08 | 1984-08-28 | Toshiba Corp | 気相成長方法およびその装置 |
US4691662A (en) | 1983-02-28 | 1987-09-08 | Michigan State University | Dual plasma microwave apparatus and method for treating a surface |
JPS6077429A (ja) * | 1983-10-04 | 1985-05-02 | Asahi Glass Co Ltd | ドライエツチング方法 |
JPS61100935A (ja) | 1984-10-23 | 1986-05-19 | Fujitsu Ltd | ドライエツチング装置 |
US4614639A (en) | 1985-04-26 | 1986-09-30 | Tegal Corporation | Compound flow plasma reactor |
US4612077A (en) * | 1985-07-29 | 1986-09-16 | The Perkin-Elmer Corporation | Electrode for plasma etching system |
US5160543A (en) * | 1985-12-20 | 1992-11-03 | Canon Kabushiki Kaisha | Device for forming a deposited film |
US4992301A (en) | 1987-09-22 | 1991-02-12 | Nec Corporation | Chemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness |
US4980204A (en) * | 1987-11-27 | 1990-12-25 | Fujitsu Limited | Metal organic chemical vapor deposition method with controlled gas flow rate |
US4996077A (en) | 1988-10-07 | 1991-02-26 | Texas Instruments Incorporated | Distributed ECR remote plasma processing and apparatus |
US4943345A (en) | 1989-03-23 | 1990-07-24 | Board Of Trustees Operating Michigan State University | Plasma reactor apparatus and method for treating a substrate |
US5104634A (en) * | 1989-04-20 | 1992-04-14 | Hercules Incorporated | Process for forming diamond coating using a silent discharge plasma jet process |
US5134965A (en) | 1989-06-16 | 1992-08-04 | Hitachi, Ltd. | Processing apparatus and method for plasma processing |
US5164040A (en) | 1989-08-21 | 1992-11-17 | Martin Marietta Energy Systems, Inc. | Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets |
JPH04355917A (ja) * | 1990-10-12 | 1992-12-09 | Seiko Epson Corp | 半導体装置の製造装置 |
US5252132A (en) * | 1990-11-22 | 1993-10-12 | Mitsubishi Denki Kabushiki Kaisha | Apparatus for producing semiconductor film |
JP2839720B2 (ja) | 1990-12-19 | 1998-12-16 | 株式会社東芝 | 熱処理装置 |
US5531834A (en) | 1993-07-13 | 1996-07-02 | Tokyo Electron Kabushiki Kaisha | Plasma film forming method and apparatus and plasma processing apparatus |
US5614055A (en) | 1993-08-27 | 1997-03-25 | Applied Materials, Inc. | High density plasma CVD and etching reactor |
KR100264445B1 (ko) * | 1993-10-04 | 2000-11-01 | 히가시 데쓰로 | 플라즈마처리장치 |
TW293983B (ko) | 1993-12-17 | 1996-12-21 | Tokyo Electron Co Ltd | |
US5680013A (en) * | 1994-03-15 | 1997-10-21 | Applied Materials, Inc. | Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces |
US5589002A (en) * | 1994-03-24 | 1996-12-31 | Applied Materials, Inc. | Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing |
US5522934A (en) | 1994-04-26 | 1996-06-04 | Tokyo Electron Limited | Plasma processing apparatus using vertical gas inlets one on top of another |
US5425810A (en) * | 1994-05-11 | 1995-06-20 | Internation Business Machines Corporation | Removable gas injectors for use in chemical vapor deposition of aluminium oxide |
US5426810A (en) * | 1994-05-23 | 1995-06-27 | Americo | Abrasive pad holder |
GB9410567D0 (en) * | 1994-05-26 | 1994-07-13 | Philips Electronics Uk Ltd | Plasma treatment and apparatus in electronic device manufacture |
US5540800A (en) | 1994-06-23 | 1996-07-30 | Applied Materials, Inc. | Inductively coupled high density plasma reactor for plasma assisted materials processing |
US5580385A (en) | 1994-06-30 | 1996-12-03 | Texas Instruments, Incorporated | Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber |
US5746875A (en) * | 1994-09-16 | 1998-05-05 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
US5643394A (en) * | 1994-09-16 | 1997-07-01 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
JP3699142B2 (ja) * | 1994-09-30 | 2005-09-28 | アネルバ株式会社 | 薄膜形成装置 |
TW285746B (ko) * | 1994-10-26 | 1996-09-11 | Matsushita Electric Ind Co Ltd | |
DE4440323A1 (de) * | 1994-11-11 | 1996-05-15 | Sulzer Metco Ag | Düse für einen Brennerkopf eines Plasmaspritzgeräts |
JPH08158072A (ja) | 1994-12-02 | 1996-06-18 | Nippon Soken Inc | ドライエッチング装置 |
US5685942A (en) * | 1994-12-05 | 1997-11-11 | Tokyo Electron Limited | Plasma processing apparatus and method |
JPH0945624A (ja) * | 1995-07-27 | 1997-02-14 | Tokyo Electron Ltd | 枚葉式の熱処理装置 |
JP3150056B2 (ja) * | 1995-10-19 | 2001-03-26 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US5792269A (en) * | 1995-10-31 | 1998-08-11 | Applied Materials, Inc. | Gas distribution for CVD systems |
US5772771A (en) * | 1995-12-13 | 1998-06-30 | Applied Materials, Inc. | Deposition chamber for improved deposition thickness uniformity |
US6070551A (en) * | 1996-05-13 | 2000-06-06 | Applied Materials, Inc. | Deposition chamber and method for depositing low dielectric constant films |
US6013155A (en) * | 1996-06-28 | 2000-01-11 | Lam Research Corporation | Gas injection system for plasma processing |
US5885358A (en) * | 1996-07-09 | 1999-03-23 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
US6090210A (en) * | 1996-07-24 | 2000-07-18 | Applied Materials, Inc. | Multi-zone gas flow control in a process chamber |
JP3220394B2 (ja) * | 1996-09-27 | 2001-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
TW415970B (en) * | 1997-01-08 | 2000-12-21 | Ebara Corp | Vapor-phase film growth apparatus and gas ejection head |
US6077357A (en) * | 1997-05-29 | 2000-06-20 | Applied Materials, Inc. | Orientless wafer processing on an electrostatic chuck |
US6042687A (en) * | 1997-06-30 | 2000-03-28 | Lam Research Corporation | Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing |
TW416100B (en) | 1997-07-02 | 2000-12-21 | Applied Materials Inc | Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system |
US6007330A (en) * | 1998-03-12 | 1999-12-28 | Cosmos Factory, Inc. | Liquid precursor delivery system |
US6194038B1 (en) | 1998-03-20 | 2001-02-27 | Applied Materials, Inc. | Method for deposition of a conformal layer on a substrate |
US6294466B1 (en) * | 1998-05-01 | 2001-09-25 | Applied Materials, Inc. | HDP-CVD apparatus and process for depositing titanium films for semiconductor devices |
US6143078A (en) * | 1998-11-13 | 2000-11-07 | Applied Materials, Inc. | Gas distribution system for a CVD processing chamber |
US6230651B1 (en) * | 1998-12-30 | 2001-05-15 | Lam Research Corporation | Gas injection system for plasma processing |
US6263829B1 (en) * | 1999-01-22 | 2001-07-24 | Applied Materials, Inc. | Process chamber having improved gas distributor and method of manufacture |
US6052176A (en) * | 1999-03-31 | 2000-04-18 | Lam Research Corporation | Processing chamber with optical window cleaned using process gas |
US6257168B1 (en) * | 1999-06-30 | 2001-07-10 | Lam Research Corporation | Elevated stationary uniformity ring design |
US6287643B1 (en) * | 1999-09-30 | 2001-09-11 | Novellus Systems, Inc. | Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor |
US20030155079A1 (en) | 1999-11-15 | 2003-08-21 | Andrew D. Bailey | Plasma processing system with dynamic gas distribution control |
US6486069B1 (en) * | 1999-12-03 | 2002-11-26 | Tegal Corporation | Cobalt silicide etch process and apparatus |
US6450117B1 (en) * | 2000-08-07 | 2002-09-17 | Applied Materials, Inc. | Directing a flow of gas in a substrate processing chamber |
US6403491B1 (en) * | 2000-11-01 | 2002-06-11 | Applied Materials, Inc. | Etch method using a dielectric etch chamber with expanded process window |
-
1998
- 1998-12-30 US US09/223,273 patent/US6230651B1/en not_active Expired - Lifetime
-
1999
- 1999-12-07 EP EP99967134A patent/EP1145277B1/en not_active Expired - Lifetime
- 1999-12-07 WO PCT/US1999/027917 patent/WO2000041212A1/en active IP Right Grant
- 1999-12-07 JP JP2000592857A patent/JP4588885B2/ja not_active Expired - Lifetime
- 1999-12-07 IL IL14400199A patent/IL144001A/xx not_active IP Right Cessation
- 1999-12-07 KR KR1020017008435A patent/KR100665646B1/ko active IP Right Grant
-
2000
- 2000-01-28 TW TW088123167A patent/TW548680B/zh not_active IP Right Cessation
-
2001
- 2001-02-21 US US09/788,365 patent/US7785417B2/en not_active Expired - Fee Related
-
2010
- 2010-08-20 US US12/805,865 patent/US8025731B2/en not_active Expired - Fee Related
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP0819780A2 (en) * | 1996-07-15 | 1998-01-21 | Applied Materials, Inc. | Inductively coupled HDP-CVD reactor |
Also Published As
Publication number | Publication date |
---|---|
KR20010101359A (ko) | 2001-11-14 |
US8025731B2 (en) | 2011-09-27 |
US20010010257A1 (en) | 2001-08-02 |
IL144001A0 (en) | 2002-04-21 |
JP4588885B2 (ja) | 2010-12-01 |
WO2000041212A1 (en) | 2000-07-13 |
EP1145277B1 (en) | 2012-02-08 |
US7785417B2 (en) | 2010-08-31 |
JP2002534797A (ja) | 2002-10-15 |
US20100327085A1 (en) | 2010-12-30 |
US6230651B1 (en) | 2001-05-15 |
IL144001A (en) | 2005-12-18 |
EP1145277A1 (en) | 2001-10-17 |
TW548680B (en) | 2003-08-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100665646B1 (ko) | 플라즈마 처리용 가스 주입 시스템 | |
US6013155A (en) | Gas injection system for plasma processing | |
JP5364054B2 (ja) | 調整可能なマルチゾーンガス噴射システム | |
US6042687A (en) | Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing | |
EP0958401B1 (en) | Apparatus and method for high density plasma chemical vapor deposition or etching | |
US5421891A (en) | High density plasma deposition and etching apparatus | |
US6417111B2 (en) | Plasma processing apparatus | |
US5429070A (en) | High density plasma deposition and etching apparatus | |
US6257168B1 (en) | Elevated stationary uniformity ring design | |
KR100493684B1 (ko) | 고밀도플라즈마화학기상증착장치및그방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20121210 Year of fee payment: 7 |
|
FPAY | Annual fee payment |
Payment date: 20131211 Year of fee payment: 8 |
|
FPAY | Annual fee payment |
Payment date: 20141210 Year of fee payment: 9 |
|
FPAY | Annual fee payment |
Payment date: 20151208 Year of fee payment: 10 |
|
FPAY | Annual fee payment |
Payment date: 20171213 Year of fee payment: 12 |
|
FPAY | Annual fee payment |
Payment date: 20181211 Year of fee payment: 13 |