JPH0945624A - 枚葉式の熱処理装置 - Google Patents

枚葉式の熱処理装置

Info

Publication number
JPH0945624A
JPH0945624A JP7210973A JP21097395A JPH0945624A JP H0945624 A JPH0945624 A JP H0945624A JP 7210973 A JP7210973 A JP 7210973A JP 21097395 A JP21097395 A JP 21097395A JP H0945624 A JPH0945624 A JP H0945624A
Authority
JP
Japan
Prior art keywords
gas
wafer
mounting table
heating
shower head
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7210973A
Other languages
English (en)
Inventor
Jiyunichi Arami
淳一 荒見
Kenji Ishikawa
賢治 石川
Masayuki Kitamura
昌幸 北村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP7210973A priority Critical patent/JPH0945624A/ja
Priority to TW085109097A priority patent/TW300319B/zh
Priority to KR1019960030659A priority patent/KR100435119B1/ko
Priority to US08/686,604 priority patent/US5958140A/en
Publication of JPH0945624A publication Critical patent/JPH0945624A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Abstract

(57)【要約】 【目的】 シャワーヘッド部と載置台の大きさを規定す
ることにより処理ガスの供給と消費をバランスさせて膜
厚の面内均一性を向上させた枚葉式の熱処理装置を提供
する。 【構成】 被処理体Wを載置するための載置台14と、
この載置台を加熱するための加熱手段22と、前記載置
台に平行に対向配置された処理ガス供給用のシャワーヘ
ッド部32とを有する枚葉式の熱処理装置において、前
記載置台と対向する前記シャワーヘッド部のガス噴出面
の直径L3が前記載置台の直径L4と略同一になるよう
に構成する。これにより、処理ガスの供給量と消費量を
バランスさせて膜厚の面内均一化を図る。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、被処理体の表面に
成膜処理を施すための枚葉式の熱処理装置に関する。
【0002】
【従来の技術】一般に、半導体集積回路の製造工程にお
いては、被処理体である半導体ウエハやガラス基板等に
成膜とパターンエッチング等を繰り返し行なうことより
所望の素子を得るようになっている。例えば、ウエハ表
面に成膜を施す処理装置には、一度に多数枚のウエハに
成膜処理を施すことができるバッチ式の処理装置と一枚
ずつ処理を行なう枚葉式の処理装置があり、成膜の品質
や種類等に応じて両装置の使い分けがなされている。
【0003】ここで、枚葉式の処理装置を例にとって説
明すると、図5に示すように処理容器2には、例えばア
ルミニウム等よりなるサセプタ4が設けられ、この載置
面に図示しない静電チャック等により半導体ウエハWが
吸着保持される。この載置面の上方には、これに平行に
対向させて配置されたシャワーヘッド部6を設けてお
り、このヘッド部6からキャリアガスにより搬送されて
きたシラン等の成膜用の処理ガスを処理容器2内へ供給
するようになっている。成膜処理は、ウエハWを所定の
プロセス温度に維持しつつ上記ヘッド部6より処理ガス
を供給して所定のプロセス圧力を維持することにより行
い、これによりウエハ表面に例えばシリコンやシリコン
酸化膜等の所定の材料の成膜を行なうことができる。
【0004】
【発明が解決しようとする課題】ところで、電気的特性
の良好なデバイスを多く得るためには、特性の良好な成
膜を形成することが必要であるが、中でもウエハ表面に
膜厚の均一な成膜を施す必要がある。この場合、膜厚を
制御するためのパラメータは、プロセス圧力、プロセス
温度、処理ガス供給量、各種部材のサイズ、ウエハサイ
ズ等種々存在するが、これらの各パラメータが複雑に絡
みあっており、上記パラメータの最適値を一義的に決定
することは困難である。従って、現在の処理装置の設計
及び処理条件の決定においては、トライアンドエラーを
繰り返し行なっているのが現状である。
【0005】それでも、6インチサイズのウエハに関し
てはかなり良好な成膜を得ることができるようになった
が、しかしながら、ウエハサイズが6インチから8イン
チに拡大すると6インチサイズの設計条件や処理条件が
そのまま適用できず、しかも、更なる高集積化及び高密
度化の要請によって膜厚の薄膜化及び高品質化が更に求
められているがこれに十分に応えることのできる処理装
置が存在しないのが現状である。本発明は、以上のよう
な問題点に着目し、これを有効に解決すべく創案された
ものである。本発明の目的は、シャワーヘッド部と加熱
状態となる載置台の大きさとを規定することにより処理
ガスの供給と消費をバランスさせて膜厚の面内均一性を
向上させることができる枚葉式の熱処理装置を提供する
ことにある。
【0006】
【課題を解決するための手段】本発明は、上記問題点を
解決するために、加熱される被処理体支持体に被処理体
を設け、この被処理体に処理ガス供給用のシャワーヘッ
ド部から処理ガスを供給して熱反応により成膜する枚葉
式の熱処理装置において、前記支持体及び前記シャワー
ヘッド部のガス噴出面の直径が略同一になるように構成
したものである。本発明は、以上のように構成したの
で、シャワーヘッド部の直径と支持体の直径が略同一に
なる結果、加熱状態にある支持体の上面側で成膜により
消費される処理ガスの量と、ヘッド部より処理空間に供
給される処理ガスの量が単位面積当たりにおいて均等に
なり、その結果、成膜の面内均一性を向上させることが
できる。
【0007】この場合、シャワーヘッド部からの単位面
積当たりの処理ガスの供給量を均一化するために、シャ
ワーヘッド部のガス噴出面に形成したガス噴出孔を偏在
させず、単位面積当たりの数が略同一となるように設け
るのがよい。また、被処理体の面内温度を均一化させる
ために、加熱手段を支持体に対応させて同心円状に分割
し、放熱量の多くなる傾向にある支持体周縁部に位置す
る加熱部への投入パワーを中心側に位置する加熱部への
投入パワーよりも多くして温度補償を行なうようにする
のがよい。この時、上記したガスの供給と消費をバラン
スさせるために、最外周に位置する加熱部の直径を上記
シャワーヘッド部の直径と略同一になるように設定す
る。
【0008】
【発明の実施の形態】以下に、本発明に係る枚葉用式の
熱処理装置の一実施例を添付図面に基づいて詳述する。
図1は本発明に係る枚葉式の熱処理装置を示す断面図、
図2は図1に示す装置の載置台の近傍を示す拡大断面
図、図3は載置台に設けた加熱手段の配置状態を示す平
面図、図4はシャワーヘッド部のガス噴出面を示す平面
図である。
【0009】本実施例においては熱処理装置として、抵
抗加熱方式の枚葉式の熱CVD装置を例にとって説明す
る。このCVD装置8は、気密容器、例えばアルミニウ
ム等により円筒状或いは箱状に成形された処理容器10
を有しており、この処理容器10内には処理容器底部と
の間に断熱手段、例えば不透明石英よりなる底部断熱材
12を介在させて被処理体支持体、例えば肉厚な被処理
体を載置するための載置台14が設けられている。この
載置台14の上面である載置面には、被処理体を所定位
置に仮固定するための手段、例えば少なくとも絶縁され
た一対のカーボン製電極を設け、表面に絶縁膜の設けら
れた静電チャック16が設けられており、このチャック
16の電極に高圧直流源18よりスイッチ20を介して
静電チャック作用を呈する直流高電圧を印加することに
よりクーロン力で被処理体である半導体ウエハWを吸着
保持するようになっている。ここでは、静電チャックと
して双電極が用いられている。
【0010】この載置台14は、厚さ例えば数cmの熱
良導体例えばボロンナイトライドにより略円柱状に形成
されて、その表面全体はPBN(Pyrolytic
Boron Nitride:パイロリティックボロン
ナイトライド)及び石英によりコーティングされると共
に、その下面中央部は凹部状に窪ませている。また、こ
の載置台14の直径は、ウエハWの直径よりも数cm程
度大きく設定されている。そして、この載置台14に
は、これを加熱することによりウエハWを間接的に加熱
するための加熱手段22が設けられる。具体的には、加
熱手段22は、例えば薄板状のカーボン板よりなり、同
心円状に複数、図示例においては3つに分割されてその
中心側より周辺部に向けて第1、第2及び第3の加熱部
22A、22B、22Cとして形成される。
【0011】図3は載置台14及び加熱手段22の構造
を拡大して示す図であり、第1の加熱部22Aは載置台
14の裏面中央部に設けられ円板状に成形され、第2の
加熱部22Bは第1の加熱部22Aの周囲を僅かな間隔
を隔てて囲むようにリング状に成形され、周辺部温度を
制御するためのものでともに載置台14の裏側側の凹部
に設けている。また、第3の加熱部22Cは、載置台1
4のリング状の脚部14Aの側壁に沿って円筒状に設け
られており、特にこの脚部14Aを加熱し、被処理体の
周縁部の温度分布を補償するようになっている。そし
て、上記各加熱部22A、22B、22Cは、異なった
夫々ヒータ駆動部24A、24B、23Cに接続され、
被処理体の処理面全面が均一に加熱されるように制御す
るための手段、例えばマイクロコンピュータ等よりなる
中央制御部26により別個独立に制御される。
【0012】従って、載置台14は、同心円状に分割さ
れた3ゾーンで温度制御がなされ、これにより精度の高
い温度制御を行なうようになっている。尚、図示されな
いが、この3ゾーンの各位置に対応させて熱電対を設
け、ゾーン毎の温度の検出を行なっている。このように
ゾーン毎に温度制御を行なう理由は、載置台の半径方向
の位置によって放熱量が異なっているためである。従っ
て、3ゾーンに限らず、さらに4ゾーン、5ゾーンと増
加すればさらに高精度な温調が可能である。また、特
に、載置台14の周縁部は、この外周や底部に種々の断
熱材を設けているとはいえ、他の部分よりも放熱量が多
くなっているので、この部分の温度補償をおこなうため
に脚部14Aをある程度長くして第3の加熱部22Cの
取り付け面積を確保している。この場合、ウエハWの処
理面内を均一に加熱保持するためには、載置台14の上
面を均一加熱させるのではなく、載置台14の周縁部の
温度を中心側の温度よりも僅かに高くするように載置台
14に温度分布を持たせることが必要である。この理由
は、ウエハWを載置することにより熱的バランスが崩
れ、しかも上述のように載置台周縁部の放熱量が他の部
分よりも大きくなっているからである。
【0013】このような熱的バランスを効果的にとるた
めには、載置台の厚さL1とその脚部の厚さL2を略同
じに設定するのが好ましい。このように、形成された載
置台14の外周にはこれを囲むように、例えば不透明石
英よりなるリング状の第1及び第2の側部断熱材28
A、28Bが設けられる。また、載置台14の周縁部上
端と上記第1及び第2の側部断熱材28A、28Bの上
端を掛け渡すように、その周方向に沿って例えば不透明
石英よりなるリング状の石英リング30が分割可能に配
置されている。この石英リング30の上面とウエハWの
上面の水平レベルは略同一になるように設定されてお
り、後述するように、上方より供給される処理ガスに偏
流を生ぜしめないようになっている。このようにして載
置部が構成されている。
【0014】一方、前記処理容器10の天井部には、上
記載置台14に平行するように対向させて、処理室内へ
処理ガスを供給するためのシャワーヘッド部32が設け
られている。このシャワーヘッド部32は、例えばアル
ミニウムにより全体が円形の箱状に成形されると共に内
部は、同心円状に配置されたリング状の2つの区画壁3
4、36により3つのゾーンに同心円状に区画されてお
り、第1、第2及び第3のガス室38A、38B、38
Cを構成している。各ガス室38A、38B、38C
は、それぞれ別個の配管39、40、42及び分岐管を
介して処理ガス源44、キャリアガス源46及びクリー
ニングガス源48に接続される。各ガス源は、開閉弁5
0、50、50によってその供給が制御されると共にそ
の流量が各分岐管に介設したマスフローコントローラ5
2により制御されることになる。
【0015】そして、載置台14に対向するシャワーヘ
ッド部32の下面であるガス噴出面54には図4に示す
ように例えば直径数mm程度の多数のガス噴出孔56が
形成されている。この場合、ガス噴出孔56の配列は、
単位面積当たりの孔数が一定となるよう井桁状に整然と
面内均等に配列されており、単位面積当たりの孔数が全
面に亘って略一定となるように設定されている。行及び
列方向の孔のピッチは、孔の直径にもよるが例えば5〜
10mm程度に設定される。これにより、処理ガスの噴
出面の単位面積当たりのガス噴射量すなわちガス供給量
を均一化させている。尚、ここでは処理ガスとしてシラ
ンが、キャリアガスとしてアルゴンガスが、また、クリ
ーニングガスとしてClF系ガスが用いられるが、これ
に限定するものではない。
【0016】更に、本実施例では、シャワーヘッド部の
ガス噴出面54の直径L3と上記載置台14の直径L
4、詳しくは載置台14の加熱部分、すなわちリング状
の第3の加熱部22Cの直径と略同一になるように設定
してこれらを上下方向に略一致するように対応させてい
る。処理ガスは、載置台14の上面の加熱された部分で
成膜が行なわれることにより消費されるが、このように
消費されるエリアとガス供給エリアとを略一致させるこ
とにより、ガスの供給と消費のバランスを図ることがで
き、ウエハの成膜の膜厚の面内均一性を向上させること
が可能となる。また、このシャワーヘッド部32には、
冷却水を流すためのヘッド冷却ジャケット58が設けら
れており、このようなヘッド部32が成膜されない温度
例えば60℃以下に冷却するようになっている。
【0017】更には、処理容器10の底部にも例えば冷
媒として冷却水を流す底部冷却ジャケット60が設けら
れており、この容器10の底部も成膜されない安全温度
まで冷却している。そして、処理容器10の側壁には、
ウエハWを搬入・搬出する際に開閉するゲートバルブ6
2が設けられると共に図示しない真空ポンプに接続され
た排気口64が設けられる。尚、この載置台14には、
この上で被処理半導体ウエハをウエハ搬送アームにより
自動的に受け渡しを行なうための図示しない3本のプッ
シャーピン等も設けられるのは勿論である。
【0018】次に、以上のように構成された本実施例の
動作について説明する。まず、この抵抗加熱方式による
熱CVD装置においては、載置台14の熱容量が比較的
大きくて温度の昇降が迅速に行ない難いので運転中は常
時、加熱手段22に通電を行なって載置台14を加熱状
態にしておく。図示しないロードロック室から予め設定
されたプログラムにより運ばれてきた被処理半導体ウエ
ハWは、ゲートバルブ62を介して図示しない搬送アー
ムにより処理容器10内へ搬入され、載置台14上の所
定の位置に載置される。ここで静電チャック16に直流
高電圧を印加することにより、クーロン力でウエハを静
電吸着保持する。
【0019】そして、ウエハWの温度を所定のプロセス
温度、例えば650℃程度に維持しつつ、ウエハ処理面
の温度が均一に制御された後、キャリアガス(Ar)と
共に搬送した処理ガス(シラン)をシャワーヘッド部3
2から処理容器10内へ導入し、この容器内を所定のプ
ロセス圧力、例えば10Torr程度に維持して熱反応
により成膜を行なう。供給時の処理ガスの温度はこの実
施例では常温であり、シャワーヘッド部32はヘッド部
冷却ジャケット58により略60℃程度に冷却され、こ
れに成膜が付着することを防止している。また、シャワ
ーヘッド部32内は、第1、第2及び第3のガス室38
A、38B、38Cの3つのゾーンに区画されているの
で、ガス噴出孔56から処理室内へ供給されるガス量や
その成分比を配管39、40、41のそれぞれに制御バ
ルブを設けることにより各ゾーン毎に細かな制御が可能
となり、複数ゾーンに区画されていない従来構造と比較
して単位面積当たりのガス供給量の均一性を高めること
が可能となる。
【0020】更には、ガス噴出面54に形成されている
多数のガス噴出孔56の配列は、従来装置にて多く見ら
れたような同心円状の配列ではなく、図4にも示される
ような例えば井桁状の配列を行なって単位面積当たりの
孔数を等しくしているので、特に、上記区画ゾーンとの
作用効果と相俟って、単位面積当たりのガス供給量の均
一性を一層高めることが可能となる。孔の数や孔径は必
要に応じて変えてもよい。
【0021】また、載置台14に設けた加熱手段22は
同心円状に3つのゾーンに分割された第1、第2及び第
3の加熱部22A、22B、22Cよりなっているの
で、各ゾーン毎に載置台温度を細かに制御することが可
能である。この場合、載置台14の周縁部は、その中心
側と比較して当然のこととして放熱量が多いので、載置
台の周縁部に肉厚の脚部14Aを設けて加熱部ヒータの
取り付け面積を稼ぐと共にこの部分の熱容量を少し大き
くしてこの部分に加える熱量を、中心側と比較して多く
している。これにより、載置台周縁部の温度をその中心
側よりも少し高くして載置台レベルにおいて温度分布を
持たせており、載置台周縁部の温度補償を行なってい
る。このように載置台14の表面にその周縁温度が少し
高くなるように積極的に温度分布を持たせることによ
り、ウエハWを面内温度が均一になるように加熱するこ
とができる。すなわち、ウエハWを載置台に載置すると
熱バランスが崩れ、もし、載置台表面が面内温度均一に
保持されていると、上記した熱バランスの崩れのために
ウエハWの周縁部は、中心側よりも温度が低くなる傾向
にあり、これを温度補償するために上記したように載置
台周縁部の温度をその中心側より少し高くしたのであ
る。
【0022】また、特に、本発明においては、図1に示
すガス噴出面54の直径L3と載置台14の加熱部分、
すなわちここではリング状の第3の加熱部22Cの直径
L4とを略同じ大きさに設定してあるので、処理室の単
位面積当たりのガス供給量とガス消費量とがバランスし
て均一化される。すなわち、成膜が生ずる部分は加熱部
によって加熱されている部分、すなわち載置台の上面に
対応するエリアであり、このエリアに略1:1に対応さ
せた大きさでガス噴出面54の大きさを設定しているの
で、上述のように単位面積当たりのガス供給量とガス消
費量とを均一化させることができる。この結果、上述し
た各要素が相俟ってウエハ表面に形成される成膜の厚さ
を面内方向において精度良く均一化させることが可能と
なる。
【0023】この場合、特に、ガス噴出面54の直径L
1と載置台14の加熱エリアの直径L2との大きさを略
同一にして単位面積当たりのガス供給量と消費量とをバ
ランスさせて均一化させることが重要であり、これによ
り、ウエハ成膜の面内均一性を一層向上させることがで
きる。特に、ウエハサイズが6インチから8インチに大
きくなった場合において、上述のようにガス噴出孔56
の単位面積当たりの配列数を同じにしてガス供給量を均
一化させ、そして、ガス噴出面54の直径L3と載置台
14の直径L4を略同一にしてガス供給量とガス消費量
とをバランスさせることにより、ウエハの成膜の厚さ
を、面内全体に亘って高い精度で均一化させることが可
能となる。
【0024】また、載置台14の底部及び側部には断熱
材12、28A、28Bが設けてあることから、断熱効
果を高くでき、特に、載置台14の側部には2重に断熱
材28A、28Bを設けてあることから高い断熱効果を
発揮して、載置台14からの放熱を抑制することが可能
となる。そして、所定枚数のウエハの成膜処理を行なっ
たならば、載置台14にクリーニング用のダミーウエハ
を搬入して、不要な部分に付着した成膜を除去してパー
ティクルの発生を防止するために、クリーニングガス例
えばClF系ガスによりクリーニング処理を定期的に行
なう。このクリーニング期間においても、シャワーヘッ
ド部32、前記容器10の底部も非成膜温度に保持して
クリーニングする。
【0025】尚、上記実施例においては、原料ガスとし
てシランを、キャリアガスとしてアルゴンガスを用いた
が、これらに限定されるものではなく、例えば処理ガス
としてジシランや他のガスを用いることができ、また、
キャリアガスとして他の不活性ガス、例えば窒素ガス、
ヘリウムガス、キセノンガス等も用いることができる。
そして、被処理体を加熱するための支持体の加熱手段は
ヒータによる加熱に限らず、ランプ照射による加熱でも
何れでもよい。また、上記実施例では、抵抗加熱方式の
CVD装置を例にとって説明したが、これに限定され
ず、ランプ加熱方式等の他の熱処理装置にも適用し得る
のは勿論である。更に、被処理体として半導体ウエハを
例にとって説明したが、これに限定されず、ガラス基
板、高分子基板等を用いることできる。
【0026】
【発明の効果】以上説明したように、本発明の枚葉式の
熱処理装置によれば、次のように優れた作用効果を発揮
することができる。ガス噴出面の直径と載置面の直径を
略同一となるようにして単位面積当たりの処理ガスの供
給量と消費量とを均一化させてバランスをとるようにし
たので、被処理体に形成される成膜の膜厚の面内均一性
を大幅に向上させることがてきる。特に、ガス噴出面の
単位面積当たりのガス噴出孔の数を略同一となるように
したので、ガス供給量も面内に亘って精度良く均一化さ
せることができ、従って、上記した理由と相俟って膜厚
の面内均一性を一層向上させることができる。
【図面の簡単な説明】
【図1】本発明に係る枚葉式の熱処理装置を示す断面図
である。
【図2】図1に示す装置の載置台の近傍を示す拡大断面
図である。
【図3】載置台に設けた加熱手段の配置状態を示す平面
図である。
【図4】シャワーヘッド部のガス噴出面を示す平面図で
ある。
【図5】従来の枚葉式の熱処理装置を示す概略構成図で
ある。
【符号の説明】
8 CVD装置(熱処理装置) 10 処理容器 12 底部断熱材 14 (被処理体支持体)載置台 14A 脚部 16 静電チャック 22 加熱手段 22A 第1の加熱部 22B 第2の加熱部 22C 第3の加熱部 28A 第1の外部断熱材 28B 第2の外部断熱材 32 シャワーヘッド部 34,36 区画壁 44 処理ガス源 46 キャリアガス源 L3 ガス噴出面の直径 L4 第3の加熱部の直径 W 半導体ウエハ(被処理体)

Claims (4)

    【特許請求の範囲】
  1. 【請求項1】 加熱される被処理体支持体に被処理体を
    設け、この被処理体に処理ガス供給用のシャワーヘッド
    部から処理ガスを供給して熱反応により成膜する枚葉式
    の熱処理装置において、前記支持体及び前記シャワーヘ
    ッド部のガス噴出面の直径が略同一になるように構成し
    たことを特徴とする枚葉式の熱処理装置。
  2. 【請求項2】 前記シャワーヘッド部のガス噴出面に
    は、単位面積当たりの数が略同じになるように多数のガ
    ス噴出孔を形成するように構成したことを特徴とする請
    求項1記載の枚葉式の熱処理装置。
  3. 【請求項3】 前記加熱手段は、前記支持体に設けられ
    ると共に同心円状に複数に区分した加熱部よりなり、各
    加熱部は個々に制御可能になされていることを特徴とす
    る請求項1または2記載の枚葉式の熱処理装置。
  4. 【請求項4】 前記加熱手段の最外周の加熱部は、前記
    支持体の側壁に設けられると共にその直径は、前記ガス
    噴出面の直径と略同一になされていることを特徴とする
    請求項1乃至3記載の枚葉式の熱処理装置。
JP7210973A 1995-07-27 1995-07-27 枚葉式の熱処理装置 Pending JPH0945624A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP7210973A JPH0945624A (ja) 1995-07-27 1995-07-27 枚葉式の熱処理装置
TW085109097A TW300319B (ja) 1995-07-27 1996-07-25
KR1019960030659A KR100435119B1 (ko) 1995-07-27 1996-07-26 매엽식처리장치
US08/686,604 US5958140A (en) 1995-07-27 1996-07-26 One-by-one type heat-processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7210973A JPH0945624A (ja) 1995-07-27 1995-07-27 枚葉式の熱処理装置

Publications (1)

Publication Number Publication Date
JPH0945624A true JPH0945624A (ja) 1997-02-14

Family

ID=16598203

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7210973A Pending JPH0945624A (ja) 1995-07-27 1995-07-27 枚葉式の熱処理装置

Country Status (4)

Country Link
US (1) US5958140A (ja)
JP (1) JPH0945624A (ja)
KR (1) KR100435119B1 (ja)
TW (1) TW300319B (ja)

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001118835A (ja) * 1999-08-03 2001-04-27 Applied Materials Inc 半導体基板の温度制御のための方法及びその装置
JP2002025912A (ja) * 2000-07-04 2002-01-25 Sumitomo Electric Ind Ltd 半導体製造装置用サセプタとそれを用いた半導体製造装置
JP2002530847A (ja) * 1998-11-13 2002-09-17 マットソン テクノロジイ インコーポレイテッド 半導体基板を処理する熱処理装置、システム及び方法
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
KR100425031B1 (ko) * 2001-12-20 2004-03-30 주성엔지니어링(주) 웨이퍼 피데스탈 히터
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
WO2004090960A1 (ja) * 2003-04-07 2004-10-21 Tokyo Electron Limited 載置台構造及びこの載置台構造を有する熱処理装置
WO2005055297A1 (ja) * 2003-12-03 2005-06-16 Tokyo Electron Limited 半導体処理装置
WO2005093806A1 (ja) * 2004-03-26 2005-10-06 Hitachi Kokusai Electric Inc. 半導体製造装置および半導体装置の製造方法
JP2006526289A (ja) * 2003-03-28 2006-11-16 東京エレクトロン株式会社 基板の温度コントロールのための方法とシステム
JP2007142469A (ja) * 2007-02-21 2007-06-07 Hitachi Kokusai Electric Inc 基板処理装置および半導体デバイスの製造方法
JP2007142470A (ja) * 2007-02-21 2007-06-07 Hitachi Kokusai Electric Inc 基板処理装置および半導体デバイスの製造方法
JP2007300057A (ja) * 2006-04-27 2007-11-15 Applied Materials Inc 二重温度帯を有する静電チャックをもつ基板支持体
JP2008251946A (ja) * 2007-03-30 2008-10-16 Nuflare Technology Inc 気相成長装置及び気相成長方法
JP2009512193A (ja) * 2005-10-06 2009-03-19 ラム リサーチ コーポレーション 半径方向の温度制御能力を有する静電チャック
JP2010103561A (ja) * 2010-01-27 2010-05-06 Hitachi Kokusai Electric Inc 基板処理装置および半導体デバイスの製造方法
JP2010153490A (ja) * 2008-12-24 2010-07-08 Shinko Electric Ind Co Ltd 基板温調固定装置
JP2010166088A (ja) * 2010-04-14 2010-07-29 Hitachi Kokusai Electric Inc 基板処理装置および半導体デバイスの製造方法
US8221638B2 (en) 2006-01-04 2012-07-17 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
JP2012532472A (ja) * 2009-07-08 2012-12-13 ユ−ジーン テクノロジー カンパニー.リミテッド 拡散板を選択的に挿入設置する基板処理装置及び基板処理方法
WO2014185300A1 (ja) * 2013-05-13 2014-11-20 東京エレクトロン株式会社 ガスを供給する方法、及びプラズマ処理装置
US8906193B2 (en) 2004-12-09 2014-12-09 Tokyo Electron Limited Gas supply unit, substrate processing apparatus and supply gas setting method
US9460893B2 (en) 2011-07-08 2016-10-04 Tokyo Electron Limited Substrate processing apparatus
US9502237B2 (en) 2013-11-29 2016-11-22 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
KR20190098921A (ko) 2018-02-15 2019-08-23 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 유량 제어 방법 및 유량 제어 프로그램
JP2021534578A (ja) * 2018-09-11 2021-12-09 北京北方華創微電子装備有限公司Beijing Naura Microelectronics Equipment Co., Ltd. チャンバー冷却装置及び半導体加工設備
JP2022053930A (ja) * 2020-09-25 2022-04-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
WO2022260042A1 (ja) * 2021-06-07 2022-12-15 京セラ株式会社 シャワープレート

Families Citing this family (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
KR100458294B1 (ko) * 1997-12-30 2005-02-23 주식회사 하이닉스반도체 반도체소자의장벽금속층형성방법
US6225601B1 (en) * 1998-07-13 2001-05-01 Applied Komatsu Technology, Inc. Heating a substrate support in a substrate handling chamber
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
JP2000277521A (ja) * 1999-03-26 2000-10-06 Kobe Steel Ltd 半導体ウェーハの高温高圧処理方法及び装置
JP2000286267A (ja) 1999-03-31 2000-10-13 Tokyo Electron Ltd 熱処理方法
JP2001023959A (ja) * 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6342691B1 (en) * 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
US6345150B1 (en) 1999-11-30 2002-02-05 Wafermasters, Inc. Single wafer annealing oven
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7303982B2 (en) 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7320734B2 (en) 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US6492625B1 (en) * 2000-09-27 2002-12-10 Emcore Corporation Apparatus and method for controlling temperature uniformity of substrates
WO2002061179A1 (en) * 2001-01-19 2002-08-08 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
KR100516844B1 (ko) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6962732B2 (en) 2001-08-23 2005-11-08 Applied Materials, Inc. Process for controlling thin film uniformity and products produced thereby
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
CN1881089A (zh) * 2001-08-28 2006-12-20 Nec液晶技术株式会社 在气体环境中执行曝光处理的基片处理系统
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
WO2003041140A1 (en) * 2001-11-05 2003-05-15 Eugene Technology Co., Ltd. Apparatus of chemical vapor deposition
KR100450068B1 (ko) * 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
JP4148346B2 (ja) * 2002-02-07 2008-09-10 東京エレクトロン株式会社 熱処理装置
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
KR100515052B1 (ko) * 2002-07-18 2005-09-14 삼성전자주식회사 반도체 기판상에 소정의 물질을 증착하는 반도체 제조 장비
US7084078B2 (en) 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
JP3671966B2 (ja) * 2002-09-20 2005-07-13 日新電機株式会社 薄膜形成装置及び方法
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6926775B2 (en) 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040163765A1 (en) * 2003-02-25 2004-08-26 Ans Co., Ltd. Plasma reactor for manufacturing electronic components
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
CN101068950A (zh) * 2003-05-30 2007-11-07 阿维扎技术公司 气体分配系统
US20040261923A1 (en) * 2003-06-25 2004-12-30 Burns Steven M. Clean atmosphere heat treat for coated turbine components
US7129731B2 (en) * 2003-09-02 2006-10-31 Thermal Corp. Heat pipe with chilled liquid condenser system for burn-in testing
US7013956B2 (en) 2003-09-02 2006-03-21 Thermal Corp. Heat pipe evaporator with porous valve
US20050067146A1 (en) * 2003-09-02 2005-03-31 Thayer John Gilbert Two phase cooling system method for burn-in testing
US20050067147A1 (en) * 2003-09-02 2005-03-31 Thayer John Gilbert Loop thermosyphon for cooling semiconductors during burn-in testing
JP4235076B2 (ja) * 2003-10-08 2009-03-04 東京エレクトロン株式会社 半導体製造装置および半導体製造方法
JP2005123532A (ja) * 2003-10-20 2005-05-12 Tokyo Electron Ltd 成膜装置及び成膜方法
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR100587688B1 (ko) * 2004-07-28 2006-06-08 삼성전자주식회사 화학 기상 증착 장치
JP5519105B2 (ja) * 2004-08-02 2014-06-11 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
KR100721576B1 (ko) * 2005-04-06 2007-05-23 삼성에스디아이 주식회사 유기 전계 발광 소자 제조 방법
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7410910B2 (en) 2005-08-31 2008-08-12 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
DE102005056322A1 (de) * 2005-11-25 2007-06-06 Aixtron Ag VPE-Reaktor mit koaxial zueinander angeordneten Quellgasrohren
US7896967B2 (en) 2006-02-06 2011-03-01 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US20070231489A1 (en) * 2006-03-29 2007-10-04 Tokyo Electron Limited Method for introducing a precursor gas to a vapor deposition system
US20070234955A1 (en) * 2006-03-29 2007-10-11 Tokyo Electron Limited Method and apparatus for reducing carbon monoxide poisoning at the peripheral edge of a substrate in a thin film deposition system
US7892358B2 (en) * 2006-03-29 2011-02-22 Tokyo Electron Limited System for introducing a precursor gas to a vapor deposition system
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7540971B2 (en) 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US7431859B2 (en) * 2006-04-28 2008-10-07 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
JP5157100B2 (ja) * 2006-08-04 2013-03-06 東京エレクトロン株式会社 成膜装置及び成膜方法
US20080078746A1 (en) * 2006-08-15 2008-04-03 Noriiki Masuda Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US7879401B2 (en) * 2006-12-22 2011-02-01 The Regents Of The University Of Michigan Organic vapor jet deposition using an exhaust
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
JP5034594B2 (ja) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
WO2009107718A1 (ja) * 2008-02-27 2009-09-03 東京エレクトロン株式会社 プラズマエッチング処理装置およびプラズマエッチング処理方法
WO2009125951A2 (en) * 2008-04-07 2009-10-15 Sosul Co., Ltd. Plasma processing apparatus and method for plasma processing
KR101000093B1 (ko) * 2008-07-22 2010-12-09 엘아이지에이디피 주식회사 기판 처리용 가스 공급장치 및 이를 이용한 기판 처리장치
US20100071614A1 (en) * 2008-09-22 2010-03-25 Momentive Performance Materials, Inc. Fluid distribution apparatus and method of forming the same
US20110097489A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9869021B2 (en) 2010-05-25 2018-01-16 Aventa Technologies, Inc. Showerhead apparatus for a linear batch chemical vapor deposition system
US8986451B2 (en) 2010-05-25 2015-03-24 Singulus Mocvd Gmbh I. Gr. Linear batch chemical vapor deposition system
US9169562B2 (en) 2010-05-25 2015-10-27 Singulus Mocvd Gmbh I. Gr. Parallel batch chemical vapor deposition system
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US9887071B2 (en) * 2011-12-16 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone EPD detectors
US9162236B2 (en) 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9976215B2 (en) * 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
JP6157061B2 (ja) 2012-05-11 2017-07-05 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
JP2014003234A (ja) * 2012-06-20 2014-01-09 Tokyo Electron Ltd プラズマ処理装置、及びプラズマ処理方法
KR101936348B1 (ko) * 2012-09-17 2019-01-08 삼성전자주식회사 급속 온도 변환이 가능한 테스트 핸들러 및 그를 이용한 반도체 소자의 테스트 방법
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
WO2014189650A1 (en) * 2013-05-22 2014-11-27 Singulus Technologies Mocvd, Inc. Showerhead apparatus for a linear batch chemical vapor deposition system
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
JP6153401B2 (ja) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
JP6559706B2 (ja) 2014-01-27 2019-08-14 ビーコ インストルメンツ インコーポレイテッド 化学蒸着システム用の複合半径を有する保持ポケットを有するウェハキャリア
KR102268959B1 (ko) * 2014-03-31 2021-06-24 삼성디스플레이 주식회사 원자층 증착 장치 및 이를 이용한 원자층 증착 방법
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP6444641B2 (ja) * 2014-07-24 2018-12-26 株式会社ニューフレアテクノロジー 成膜装置、サセプタ、及び成膜方法
JP6370630B2 (ja) * 2014-07-31 2018-08-08 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10266414B2 (en) * 2015-06-16 2019-04-23 Hemlock Semiconductor Operations Llc Susceptor arrangement for a reactor and method of heating a process gas for a reactor
JP6573559B2 (ja) * 2016-03-03 2019-09-11 東京エレクトロン株式会社 気化原料供給装置及びこれを用いた基板処理装置
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
CN108076683B (zh) * 2016-09-16 2021-03-09 佳能安内华股份有限公司 加热装置、基板加热装置及半导体装置的制造方法
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
CN107761077B (zh) * 2017-10-20 2019-12-03 京东方科技集团股份有限公司 一种镀膜方法、装置以及pecvd设备
KR102477354B1 (ko) * 2018-03-29 2022-12-15 삼성전자주식회사 가스 분배 판을 갖는 플라즈마 처리 장치
CN110872688A (zh) * 2018-08-29 2020-03-10 北京铂阳顶荣光伏科技有限公司 一种加热装置、镀膜设备、温度控制方法及系统
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
EP3987081A4 (en) * 2019-06-18 2023-07-05 Lam Research Corporation REDUCED DIAMETER SUPPORT RING MATERIAL FOR SUBSTRATE TREATMENT SYSTEMS
US11542604B2 (en) * 2019-11-06 2023-01-03 PlayNitride Display Co., Ltd. Heating apparatus and chemical vapor deposition system
CN113699509B (zh) * 2021-10-27 2022-02-01 苏州长光华芯光电技术股份有限公司 一种半导体生长设备及其工作方法
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4741801A (en) * 1981-07-17 1988-05-03 Plasma Physics Corp. Glow discharge method and apparatus and photoreceptor devices made therewith
JPS59124140A (ja) * 1982-12-29 1984-07-18 Fujitsu Ltd 静電吸着装置
JPS6332930A (ja) * 1986-07-25 1988-02-12 Tokyo Electron Ltd アッシング装置
JPS63160355A (ja) * 1986-12-24 1988-07-04 Seiko Instr & Electronics Ltd 静電チヤツク
JPH0737359B2 (ja) * 1989-08-24 1995-04-26 三菱電機株式会社 気相成長装置
JPH0397222A (ja) * 1989-09-11 1991-04-23 Kokusai Electric Co Ltd 枚葉式cvd装置
US5127988A (en) * 1989-12-27 1992-07-07 Yoshida Kogyo K.K. Process for the surface treatment of conductive material
JPH04211115A (ja) * 1990-01-26 1992-08-03 Fujitsu Ltd Rfプラズマcvd装置ならびに該装置による薄膜形成方法
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JP2758755B2 (ja) * 1991-12-11 1998-05-28 松下電器産業株式会社 ドライエッチング装置及び方法
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
JP2798570B2 (ja) * 1992-12-01 1998-09-17 京セラ株式会社 静電チャック
JP2749759B2 (ja) * 1993-06-23 1998-05-13 信越化学工業株式会社 静電チャック付セラミックスヒーター
JPH07273101A (ja) * 1994-03-31 1995-10-20 Tokyo Electron Ltd 枚葉式熱処理装置

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002530847A (ja) * 1998-11-13 2002-09-17 マットソン テクノロジイ インコーポレイテッド 半導体基板を処理する熱処理装置、システム及び方法
JP2001118835A (ja) * 1999-08-03 2001-04-27 Applied Materials Inc 半導体基板の温度制御のための方法及びその装置
JP2002025912A (ja) * 2000-07-04 2002-01-25 Sumitomo Electric Ind Ltd 半導体製造装置用サセプタとそれを用いた半導体製造装置
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
KR100425031B1 (ko) * 2001-12-20 2004-03-30 주성엔지니어링(주) 웨이퍼 피데스탈 히터
JP2006526289A (ja) * 2003-03-28 2006-11-16 東京エレクトロン株式会社 基板の温度コントロールのための方法とシステム
WO2004090960A1 (ja) * 2003-04-07 2004-10-21 Tokyo Electron Limited 載置台構造及びこの載置台構造を有する熱処理装置
US7718930B2 (en) 2003-04-07 2010-05-18 Tokyo Electron Limited Loading table and heat treating apparatus having the loading table
KR100744860B1 (ko) * 2003-04-07 2007-08-01 동경 엘렉트론 주식회사 탑재대 구조체 및 이 탑재대 구조체를 갖는 열처리 장치
WO2005055297A1 (ja) * 2003-12-03 2005-06-16 Tokyo Electron Limited 半導体処理装置
WO2005093806A1 (ja) * 2004-03-26 2005-10-06 Hitachi Kokusai Electric Inc. 半導体製造装置および半導体装置の製造方法
US8197638B2 (en) 2004-03-26 2012-06-12 Hitachi Kokusai Electric Inc. Semiconductor manufacturing device and method for manufacturing semiconductor devices
US9441791B2 (en) 2004-12-09 2016-09-13 Tokyo Electron Limited Gas supply unit, substrate processing apparatus and supply gas setting method
US8906193B2 (en) 2004-12-09 2014-12-09 Tokyo Electron Limited Gas supply unit, substrate processing apparatus and supply gas setting method
JP2009512193A (ja) * 2005-10-06 2009-03-19 ラム リサーチ コーポレーション 半径方向の温度制御能力を有する静電チャック
US8375893B2 (en) 2006-01-04 2013-02-19 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US8221638B2 (en) 2006-01-04 2012-07-17 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US8561572B2 (en) 2006-01-04 2013-10-22 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
JP2007300057A (ja) * 2006-04-27 2007-11-15 Applied Materials Inc 二重温度帯を有する静電チャックをもつ基板支持体
JP2007142470A (ja) * 2007-02-21 2007-06-07 Hitachi Kokusai Electric Inc 基板処理装置および半導体デバイスの製造方法
JP4515475B2 (ja) * 2007-02-21 2010-07-28 株式会社日立国際電気 基板処理装置および半導体デバイスの製造方法
JP4515474B2 (ja) * 2007-02-21 2010-07-28 株式会社日立国際電気 基板処理装置および半導体デバイスの製造方法
JP2007142469A (ja) * 2007-02-21 2007-06-07 Hitachi Kokusai Electric Inc 基板処理装置および半導体デバイスの製造方法
JP2008251946A (ja) * 2007-03-30 2008-10-16 Nuflare Technology Inc 気相成長装置及び気相成長方法
JP2010153490A (ja) * 2008-12-24 2010-07-08 Shinko Electric Ind Co Ltd 基板温調固定装置
JP2012532472A (ja) * 2009-07-08 2012-12-13 ユ−ジーン テクノロジー カンパニー.リミテッド 拡散板を選択的に挿入設置する基板処理装置及び基板処理方法
JP2010103561A (ja) * 2010-01-27 2010-05-06 Hitachi Kokusai Electric Inc 基板処理装置および半導体デバイスの製造方法
JP2010166088A (ja) * 2010-04-14 2010-07-29 Hitachi Kokusai Electric Inc 基板処理装置および半導体デバイスの製造方法
US9460893B2 (en) 2011-07-08 2016-10-04 Tokyo Electron Limited Substrate processing apparatus
US9947510B2 (en) 2013-05-13 2018-04-17 Tokyo Electron Limited Method for supplying gas, and plasma processing apparatus
WO2014185300A1 (ja) * 2013-05-13 2014-11-20 東京エレクトロン株式会社 ガスを供給する方法、及びプラズマ処理装置
JP2014222702A (ja) * 2013-05-13 2014-11-27 東京エレクトロン株式会社 ガスを供給する方法、及びプラズマ処理装置
US9502237B2 (en) 2013-11-29 2016-11-22 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
KR20190098921A (ko) 2018-02-15 2019-08-23 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 유량 제어 방법 및 유량 제어 프로그램
US11049743B2 (en) 2018-02-15 2021-06-29 Tokyo Electron Lmited Substrate processing apparatus, flow rate control method, and storage medium storing flow rate control program
JP2021534578A (ja) * 2018-09-11 2021-12-09 北京北方華創微電子装備有限公司Beijing Naura Microelectronics Equipment Co., Ltd. チャンバー冷却装置及び半導体加工設備
JP2022053930A (ja) * 2020-09-25 2022-04-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
WO2022260042A1 (ja) * 2021-06-07 2022-12-15 京セラ株式会社 シャワープレート

Also Published As

Publication number Publication date
KR100435119B1 (ko) 2004-08-06
US5958140A (en) 1999-09-28
TW300319B (ja) 1997-03-11
KR970008335A (ko) 1997-02-24

Similar Documents

Publication Publication Date Title
JPH0945624A (ja) 枚葉式の熱処理装置
US5914568A (en) Plasma processing apparatus
KR100272189B1 (ko) 플라즈마 처리장치
KR101004222B1 (ko) 성막 장치
TWI392043B (zh) A substrate holding member and a substrate processing apparatus
US20080283086A1 (en) Substrate processing apparatus and cleaning method therefor
JPH1041378A (ja) 温度フィードバックと接触面積が小さくされた圧力ゾーンを有する基板支持体
KR20110120349A (ko) 탑재대 구조 및 처리 장치
JP2002009064A (ja) 試料の処理装置及び試料の処理方法
JP2002280378A (ja) バッチ式リモートプラズマ処理装置
WO2001071784A1 (fr) Procede de fabrication de semi-conducteurs et appareil de fabrication
JPH0794419A (ja) 半導体処理装置
JP7407645B2 (ja) プラズマ処理装置
JPH06177056A (ja) ガス処理装置
JP3342118B2 (ja) 処理装置
JP3086970B2 (ja) 基板処理装置
US8968475B2 (en) Substrate processing apparatus
JP3181501B2 (ja) 処理装置および処理方法
JPH09209151A (ja) ガス分散器及びプラズマ処理装置
JPH09289201A (ja) プラズマ処理装置
JP2978974B2 (ja) プラズマ処理装置
JP2001110885A (ja) 半導体処理装置および半導体処理方法
JPH01305524A (ja) プラズマcvd装置
JPH08333681A (ja) 活性ガスを用いた平らなサンプルの表面化学処理装置
TW202000975A (zh) 基板處理裝置及噴頭