TWI740140B - 電漿設備、半導體製造方法、以及氣體輸送源 - Google Patents

電漿設備、半導體製造方法、以及氣體輸送源 Download PDF

Info

Publication number
TWI740140B
TWI740140B TW108115833A TW108115833A TWI740140B TW I740140 B TWI740140 B TW I740140B TW 108115833 A TW108115833 A TW 108115833A TW 108115833 A TW108115833 A TW 108115833A TW I740140 B TWI740140 B TW I740140B
Authority
TW
Taiwan
Prior art keywords
gas
window
plasma
gas injector
delivery source
Prior art date
Application number
TW108115833A
Other languages
English (en)
Other versions
TW202001967A (zh
Inventor
許泳順
張景郁
張喬凱
偉康 謝
林建坊
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202001967A publication Critical patent/TW202001967A/zh
Application granted granted Critical
Publication of TWI740140B publication Critical patent/TWI740140B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20214Rotation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本揭露提供了一種電漿設備、半導體製造方法、以及氣體輸送源。電漿設備包括多個室牆以及一室窗,其定義了一密閉空間。室窗設置於電漿天線以及基板承載台之間。一氣體輸送源機械耦合於室窗。氣體輸送源包括一氣體注入器。氣體注入器具有一通道、在通道之一第一端之一窗體、以及在通道之一第二端之一噴嘴。氣體輸送源之噴嘴設置於密閉空間內。一緊固裝置機械耦合於氣體輸送源。緊固裝置為可調整的,用以調整施加於氣體注入器之一密封力。

Description

電漿設備、半導體製造方法、以及氣體輸送源
本揭露主要關於一種電漿設備、半導體製造方法、以及氣體輸送源。
近年來,半導體積體電路(semiconductor integrated circuits)經歷了指數級的成長。在積體電路材料以及設計上的技術進步下,產生了多個世代的積體電路,其中每一世代較前一世代具有更小更複雜的電路。在積體電路發展的過程中,當幾何尺寸(亦即,製程中所能產出的最小元件或者線)縮小時,功能密度(亦即,每一晶片區域所具有的互連裝置的數目)通常會增加。一般而言,此種尺寸縮小的製程可以提供增加生產效率以及降低製造成本的好處,然而,此種尺寸縮小的製程亦會增加製造與生產積體電路的複雜度。為了實現這些進步,需要在積體電路製程和製造設備中進行相應的研發。在一例子中,利用電漿製造系統來實施基板的電漿蝕刻製程。於電漿蝕刻製程中,電漿通過從基板表面蝕刻的材料的元素與由電漿產生的反應性物質之間的化學反應產生揮發性蝕刻產物。
本揭露之一實施例提供了一種設備(電漿設備)。電漿設備包括多個室牆以及一室窗,其定義了一密閉空間。室窗設置於該電漿天線以及該基板承載台之間。一氣體輸送源機械耦合於該室窗。氣體輸送源包括一氣體注入器。該氣體注入器具有一通道、在該通道之一第一端之一窗體、以及在該通道之一 第二端之一噴嘴。氣體輸送源之噴嘴設置於該密閉空間內。一緊固裝置機械耦合於該氣體輸送源。該緊固裝置為可調整的,用以調整施加於該氣體注入器之一密封力。
另一實施例為一半導體製造方法。上述方法包括傳送一基板進入一電漿腔內。當該基板於該電漿腔內時,一氣體流入該電漿腔內。該氣體流經機械耦合於該電漿腔之一氣體輸送源。該氣體輸送源包括一氣體注入器、以及一緊固裝置,機械耦合於該氣體輸送源且對該氣體注入器施加一密封力。該氣體經由該氣體注入器流入該電漿腔內。緊固裝置為可調整的,用以調整該密封力。利用該電漿腔內之該氣體維持一電漿。
又一實施例為一氣體輸送源。氣體輸送源包括一氣體注入器,包括一柱狀本體。一夾具,用以容納該氣體注入器且固定該氣體注入器至一室窗。一氣體注入蓋,設置於該柱狀本體之一端。該氣體注入器具有位於該氣體注入蓋以及該柱狀本體之一窗體。一密封件,位於該窗體以及該柱狀本體之間。一可調整之緊固裝置,耦接於該氣體注入蓋。該可調整之緊固裝置為可調整的,用以調整該氣體注入蓋對於該窗體之一密封力,以壓縮該密封件。
100:電漿腔(電漿設備)
102:室牆
103:室窗
104:密閉空間
106:基板承載台
108:基板
110:旋轉裝置
112:旋轉軸
114:氣體輸送源
118:氣體供應源
120:泵模組
122:電漿電源
122:射頻電源
122A:第一電漿電源
122B:第二電漿電源
124:線圈
124A:內線圈
124B:外線圈
206:柱狀本體
207:通道
208:氣體注入頭
209:噴嘴
210:入口
216:氣體注入器
230:終點偵測器
302:夾具
304:凹槽
310:入口
412:密封件
414:窗體
416:氣體注入蓋
417:縱軸
418:底面
419:角度
420:緊固裝置
421:角度
422:基板
504:緊固件
506:螺栓
510:螺帽
600:積體電路製造系統
622:機械裝置
624:基底末端執行器
634:前開式晶圓傳送盒
632:前端機械裝置
638:傳送模組
640:大氣壓力傳送模組
642:裝載埠模組
644:基板對準器
700:圖表
702:線
702E:點
702T:時間
714:線
714E:點
730:界線值
800:方法
802、812、814、816、818、820:操作
當結合附圖閱讀時,可從以下詳細描述中良好地理解本揭露的各方面。應注意的是,根據本產業的一般作業,圖式並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。
第1圖為根據一些實施例中用於積體電路製造之電漿腔的剖視示意圖。
第2圖為根據一些實施例中氣體輸送源的剖視示意圖。
第3圖為根據一些實施例中氣體輸送源的剖視示意圖,其中氣體輸送源更包括一夾具,用於將氣體注入器附接並固定到一電漿腔的一室窗上。
第4圖為根據一些實施例中氣體輸送源附著在電漿腔的室窗上的剖視示意圖。
第5圖為根據一些實施例中可調整之緊固裝置的剖視示意圖。
第6圖為根據一些實施例中積體電路(IC)製造系統的俯視示意圖。
第7圖為根據一些實施例中終點偵測器所偵測之訊號的圖表。
第8圖為根據一些實施例中處理一或多個基板之方法的流程圖。
以下揭露之實施方式或實施例是用於說明或完成本發明之多種不同技術特徵,所描述之元件及配置方式的特定實施例是用於簡化說明本發明,使揭露得以更透徹且完整,以將本揭露之範圍完整地傳達予同領域熟悉此技術者。當然,本揭露也可以許多不同形式實施,而不局限於以下所述之實施例。舉例而言,若實施例中敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的情況,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使得上述第一特徵與第二特徵未直接接觸的情況。此外,以下不同實施例中可能重複使用相同的元件標號及/或文字,這些重複是為了簡化與清晰的目的,而非用以限定所討論的不同實施例及/或結構之間有特定的關係。
再者,在下文中所使用的空間相關用詞,例如“在...下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,是為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位之外,這些空間相關用詞也意欲包含使用中或操作中的裝置之不同方位。例如,裝置可能被轉向不同方位(旋轉90度或其他方位),而在此所使用的空間相關用詞也可依此相同解釋。
本揭露之一些實施例提供了一種積體電路製造系統和利用其系統的方法。藉由利用已揭露之積體電路製造系統,電漿製程(如電漿蝕刻)的質量和效率都得到了提高。積體電路製造系統包括一或多個電漿腔,每一電漿腔更包 括一或多個具有可調整之緊固裝置之氣體輸送源。可調整之緊固裝置包括多組之緊固件,每一緊固件更包括一螺栓以及一螺帽。每個緊固件可單獨調整來配合任何類型的氣體注入器並調整緊固力以將氣體注入蓋固定到氣體注入器,同時密封件和窗體之間的密封效果增強,減少或消除洩漏。一些實施例提供了可調整之緊固裝置,以減少或消除由於不同類型的氣體注入器引起的氣體注入器的洩漏。氣體注入器的洩漏可能導致終點偵測器對製程終點的錯誤檢測及/或可能影響基板製程的均勻度。通過避免氣體注入器的洩漏,可以減少電漿腔的維護和成本,並且可以增加電漿腔的運行時間。
第1圖為根據一些實施例中用於積體電路(IC)製造之一電漿腔(電漿設備)100的剖視示意圖。電漿腔100包括多個室牆(chamber wall)102以及一室窗(chamber window)103。室牆102以及室窗103定義了一密閉空間104,用以處理基板108。密閉空間104絕緣於外側環境且可維持於一適當的狀態,例如真空或是具有低於大氣壓力的壓力。
於一些實施例中,電漿腔100可操作以實施一電漿蝕刻製程,例如從基板108的表面以電漿蝕刻金屬、電介質、半導體及/或遮罩材料(mask materials)。於一些實施例中,電漿腔100可操作以實施一沉積製程,例如以電漿沉積金屬、電介質、半導體及/或遮罩材料於基板108的表面上。於一些實施例中,電漿腔100可操作以執行一電漿處理(treatment),例如以電漿處理基板108的表面上之金屬、電介質、半導體及/或遮罩材料。
電漿腔100包括一基板承載台106設置於室牆102內,並用以支撐基板108。基板108可為一矽晶圓。於其他實施例中,基板108可包括其他元素(elemental)半導體材料、化合物半導體材料,合金半導體材料或其他半導體晶片,以及其他合適的基板。舉例而言,化合物半導體材料包括,但不限於,碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦。舉例而言,合金半導體材料包 括,但不限於,SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP。
基板承載台106可包括一靜電吸座(electrostatic chuck)及/或一夾環(clamp ring)(圖未示)以於製程期間固持基板108。基板承載台106可亦包括冷卻及/或加熱元件(圖未示)用以控制基板承載台106之溫度。基板承載台106亦可提供背側氣體至基板108以增加基板108以及基板承載台106之間的熱傳導。
基板承載台106可耦接於一旋轉裝置110,例如一馬達,用以於基板處理過程中繞一旋轉軸112旋轉基板承載台106以及基板108。基板108之旋轉可提供均勻的基板處理。
電漿腔100包括一或多個氣體輸送源114,耦接於室窗103。氣體輸送源114連接於一氣體供應源118,且用以提供製程氣體至基板108。於不同的例子中,製程氣體為一蝕刻氣體、一沉積氣體、一處理(treatment)氣體、載體氣體(carrier gas)(如氮氣、氬氣等)、其他合適的氣體、及其組合。氣體輸送源114注入製程氣體至密閉空間104。
電漿腔100包括一泵模組120,耦接於密閉空間104,且可操作以將密閉空間104保持於真空狀態或低於大氣壓,例如低於約5Torr。於一些實施例中,泵模組120可操作以將密閉空間104的壓力保持於約1mTorr至約100mTorr的範圍之間。於不同的例子中,泵模組120可包括一或多個泵,且可利用多種泵技術,例如正排量泵(positive displacement pump)、動量輸送泵(momentum transfer pump)、再生泵(regenerative pump)及/或捕集泵(entrapment pump)。據所需工作範圍,可以串聯配置多種泵。
電漿腔100包括一電漿電源122(例如一射頻(RF)電源)耦接於一電漿天線(例如適用於感應耦合電漿(inductively coupled plasma)之一線圈124),以維持電漿於密閉空間104內。於其他實施例中,電漿天線可包括適用於電容耦合電漿(capacitively coupled plasma)之多個板件。射頻電源122經由適合的RF阻抗匹配 電路(圖未示)耦接於線圈124。線圈124位於室窗103之上。室窗103包括一介電窗體(dielectric window)允許電漿電源122所提供之射頻能量由線圈124傳送至密閉空間104。線圈124之射頻能量可操作以將密閉空間104內之製程氣體維持之感應耦合電漿來進行基板108之蝕刻、沉積、及/或電漿製程。電漿腔100之電漿電源122可包括耦接於一內線圈124A之一第一電漿電源122A、以及耦接於一外線圈124B之一第二電漿電源122B。第一電漿電源122A以及第二電漿電源122B可分別地控制提供至內線圈124A及外線圈124B的射頻能量,進而控制電漿於基板108之內區域及外區域。於一些實施例中,線圈124維持電漿於一高密度狀態,例如電漿密度可約為109ions/cm3至約1012ions/cm3的範圍之間,或約1010ions/cm3至約1012ions/cm3的範圍之間。線圈124可為一平面多匝螺旋線圈(planar multi-turn spiral coil)、非平面多匝螺旋線圈(non-planar multi-turn spiral coil)或具有其他合適形狀的線圈。於其他實施例中,電漿可經由其他電漿天線維持,例如電子迴旋共振(electron cyclotron resonance,ECR)、平行板、螺旋(helicon)、螺旋諧振器(helical resonator)、或其他電漿天線。
第2圖為根據一些實施例中包括一氣體注入器(gas injector)216之一氣體輸送源114的剖視示意圖。氣體注入器216包括一柱狀本體206,其具有一通道207。通道207可供製程氣體流至設置於柱狀本體206之一端之一氣體注入頭208。氣體注入器216可由金屬材料(例如鋁或不銹鋼)、介電材料(例如石英,氧化鋁,氮化矽)、或其他合適的材料所製成。
氣體注入頭208包括一或多個噴嘴209。製程氣體由第1圖之氣體供應源118通過耦接於氣體供應源118之一或多個入口210被遞送至氣體注入器216。氣體注入器216可經由通道207遞送製程氣體,且排出於噴嘴209至第1圖的密閉空間104。
噴嘴209之數目及/或噴嘴209之角度可以選擇在特定的基板處理 方案中提供所需的氣體分佈。例如,製程氣體的數量、尺寸、注入之角度及/或噴嘴209在電漿腔100內的位置,可以配合將射頻能量感應耦合進入電漿腔100內的特定線圈。
噴嘴209可為任何所需的形狀,例如沿其整體長度的均勻直徑(uniform diameter),或具有例如圓錐形表面、喇叭形表面、或徑向輪廓表面等其他形狀的表面。噴嘴209可以定向成沿任何方向注入氣體,包括垂直(directly at)基板108的方向、與基板108之間呈現銳角之方向、平行於基板108的方向、朝向(back toward)上部電漿邊界(在相對於噴嘴209的縱軸之一角度傾斜處)的方向、或其結合的方式注入氣體。上述設計可在基板表面上獲得均勻的製程氣體和電漿種類(plasma species)流量,以促進基板108上的均勻處理速率。額外的氣體注入器也可以設置在基板的周邊附近或從其他室壁102提供。
氣體輸送源114可設置於內線圈124A的中心內,且可經由穿過室窗103設置。於電漿腔100內,一線圈可用於維持密閉空間104內之一感應耦合電漿。氣體注入器216位於線圈124A中心的位置以避免氣體注入器216暴露於由線圈124A,124B形成的電漿的環形區域。噴嘴209位於電場強度降低的區域,在區域中電漿誘導的反應物分解(plasma induced reactant decomposition)減少。假使氣體注入器216遠離線圈124的中心設置,電場線(由電漿和氣體注入器216之間的電位差所形成)能較大且在蝕刻或沉積期間導致局部增強的沉積,從而堵塞遠離內線圈124A的中心的氣體注入器216的噴嘴209。對於位於內線圈124A的中心處或附近的氣體注入器216,電場線較小並且降低了氣體注入器216的噴嘴209的堵塞的敏感性(susceptibility),例如在連續的基板108電漿製程之後。
不同的氣體注入器216可用於不同的製程方案。使用不同的氣體注入器216允許選擇中心氣體供給位置和基板108與噴嘴209的距離。某個氣體注入器216可調整噴嘴209靠近基板108或遠離基板108,以定制電漿種類到基板108的 傳輸。可以基於在某個製程方案中所使用之製程氣體來選擇某個氣體注入器216。由一內線圈124A之中央所遞送之製程氣體允許有效利用製程氣體,且對電漿之均勻性的干擾最小。噴嘴209的直徑可以很小,使得在氣體注入器216周圍形成的電漿鞘(plasma sheath)很大程度上不受噴嘴209之存在的影響。氣體注入器216可以促進製程副產物(processing by-products)從基板區域(substrate region)有效率的傳輸離開,這可以有利地影響製程均勻度(processing uniformity)和輪廓控制,例如在金屬蝕刻等化學驅動的應用中。可利用不同的氣體注入器216之噴嘴209的多種直徑和多種位置將製程氣體遞送於基板108上的不同區域。
於其他實施例中,一個或多個氣體注入器216可以設置在室窗103中,並且/或者更多的一個或多個氣體注入器216可以設置在室壁102的側面。
終點偵測器230可直接經由氣體注入器216的通道207來監測由第1圖的電漿腔100的密閉空間104發出之電磁訊號。電磁訊號可為從密閉空間104內的電漿發出的可見光、紅外線、紫外線(UV)光、X射線光、及/或其他電磁信號。終點偵測器230可以通過監測電磁訊號確定電漿腔100內的某些製程條件。例如,電磁訊號可以指示電漿的存在、製程氣體種類的存在、蝕刻/沉積殘餘材料的存在、或其他製程資訊。
第3圖為根據一些實施例中氣體輸送源114的剖視示意圖,其中氣體輸送源114更包括一夾具302,用於將第2圖的氣體注入器216附接並固定到第1圖的電漿腔100的室窗103上。夾具302包括凹槽(cavity)304,用以容納氣體注入器216。夾具302包括一個或多個入口310,用於將製程氣體從第1圖的氣體供應源118遞送到氣體注入器216的一個或多個入口210。夾具302使用夾子、螺絲、螺栓或其他緊固件附接到室窗103上。於其他實施例中,氣體注入器216可以釬焊,燒結或以其他方式結合到室窗103中的開口中。於其他實施例中,室窗103以及氣體注入器216可以通過燒結陶瓷粉末一起形成,例如Al2O3或Si3N4。於其 他實施例中,氣體注入器216可以加工(machined)形式或以其他方式形成於室窗103中。
第4圖為根據一些實施例中氣體輸送源114附著在第1圖的電漿腔100的室窗103上的剖視示意圖。氣體輸送源114包括氣體注入器216,容納在夾具302內。氣體輸送源114更包括一可調整之緊固裝置420。可調整之緊固裝置420包括一基板422以及一氣體注入蓋(gas injector cover)416。氣體注入蓋416提供一密封力至一窗體(window)414,例如一透明窗體。窗體414設置於氣體注入蓋416和氣體注入器216的柱狀本體206之間。一密封件(seal)412,例如一O形圈密封(O-ring seal),可設置在窗體414和氣體注入器216的柱狀本體206之間,例如在密封槽或O形環槽中。氣體注入蓋416為窗體414提供密封力以抵靠密封件412,以減少或消除通過氣體注入器216的頂部洩漏並進入電漿腔100的空氣或環境氣體。不期望地洩漏到電漿腔100中之空氣或環境氣體可能導致基板108上的製程漂移並且可能導致電漿腔100內的基板108製程的不均勻(non-uniform processing)。
窗體414可為透明的,用以接受通過通道207的信號。舉例而言,窗體414可由聚合物、石英、藍寶石、及/或介電材料等電磁訊號可穿透之材質所製成。上述電磁訊號可為可見光、紅外線、紫外線、X射線光、及/或其他電磁訊號。通過窗體414之電磁訊號可用以監測電漿腔之製程情況,例如電漿的存在、製程氣體種類的存在、及/或蝕刻/沉積殘餘材料的存在。窗體414可包括合適的形狀,例如一圓板(round plate)。
於一些實施例中,氣體注入蓋416可包括一中央洞(central bore)以允許終點偵測器230通過窗體414接收電磁訊號。於其他實施例中,如第4圖所示,當不通過窗體414進行監測電磁訊號時,氣體注入蓋416可能沒有中心洞。
氣體注入器216、夾具302、及/或氣體注入蓋416可由聚合物、金屬、介電材料、石英材料、陶瓷材料、其他合適的材料、或其組合所製成。合 適的聚合物的例子包括氟聚合物(fluoropolymers)、聚醚酰亞胺(polyetherimide)、聚碳酸酯(polycarbonate)、聚醚醚酮(polyetheretherketone,PEEK)、聚酰亞胺(polyimide)、以及其他合適的聚合物。合適的陶瓷材料的例子包括氧化鋁(alumina)、二氧化鈰(ceria)、氧化釔(yttria)、氧化鋯(zirconia)和其他合適的陶瓷材料。
第5圖為根據一些實施例中可調整之緊固裝置420的剖視示意圖。可調整之緊固裝置420包括緊固件504,例如多個螺栓及螺帽組。如第5圖所示,使用了三個緊固件504,其中每個緊固件504包括一螺栓506和一螺帽510,但也可以使用其他類型和其他數量的緊固件。螺栓506穿過氣體注入蓋416設置,並通過螺栓506中的螺紋耦接到基板422。基板422耦接於夾具302。螺帽510可被調整來控制氣體注入蓋416的高度。藉由控制氣體注入蓋416的高度來控制氣體注入蓋416的底面418使窗體414抵接密封件412,以提供可控的密封力。可藉由分別調整每一緊固件504來調整氣體注入蓋416的高度。氣體注入蓋416提供施加於窗體414的密封力以壓縮(compress)密封件412。各別調整每一緊固件能夠或消除通過窗體414的洩漏。
於一些實施例中,氣體注入蓋416的底面418以角度(angle)419傾斜,以匹配窗體414的傾斜度,窗體414抵接於容納在氣體注入器216的柱狀本體206的密封槽中的密封件412。於一些實施例中,底面418以相對於水平(horizontal)約1°至約10°之角度419傾斜。於一些例子中,氣體注入蓋416具有一縱軸417(例如,環繞縱軸417之氣體注入蓋416大致橫向對稱(laterally symmetrical)),與底面418相交。由縱軸417和底面418相交形成的角度421可於約80°至約89°的範圍之間。於一些實施例中,氣體注入蓋416的傾斜底面418增強了窗體414相對於密封件412的密封。
可調整之緊固裝置420可容納不同的氣體注入器216。舉例而言, 不同的氣體注入器216具有不同的噴嘴209,可使用於一積體電路製造廠。可調整之緊固裝置420可用作通用或單一類型的裝置,以調節不同氣體注入器216的氣體注入蓋416的高度,以減少或消除經由窗體414的洩漏。
在沒有調節裝置的情況下,足夠的緊固力可能無法將窗體414密封在密封件412上,導致窗體414和密封件412之間的洩漏。利用可調整之緊固裝置420,每個緊固件504可以在相應位置處以對應的高度和距離單獨地和各別地調整,以實現密封件412和窗體414之間的最佳密封效果,從而減少或消除洩漏。
第6圖為根據一些實施例中積體電路(IC)製造系統600的俯視示意圖。積體電路製造系統600包括一或多個第1圖之電漿腔100,整合於一集束型射設備(cluster tool)。電漿腔100包括一或多個第4圖之氣體輸送源114。每一氣體輸送源114包括一可調整之緊固裝置420,用以將氣體注入蓋416緊固於窗體414上,以為窗體414和密封件412之間的界面提供增強的密封效果。
積體電路製造系統600包括一裝載埠模組642,包括多個前開式晶圓傳送盒(Front Opening Unified Pods,FOUPs)634。基板可經由前開式晶圓傳送盒裝載到積體電路製造系統600和從積體電路製造系統600卸載。積體電路製造系統600可包括於一大氣壓力傳送模組(atmospheric pressure transfer module)640內之一前端機械裝置(front-end robot)632,用以固持、操作(manipulating)、及傳送基板。舉例而言,前端機械裝置632可從前開式晶圓傳送盒634傳送基板到基板對準器(substrate aligner)644和裝載鎖室(load lock chamber)630中之一者。
積體電路製造系統600可更包括一傳送模組638,用以於電漿腔100及裝載鎖室630之間傳送基板。於一些實施例中,傳送模組638更包括一或多個機械裝置(robot)622,用以傳送基板至一或多個基底末端執行器(substrate end effector)624。
第7圖為根據一些實施例中由第2圖之終點偵測器230所偵測之訊 號的圖表(graph)700。終點偵測器230可以在基板的製程時間內監視特定波長或波長範圍的信號的強度。
線(line)702表示當電漿腔100處於適當操作狀態時信號的強度,而氣體輸送源114因利用可調整之緊固裝置420而沒有洩漏。於一例子中,超過界線值(threshold)730的強度表示製程的結束,例如蝕刻製程的結束。在點702E,終點偵測器230於時間702T檢測到製程的結束。
線714表示當電漿腔100於具有可調整之緊固裝置420之氣體輸送源114洩漏下操作時的信號強度。在點714E,終點偵測器230錯誤地檢測到製程的結束於時間714T時交錯界線值730。與時間702T處的實際結束相比,在時間714T處在洩漏下操作的信號的強度表明製程結束得太早。具有電漿腔100之積體電路製造系統600於氣體輸送源114中具有洩漏,可能具有非期望的過程漂移或終點(endpoint)的錯誤檢測。
第8圖為根據一些實施例中處理一或多個基板108之方法(半導體製造方法)800的流程圖。方法800可於第6圖的積體電路製造系統600中實現,並且參考圖1-7進行描述。
方法800包括操作802用以調整氣體輸送源114的可調整之緊固裝置420。可調整之緊固裝置420包括多組之緊固件504。每一緊固件504更包括一螺栓506以及一螺帽510。操作802包括將氣體注入蓋416設置在基板422上,使得緊固件504將氣體注入蓋416耦接到基板422。操作802包括調整氣體注入蓋416的高度或控制氣體注入蓋416的底面418的深度。可通過調整螺帽510來調整氣體注入蓋416的高度,以調整於窗體414和密封件412之間對於氣體注入器216之密封力。調節氣體注入蓋416的高度減少或消除通過密封件412和窗體414之間的界面的洩漏。
可以重複操作802,以於一積體電路製造系統600上的一個電漿腔 100、於一個積體電路製造系統600上的多個電漿腔100、及/或多個電子製造系統600上的多個電漿腔100調整可調整之緊固裝置420。可調整之緊固裝置420可以調整密封件412和窗體的密封力,以施加於多個電漿腔100上使用的多種類型的氣體注入器216。
於操作812中,基板108加載於積體電路製造系統600。舉例而言,一或多個半導體晶圓經由裝載埠模組642加載於積體電路製造系統600,例如在前開式晶圓傳送盒634中的一個或多個批次(batches)中。基板108經由裝載埠模組642加載至積體電路製造系統600,以到達一個或多個裝載鎖室630。
於操作814中,機械裝置622將基板108從裝載鎖室630傳送到電漿腔100中的一個。於操作816中,於電漿腔100中對基板108實施電漿製程。舉例而言,製程氣體從氣體供應源118遞送到電漿腔100的氣體注入器216。藉由向線圈124提供RF功率,以從密閉空間104中的製程氣體維持電漿。舉例而言,於蝕刻製程中,製程氣體可包括,但不限於,四氟甲烷(tetrafluoromethane,CF4)、其他氟化碳(other carbon fluorides)、氯氣(chlorine gas,Cl2)、三氟化氮(nitrogen trifluoride,NF3)、六氟化硫(sulfur hexafluoride,SF6)、溴化氫(hydrogen bromide,HBr)、氯化氫(hydrogen chloride,HCl)、氯(chlorine,Cl2)、和其他合適的氣體。舉例而言,於矽材料的沉積製程中,製程氣體包括矽烷(silane,SiH4)、二氯矽烷(dichlorosilane,SiH2Cl2)、或其他矽前體(silicon precursors)。舉例而言,於一處理製程中,製程氣體可包括氫氣(hydrogen,H2)、氮氣(nitrogen,N2)、氧氣(oxygen,O2)、或其他氣體。製程氣體亦可包括載體氣體(carrier gases),例如氮氣(nitrogen,N2)、氬(argon,Ar)、氦(helium,He)、或其結合。
於操作818中,機械裝置622將基板108從電漿腔100傳送到裝載鎖室630。上述操作類似於操作814,但是按順序顛倒。
於操作820中,基板108經由裝載埠模組642從積體電路製造系統 600卸載。方法800可以包括在上述操作之前,期間或之後的其他操作。舉例而言,在操作820之後,基板108可以傳送到其他製造工具用於其他製程步驟。
積體電路製造系統600以及方法800具有其他實施例或替代方案。積體電路製造系統600和其利用的方法可用於進行一個電漿製程操作或多個電漿製程操作的組合,例如蝕刻、沉積和處理(treatment)。
一些實施例提供了一種積體電路製造系統和利用其系統的方法。藉由利用已揭露之積體電路製造系統,電漿製程(如電漿蝕刻)的質量和效率都得到了提升。積體電路製造系統包括一或多個電漿腔100,每一電漿腔100更包括一或多個具有可調整之緊固裝置420之氣體輸送源114。可調整之緊固裝置420包括多組之緊固件504,每一緊固件504更包括一螺栓506以及一螺帽510。每個緊固件504可單獨調整來配合任何類型的氣體注入器216並調整緊固力(fastening force)以將氣體注入蓋416固定到氣體注入器216,同時密封件412和窗體414之間的密封效果增強,減少或消除洩漏。
一些實施例提供了可調整之緊固裝置420,以減少或消除由於不同類型的氣體注入器216引起的氣體注入器216的洩漏。氣體注入器的洩漏可能導致終點偵測器230對製程終點的錯誤檢測及/或可能影響基板製程的均勻度。一些實施例提供可調整之緊固裝置420以使用並配合任何氣體注入器216以減少或消除氣體注入器216的洩漏。通過避免氣體注入器216的洩漏,可以減少電漿腔100的維護和成本,並且可以增加電漿腔100的運行時間。
本揭露之一些實施例提供優於現有技術的優點,但應理解,其他實施例可提供不同的優點。於本揭露中不需要討論所有的優點,並且並非所有實施例都需要特定的優點。多種優點可呈現於一些實施例中。藉由利用本揭露之積體電路製造系統和方法,改進了積體電路製造,例如電漿蝕刻,具有增強的密封和製造效率。於其他優點中可包括較少的製造成本和較高的製造產量。
本揭露之一實施例提供了一種設備(電漿設備)。電漿設備包括多個室牆以及一室窗,其定義了一密閉空間。室窗設置於該電漿天線以及該基板承載台之間。一氣體輸送源機械耦合於該室窗。氣體輸送源包括一氣體注入器。該氣體注入器具有一通道、在該通道之一第一端之一窗體、以及在該通道之一第二端之一噴嘴。氣體輸送源之噴嘴設置於該密閉空間內。一緊固裝置機械耦合於該氣體輸送源。該緊固裝置為可調整的,用以調整施加於該氣體注入器之一密封力。
於一些實施例中,該緊固裝置更包括一氣體注入蓋,具有傾斜之一底面,其中該緊固裝置施加該密封力至該底面以使該窗體抵接於該氣體注入器。
於一些實施例中,該底面相對於該氣體注入蓋之一縱軸以約80度至約89度的範圍之間的一角度傾斜。
於一些實施例中,該室窗允許一射頻能量由該電漿天線傳輸至該密閉空間,該電漿天線包括一或多個線圈,且該氣體輸送源設置於該一或多個線圈之中心。
另一實施例為一半導體製造方法。上述方法包括傳送一基板進入一電漿腔內。當該基板於該電漿腔內時,使一氣體流入該電漿腔內。該氣體流經機械耦合於該電漿腔之一氣體輸送源。該氣體輸送源包括一氣體注入器以及一緊固裝置。該緊固裝置機械耦合於該氣體輸送源且對該氣體注入器施加一密封力。該氣體經由該氣體注入器流入該電漿腔內。緊固裝置為可調整的,用以調整該密封力。利用該電漿腔內之該氣體維持一電漿。
於一些實施例中,半導體製造方法更包括調整該緊固裝置以調整一氣體注入蓋之一高度,其中該氣體注入蓋接觸位於該氣體注入蓋與該氣體注入器之間的一窗體。
於一些實施例中,上述調整該緊固裝置之步驟包括調整複數個緊固件。
於一些實施例中,每一該等緊固件包括一螺栓以及一螺帽。該氣體注入蓋具有傾斜之一底面。該氣體注入器之該底面以約1度至約10度的範圍之間的一角度傾斜。該窗體為傾斜的。
又一實施例為一氣體輸送源。氣體輸送源包括一氣體注入器,包括一柱狀本體。一夾具,用以容納該氣體注入器且固定該氣體注入器至一室窗。一氣體注入蓋,設置於該柱狀本體之一端。該氣體注入器具有位於該氣體注入蓋以及該柱狀本體之一窗體。一密封件,位於該窗體以及該柱狀本體之間。一可調整之緊固裝置,耦接於該氣體注入蓋。該可調整之緊固裝置為可調整的,用以調整該氣體注入蓋對於該窗體之一密封力,以壓縮該密封件。
於一些實施例中,該氣體注入蓋具有傾斜並抵接於該窗體之一底面,且該底面以約1度至約10度的範圍之間的一角度傾斜。
於一些實施例中,該氣體注入器更包括一通道以及一氣體注入頭。該氣體注入頭包括一或多個噴嘴。
於一些實施例中,該氣體注入器更包括一入口,可操作地將一氣體輸送源連接到該通道。該夾具包括一入口耦接於該氣體注入器之該入口。該窗體允許從該通道接收一電磁訊號。
以上概述了許多實施例的特徵,使得本領域技術人員可以更好地理解本揭露的各方面。本領域技術人員應當理解,他們可以容易地使用本揭露作為設計或修改用於實現相同目的的其他過程和結構的基礎和/或實現本揭露實施例的相同優點。本領域技術人員還應該認識到類似均等的構造並不脫離本揭露的精神和範圍,並可對本揭露作出不脫離本揭露的精神和範圍的各種變化、替代和修改。
100‧‧‧電漿腔(電漿設備)
102‧‧‧室牆
103‧‧‧室窗
104‧‧‧密閉空間
106‧‧‧基板承載台
108‧‧‧基板
110‧‧‧旋轉裝置
112‧‧‧旋轉軸
114‧‧‧氣體輸送源
118‧‧‧氣體供應源
120‧‧‧泵模組
122A‧‧‧第一電漿電源
122B‧‧‧第二電漿電源
124A‧‧‧內線圈
124B‧‧‧外線圈

Claims (8)

  1. 一種電漿設備,包括:複數個室牆以及一室窗,其定義了一密閉空間;一電漿天線;一基板承載台,且該室窗設置於該電漿天線以及該基板承載台之間;一氣體輸送源,機械耦合於該室窗,且該氣體輸送源包括:一氣體注入器,其中該氣體注入器包括一柱狀本體以及一噴嘴,該柱狀本體具有一通道,該通道包括一第一端以及一第二端,該噴嘴設置於該通道之該第二端,且該噴嘴設置於該密閉空間內;一窗體,設置於該通道之該第一端;一密封件,設置於該窗體與該氣體注入器之該柱狀本體之間;以及一緊固裝置,機械耦合於該氣體注入器之該柱狀本體,並包括一氣體注入蓋,該氣體注入蓋具有傾斜之一底面,其中該底面相對於該氣體注入蓋之一縱軸以約80度至約89度的範圍之間的一角度傾斜,且該緊固裝置為可調整的,用以調整介於該窗體與該密封件之間之一密封力。
  2. 如申請專利範圍第1項所述之電漿設備,其中該緊固裝置施加該密封力至該底面以使該窗體抵接於該氣體注入器。
  3. 如申請專利範圍第1項所述之電漿設備,其中該室窗允許一射頻能量由該電漿天線傳輸至該密閉空間,該電漿天線包括一或多個線圈,且該氣體輸送源設置於該一或多個線圈之中心。
  4. 一種半導體製造方法,包括:傳送一基板進入一電漿腔內;當該基板於該電漿腔內時,使一氣體流入該電漿腔內,該氣體流經機械耦合於該電漿腔之一氣體輸送源,且該氣體輸送源包括一氣體注入器、一窗體、 一密封件以及一緊固裝置,該氣體注入器包括一柱狀本體以及一噴嘴,該柱狀本體包括一第一端以及一第二端,該窗體設置於該第一端,該噴嘴設置於該第二端,該密封件設置於該窗體與該氣體注入器之該柱狀本體之間,該緊固裝置機械耦合於該氣體注入器之該柱狀本體且在該窗體與該密封件之間施加一密封力,其中該氣體經由該氣體注入器流入該電漿腔內,且該緊固裝置為可調整的,用以調整該密封力;利用該電漿腔內之該氣體維持一電漿;以及調整該緊固裝置以調整一氣體注入蓋之一高度,該氣體注入蓋具有傾斜之一底面,該氣體注入蓋之該底面相對於水平以約1度至約10度的範圍之間的一角度傾斜。
  5. 如申請專利範圍第4項所述之半導體製造方法,其中該氣體注入蓋接觸位於該氣體注入蓋與該氣體注入器之間的一窗體,且該窗體為傾斜的。
  6. 如申請專利範圍第5項所述之半導體製造方法,其中調整該緊固裝置之步驟包括調整複數個緊固件,其中每一該等緊固件包括一螺栓以及一螺帽。
  7. 一種氣體輸送源,包括:一氣體注入器,包括一柱狀本體以及一氣體注入頭,該柱狀本體包括一第一端以及一第二端,且該氣體注入頭設置於該第二端;一夾具,用以容納該氣體注入器且固定該氣體注入器至一室窗;一氣體注入蓋,設置於該柱狀本體之該第一端,且該氣體注入器具有位於該氣體注入蓋以及該柱狀本體之一窗體;一密封件,位於該窗體以及該柱狀本體之該第一端之間;以及一可調整之緊固裝置,耦接於該氣體注入蓋,且該可調整之緊固裝置為可調整的,用以調整該氣體注入蓋對於該窗體之一密封力,以壓縮該密封件; 其中該氣體注入蓋具有傾斜並抵接於該窗體之一底面,且該底面相對於水平以約1度至約10度的範圍之間的一角度傾斜。
  8. 如申請專利範圍第7項所述之氣體輸送源,其中該氣體注入器更包括:一通道;以及該氣體注入頭,包括一或多個噴嘴;其中該氣體注入器更包括一入口,可操作地將一氣體輸送源連接到該通道,該夾具包括一入口耦接於該氣體注入器之該入口,且該窗體允許從該通道接收一電磁訊號。
TW108115833A 2018-06-13 2019-05-08 電漿設備、半導體製造方法、以及氣體輸送源 TWI740140B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/007,780 US10840066B2 (en) 2018-06-13 2018-06-13 Adjustable fastening device for plasma gas injectors
US16/007,780 2018-06-13

Publications (2)

Publication Number Publication Date
TW202001967A TW202001967A (zh) 2020-01-01
TWI740140B true TWI740140B (zh) 2021-09-21

Family

ID=68840288

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108115833A TWI740140B (zh) 2018-06-13 2019-05-08 電漿設備、半導體製造方法、以及氣體輸送源

Country Status (3)

Country Link
US (4) US10840066B2 (zh)
CN (1) CN110600356B (zh)
TW (1) TWI740140B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US11077410B2 (en) * 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US10840066B2 (en) 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
KR20220021206A (ko) * 2020-08-13 2022-02-22 삼성전자주식회사 플라즈마 처리 장치
US20220277933A1 (en) * 2021-02-26 2022-09-01 Taiwan Semiconductor Manufacturing Company Limited Wafer treatment system and method of treating wafer

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW589658B (en) * 2001-10-15 2004-06-01 Lam Res Corp Tunable multi-zone gas injection system
WO2004079811A1 (ja) * 2003-03-06 2004-09-16 Sekisui Chemical Co., Ltd. プラズマ処理装置及び方法
CN101473060A (zh) * 2006-05-03 2009-07-01 应用材料股份有限公司 适合于蚀刻高纵横比结构的真空处理室
TW201016080A (en) * 2008-07-09 2010-04-16 Tokyo Electron Ltd Plasma processing device
US20110253044A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Showerhead assembly with metrology port purge
TW201331408A (zh) * 2011-10-07 2013-08-01 Tokyo Electron Ltd 電漿處理裝置

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5178500A (en) * 1991-04-24 1993-01-12 Mag Aerospace Industries, Inc. Fastener with locking retainer ring
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6493375B1 (en) * 2000-02-22 2002-12-10 Tuilaser Ag Adjustable mounting unit for an optical element of a gas laser
US7141138B2 (en) * 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
WO2004088729A1 (en) 2003-03-26 2004-10-14 Tokyo Electron Limited Chemical processing system and method
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7928366B2 (en) 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US20100291429A1 (en) 2009-05-12 2010-11-18 Farmer Joseph C Electrochemical Nanofluid or Particle Suspension Energy Conversion and Storage Device
WO2011100293A2 (en) * 2010-02-12 2011-08-18 Applied Materials, Inc. Process chamber gas flow improvements
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9373551B2 (en) * 2013-03-12 2016-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Moveable and adjustable gas injectors for an etching chamber
WO2014149200A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
JP6410622B2 (ja) * 2014-03-11 2018-10-24 東京エレクトロン株式会社 プラズマ処理装置及び成膜方法
DE102014208756A1 (de) 2014-05-09 2015-11-12 Schott Ag Lichtleiter mit angeformtem optischen Element
US9472379B2 (en) * 2014-06-20 2016-10-18 Applied Materials, Inc. Method of multiple zone symmetric gas injection for inductively coupled plasma
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
JP6569520B2 (ja) * 2015-12-24 2019-09-04 東京エレクトロン株式会社 成膜装置
US10490462B2 (en) * 2016-10-13 2019-11-26 Kla Tencor Corporation Metrology systems and methods for process control
KR102511483B1 (ko) * 2017-02-10 2023-03-17 어플라이드 머티어리얼스, 인코포레이티드 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
US10840066B2 (en) * 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW589658B (en) * 2001-10-15 2004-06-01 Lam Res Corp Tunable multi-zone gas injection system
WO2004079811A1 (ja) * 2003-03-06 2004-09-16 Sekisui Chemical Co., Ltd. プラズマ処理装置及び方法
CN101473060A (zh) * 2006-05-03 2009-07-01 应用材料股份有限公司 适合于蚀刻高纵横比结构的真空处理室
TW201016080A (en) * 2008-07-09 2010-04-16 Tokyo Electron Ltd Plasma processing device
US20110253044A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Showerhead assembly with metrology port purge
TW201331408A (zh) * 2011-10-07 2013-08-01 Tokyo Electron Ltd 電漿處理裝置

Also Published As

Publication number Publication date
US10840066B2 (en) 2020-11-17
US20220301822A1 (en) 2022-09-22
CN110600356B (zh) 2022-02-18
US11854769B2 (en) 2023-12-26
US20210043423A1 (en) 2021-02-11
US11355318B2 (en) 2022-06-07
US20190385816A1 (en) 2019-12-19
US20210035777A1 (en) 2021-02-04
TW202001967A (zh) 2020-01-01
US11361943B2 (en) 2022-06-14
CN110600356A (zh) 2019-12-20

Similar Documents

Publication Publication Date Title
TWI740140B (zh) 電漿設備、半導體製造方法、以及氣體輸送源
KR102556016B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
US9601319B1 (en) Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US7718030B2 (en) Method and system for controlling radical distribution
US7338576B2 (en) Plasma processing device
US20090221149A1 (en) Multiple port gas injection system utilized in a semiconductor processing system
TW202209552A (zh) 基板處理設備
KR20050034610A (ko) 가변 다중-구역 가스 주입 시스템
CN113223914B (zh) 基板处理设备及基板处理方法
KR20020081240A (ko) 확대된 프로세스 창을 갖는 유전체 에치 챔버
US11043388B2 (en) Integrated circuit fabrication system with adjustable gas injector and method utilizing the same
KR20120074878A (ko) 배플, 기판 처리 장치 및 그 처리 방법
JP4185117B2 (ja) プラズマ処理装置およびそのクリーニング方法
JP4754609B2 (ja) 処理装置およびそのクリーニング方法
JP2006253733A (ja) プラズマ処理装置およびそのクリーニング方法
US20230420222A1 (en) Gas distribution ring for process chamber
TWI833873B (zh) 膜之蝕刻方法
US20220199379A1 (en) High temperature heating of a substrate in a processing chamber
US20230133798A1 (en) Cooled edge ring with integrated seals
KR100683255B1 (ko) 플라즈마 처리 장치 및 배기 장치
WO2022203763A1 (en) Methods and apparatus for processing a substrate
KR20210028275A (ko) 기판 프로세싱 시스템을 위한 유전체 윈도우를 갖는 허니콤 (honeycomb) 주입기