CN110600356A - 等离子体设备、半导体制造方法以及气体输送源 - Google Patents

等离子体设备、半导体制造方法以及气体输送源 Download PDF

Info

Publication number
CN110600356A
CN110600356A CN201910389298.2A CN201910389298A CN110600356A CN 110600356 A CN110600356 A CN 110600356A CN 201910389298 A CN201910389298 A CN 201910389298A CN 110600356 A CN110600356 A CN 110600356A
Authority
CN
China
Prior art keywords
gas
plasma
window
chamber
delivery source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910389298.2A
Other languages
English (en)
Other versions
CN110600356B (zh
Inventor
许泳顺
张景郁
张乔凯
谢伟康
林建坊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110600356A publication Critical patent/CN110600356A/zh
Application granted granted Critical
Publication of CN110600356B publication Critical patent/CN110600356B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20214Rotation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本公开提供了一种等离子体设备、半导体制造方法以及气体输送源。等离子体设备包括多个室墙、一室窗、一等离子体天线、一基板承载台、一气体输送源及一紧固装置。多个室墙以及一室窗定义了一密闭空间。室窗设置于等离子体天线以及基板承载台之间。气体输送源机械耦合于室窗。气体输送源包括一气体注入器。气体注入器具有一通道、在通道的一第一端的一窗体以及在通道的一第二端的一喷嘴。气体输送源的喷嘴设置于密闭空间内。紧固装置机械耦合于气体输送源。紧固装置为可调整的,用以调整施加于气体注入器的一密封力。

Description

等离子体设备、半导体制造方法以及气体输送源
技术领域
本公开涉及一种等离子体设备、半导体制造方法以及气体输送源。
背景技术
近年来,半导体集成电路(semiconductor integrated circuits)经历了指数级的成长。在集成电路材料以及设计上的技术进步下,产生了多个世代的集成电路,其中每一世代较前一世代具有更小更复杂的电路。在集成电路发展的过程中,当几何尺寸(亦即,制造工艺中所能产出的最小元件或者线)缩小时,功能密度(亦即,每一芯片区域所具有的互连装置的数目)通常会增加。一般而言,此种尺寸缩小的制造工艺可以提供增加生产效率以及降低制造成本的好处,然而,此种尺寸缩小的制造工艺亦会增加制造与生产集成电路的复杂度。为了实现这些进步,需要在集成电路制造工艺和制造设备中进行相应的研发。在一例子中,利用等离子体制造系统来实施基板的等离子体蚀刻制造工艺。于等离子体蚀刻制造工艺中,等离子体通过从基板表面蚀刻的材料的元素与由等离子体产生的反应性物质之间的化学反应产生挥发性蚀刻产物。
发明内容
本公开的一实施例提供了一种等离子体设备。等离子体设备包括多个室墙、一室窗、一等离子体天线、一基板承载台、一气体输送源及一紧固装置。多个室墙以及一室窗定义了一密闭空间。室窗设置于该等离子体天线以及该基板承载台之间。气体输送源机械耦合于该室窗。气体输送源包括一气体注入器。该气体注入器具有一通道、在该通道的一第一端的一窗体以及在该通道的一第二端的一喷嘴。气体输送源的喷嘴设置于该密闭空间内。紧固装置机械耦合于该气体输送源。该紧固装置为可调整的,用以调整施加于该气体注入器的一密封力。
另一实施例为一半导体制造方法。上述方法包括传送一基板进入一等离子体腔内。当该基板于该等离子体腔内时,一气体流入该等离子体腔内。该气体流经机械耦合于该等离子体腔的一气体输送源。该气体输送源包括一气体注入器以及一紧固装置,机械耦合于该气体输送源且对该气体注入器施加一密封力。该气体经由该气体喷射气流入该等离子体腔内。紧固装置为可调整的,用以调整该密封力。利用该等离子体腔内的该气体维持一等离子体。
又一实施例为一气体输送源。气体输送源包括一气体注入器、一夹具、一气体注入盖、一密封件及一可调整的紧固装置。气体注入器包括一柱状本体。夹具用以容纳该气体注入器且固定该气体注入器至一室窗。气体注入盖设置于该柱状本体的一端。该气体注入器具有位于该气体注入盖以及该柱状本体的一窗体。密封件位于该窗体以及该柱状本体之间。可调整的紧固装置耦接于该气体注入盖。该可调整的紧固装置为可调整的,用以调整该气体注入盖对于该窗体的一密封力,以压缩该密封件。
本发明的有益效果在于:本公开的一实施例提供了一种等离子体设备,包括多个室墙、一室窗、一等离子体天线、一基板承载台、一气体输送源及一紧固装置。气体输送源包括一气体注入器,一紧固装置机械耦合于该气体输送源。该紧固装置为可调整的,用以调整施加于该气体注入器的一密封力。利用可调整的紧固装置,以使用并配合任何气体注入器以减少或消除气体注入器的泄漏,实现最佳密封效果。
附图说明
当结合附图阅读时,可从以下详细描述中良好地理解本公开的各方面。应注意的是,根据本产业的一般作业,附图并未必按照比例绘制。事实上,可能任意的放大或缩小元件的尺寸,以做清楚的说明。
图1为根据一些实施例中用于集成电路制造的等离子体腔的剖视示意图。
图2为根据一些实施例中气体输送源的剖视示意图。
图3为根据一些实施例中气体输送源的剖视示意图,其中气体输送源还包括一夹具,用于将气体注入器附接并固定到一等离子体腔的一室窗上。
图4为根据一些实施例中气体输送源附着在等离子体腔的室窗上的剖视示意图。
图5为根据一些实施例中可调整的紧固装置的剖视示意图。
图6为根据一些实施例中集成电路(IC)制造系统的俯视示意图。
图7为根据一些实施例中终点检测器所检测的信号的图表。
图8为根据一些实施例中处理一个或多个基板的方法的流程图。
附图标记说明:
100:等离子体腔(等离子体设备)
102:室墙
103:室窗
104:密闭空间
106:基板承载台
108:基板
110:旋转装置
112:旋转轴
114:气体输送源
118:气体供应源
120:泵模块
122:等离子体电源
122:射频电源
122A:第一等离子体电源
122B:第二等离子体电源
124:线圈
124A:内线圈
124B:外线圈
206:柱状本体
207:通道
208:气体注入头
209:喷嘴
210:入口
216:气体注入器
230:终点检测器
302:夹具
304:凹槽
310:入口
412:密封件
414:窗体
416:气体注入盖
417:纵轴
418:底面
419:角度
420:紧固装置
421:角度
422:基板
504:紧固件
506:螺栓
510:螺帽
600:集成电路制造系统
622:机械装置
624:基底末端执行器
634:前开式晶片传送盒
632:前端机械装置
638:传送模块
640:大气压力传送模块
642:装载端口模块
644:基板对准器
700:图表
702:线
702E:点
702T:时间
714:线
714E:点
730:界线值
800:方法
802、812、814、816、818、820:操作
具体实施方式
以下公开的实施方式或实施例是用于说明或完成本发明的多种不同技术特征,所描述的元件及配置方式的特定实施例是用于简化说明本发明,使公开得以更透彻且完整,以将本公开的范围完整地传达予同领域普通技术人员。当然,本公开也可以许多不同形式实施,而不局限于以下所述的实施例。举例而言,若实施例中叙述了一第一特征形成于一第二特征之上或上方,即表示其可能包含上述第一特征与上述第二特征是直接接触的情况,亦可能包含了有附加特征形成于上述第一特征与上述第二特征之间,而使得上述第一特征与第二特征未直接接触的情况。此外,以下不同实施例中可能重复使用相同的元件标号及/或文字,这些重复是为了简化与清晰的目的,而非用以限定所讨论的不同实施例及/或结构之间有特定的关系。
再者,在下文中所使用的空间相关用词,例如“在…下方”、“下方”、“较低的”、“上方”、“较高的”及类似的用词,是为了便于描述图示中一个元件或特征与另一个(些)元件或特征之间的关系。除了在附图中示出的方位之外,这些空间相关用词也意欲包含使用中或操作中的装置的不同方位。例如,装置可能被转向不同方位(旋转90度或其他方位),而在此所使用的空间相关用词也可依此相同解释。
本公开的一些实施例提供了一种集成电路制造系统和利用其系统的方法。通过利用已公开的集成电路制造系统,等离子体制造工艺(如等离子体蚀刻)的质量和效率都得到了提高。集成电路制造系统包括一或多个等离子体腔,每一个等离子体腔还包括一个或多个具有可调整的紧固装置的气体输送源。可调整的紧固装置包括多组的紧固件,每一个紧固件还包括一螺栓以及一螺帽。每个紧固件可单独调整来配合任何类型的气体注入器并调整紧固力以将气体注入盖固定到气体注入器,同时密封件和窗体之间的密封效果增强,减少或消除泄漏。一些实施例提供了可调整的紧固装置,以减少或消除由于不同类型的气体注入器引起的气体注入器的泄漏。气体注入器的泄漏可能导致终点检测器对制造工艺终点的错误检测及/或可能影响基板制造工艺的均匀度。通过避免气体注入器的泄漏,可以减少等离子体腔的维护和成本,并且可以增加等离子体腔的运行时间。
图1为根据一些实施例中用于集成电路(IC)制造的一等离子体腔(等离子体设备)100的剖视示意图。等离子体腔100包括多个室墙(chamber wall)102以及一室窗(chamberwindow)103。室墙102以及室窗103定义了一密闭空间104,用以处理基板108。密闭空间104绝缘于外侧环境且可维持于一适当的状态,例如真空或是具有低于大气压力的压力。
于一些实施例中,等离子体腔100可操作以实施一等离子体蚀刻制造工艺,例如从基板108的表面以等离子体蚀刻金属、电介质、半导体及/或遮罩材料(mask materials)。于一些实施例中,等离子体腔100可操作以实施一沉积制造工艺,例如以等离子体沉积金属、电介质、半导体及/或遮罩材料于基板108的表面上。于一些实施例中,等离子体腔100可操作以执行一等离子体处理(treatment),例如以等离子体处理基板108的表面上的金属、电介质、半导体及/或遮罩材料。
等离子体腔100包括一基板承载台106设置于室墙102内,并用以支撑基板108。基板108可为一硅晶片。于其他实施例中,基板108可包括其他元素(elemental)半导体材料、化合物半导体材料,合金半导体材料或其他半导体芯片,以及其他合适的基板。举例而言,化合物半导体材料包括,但不限于,碳化硅、砷化镓、磷化镓、磷化铟、砷化铟及/或锑化铟。举例而言,合金半导体材料包括,但不限于,SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP。
基板承载台106可包括一静电吸座(electrostatic chuck)及/或一夹环(clampring)(图未示)以于制造工艺期间固持基板108。基板承载台106可亦包括冷却及/或加热元件(图未示)用以控制基板承载台106的温度。基板承载台106亦可提供背侧气体至基板108以增加基板108以及基板承载台106之间的热传导。
基板承载台106可耦接于一旋转装置110,例如一马达,用以于基板处理过程中绕一旋转轴112旋转基板承载台106以及基板108。基板108的旋转可提供均匀的基板处理。
等离子体腔100包括一个或多个气体输送源114,耦接于室窗103。气体输送源114连接于一气体供应源118,且用以提供制造工艺气体至基板108。于不同的例子中,制造工艺气体为一蚀刻气体、一沉积气体、一处理(treatment)气体、载体气体(carrier gas)(如氮气、氩气等)、其他合适的气体、及其组合。气体输送源114注入制造工艺气体至密闭空间104。
等离子体腔100包括一泵模块120,耦接于密闭空间104,且可操作以将密闭空间104保持于真空状态或低于大气压,例如低于约5Torr(托)。于一些实施例中,泵模块120可操作以将密闭空间104的压力保持于约1mTorr(毫托)至约100mTorr(毫托)的范围之间。于不同的例子中,泵模块120可包括一个或多个泵,且可利用多种泵技术,例如正排量泵(positive displacement pump)、动量输送泵(momentum transfer pump)、再生泵(regenerative pump)及/或捕集泵(entrapment pump)。据所需工作范围,可以串联配置多种泵。
等离子体腔100包括一等离子体电源122(例如一射频(RF)电源)耦接于一等离子体天线(例如适用于感应耦合等离子体(inductively coupled plasma)的一线圈124),以维持等离子体于密闭空间104内。于其他实施例中,等离子体天线可包括适用于电容耦合等离子体(capacitively coupled plasma)的多个板件。射频电源122经由适合的RF阻抗匹配电路(图未示)耦接于线圈124。线圈124位于室窗103之上。室窗103包括一介电窗体(dielectric window)允许等离子体电源122所提供的射频能量由线圈124传送至密闭空间104。线圈124的射频能量可操作以将密闭空间104内的制造工艺气体维持的感应耦合等离子体来进行基板108的蚀刻、沉积、及/或等离子体制造工艺。等离子体腔100的等离子体电源122可包括耦接于一内线圈124A的一第一等离子体电源122A以及耦接于一外线圈124B的一第二等离子体电源122B。第一等离子体电源122A以及第二等离子体电源122B可分别地控制提供至内线圈124及外线圈124B的射频能量,进而控制等离子体于基板108的内区域及外区域。于一些实施例中,线圈124维持等离子体于一高密度状态,例如等离子体密度可约为109ions/cm3(每立方厘米的离子个数)至约1012ions/cm3的范围之间,或约1010ions/cm3至约1012ions/cm3的范围之间。线圈124可为一平面多匝螺旋线圈(planar multi-turnspiral coil)、非平面多匝螺旋线圈(non-planar multi-turn spiral coil)或具有其他合适形状的线圈。于其他实施例中,等离子体可经由其他等离子体天线维持,例如电子回旋共振(electron cyclotron resonance,ECR)、平行板、螺旋(helicon)、螺旋谐振器(helical resonator)、或其他等离子体天线。
图2为根据一些实施例中包括一气体注入器(gas injector)216的一气体输送源114的剖视示意图。气体注入器216包括一柱状本体206,其具有一通道207。通道207可供制造工艺气体流至设置于柱状本体206的一端的一气体注入头208。气体注入器216可由金属材料(例如铝或不锈钢)、介电材料(例如石英,氧化铝,氮化硅)、或其他合适的材料所制成。
气体注入头208包括一个或多个喷嘴209。制造工艺气体由图1的气体供应源118通过耦接于气体供应源118的一个或多个入口210被递送至气体注入器216。气体注入器216可经由通道207递送制造工艺气体,且排出于喷嘴209至图1的密闭空间104。
喷嘴209的数目及/或喷嘴209的角度可以选择在特定的基板处理方案中提供所需的气体分布。例如,制造工艺气体的数量、尺寸、注入的角度及/或喷嘴209在等离子体腔100内的位置,可以配合将射频能量感应耦合进入等离子体腔100内的特定线圈。
喷嘴209可为任何所需的形状,例如沿其整体长度的均匀直径(uniformdiameter),或具有例如圆锥形表面、喇叭形表面、或径向轮廓表面等其他形状的表面。喷嘴209可以定向成沿任何方向注入气体,包括垂直(directly at)基板108的方向、与基板108之间呈现锐角的方向、平行于基板108的方向、朝向(back toward)上部等离子体边界(在相对于喷嘴209的纵轴的一角度倾斜处)的方向、或其结合的方式注入气体。上述设计可在基板表面上获得均匀的制造工艺气体和等离子体种类(plasma species)流量,以促进基板108上的均匀处理速率。额外的气体注入器也可以设置在基板的周边附近或从其他室壁102提供。
气体输送源114可设置于内线圈124A的中心内,且可经由穿过室窗103设置。于等离子体腔100内,一线圈可用于维持密闭空间104内的一感应耦合等离子体。气体注入器216位于线圈124A中心的位置以避免气体注入器216暴露于由线圈124A,124B形成的等离子体的环形区域。喷嘴209位于电场强度降低的区域,在区域中等离子体诱导的反应物分解(plasma induced reactant decomposition)减少。假使气体注入器216远离线圈124的中心设置,电场线(由等离子体和气体注入器216之间的电位差所形成)能较大且在蚀刻或沉积期间导致局部增强的沉积,从而堵塞远离内线圈124A的中心的气体注入器216的喷嘴209。对于位于内线圈124A的中心处或附近的气体注入器216,电场线较小并且降低了气体注入器216的喷嘴209的堵塞的敏感性(susceptibility),例如在连续的基板108等离子体制造工艺之后。
不同的气体注入器216可用于不同的制造工艺方案。使用不同的气体注入器216允许选择中心气体供给位置和基板108与喷嘴209的距离。某个气体注入器216可调整喷嘴209靠近基板108或远离基板108,以定制等离子体种类到基板108的传输。可以基于在某个制造工艺方案中所使用的制造工艺气体来选择某个气体注入器216。由一内线圈124的中央所递送的制造工艺气体允许有效利用制造工艺气体,且对等离子体的均匀性的干扰最小。喷嘴209的直径可以很小,使得在气体注入器216周围形成的等离子体鞘(plasma sheath)很大程度上不受喷嘴209的存在的影响。气体注入器216可以促进制造工艺副产物(processingby-products)从基板区域(substrate region)有效率的传输离开,这可以有利地影响制造工艺均匀度(processing uniformity)和轮廓控制,例如在金属蚀刻等化学驱动的应用中。可利用不同的气体注入器216的喷嘴209的多种直径和多种位置将制造工艺气体递送于基板108上的不同区域。
于其他实施例中,一个或多个气体注入器216可以设置在室窗103中,并且/或者更多的一个或多个气体注入器216可以设置在室壁102的侧面。
终点检测器230可直接经由气体注入器216的通道207来监测由图1的等离子体腔100的密闭空间104发出的电磁信号。电磁信号可为从密闭空间104内的等离子体发出的可见光、红外线、紫外线(UV)光、X射线光、及/或其他电磁信号。终点检测器230可以通过监测电磁信号确定等离子体腔100内的某些制造工艺条件。例如,电磁信号可以指示等离子体的存在、制造工艺气体种类的存在、蚀刻/沉积残余材料的存在、或其他制造工艺信息。
图3为根据一些实施例中气体输送源114的剖视示意图,其中气体输送源114还包括一夹具302,用于将第2图的气体注入器216附接并固定到图1的等离子体腔100的室窗103上。夹具302包括凹槽(cavity)304,用以容纳气体注入器216。夹具302包括一个或多个入口310,用于将制造工艺气体从图1的气体供应源118递送到气体注入器216的一个或多个入口210。夹具302使用夹子、螺丝、螺栓或其他紧固件附接到室窗103上。于其他实施例中,气体注入器216可以焊焊,烧结或以其他方式结合到室窗103中的开口中。于其他实施例中,室窗103以及气体注入器216可以通过烧结陶瓷粉末一起形成,例如Al2O3或Si3N4。于其他实施例中,气体注入器216可以加工(machined)形式或以其他方式形成于室窗103中。
图4为根据一些实施例中气体输送源114附着在图1的等离子体腔100的室窗103上的剖视示意图。气体输送源114包括气体注入器216,容纳在夹具302内。气体输送源114还包括一可调整的紧固装置420。可调整的紧固装置420包括一基板422以及一气体注入盖(gasinjector cover)416。气体注入盖416提供一密封力至一窗体(window)414,例如一透明窗体。窗体414设置于气体注入盖416和气体注入器216的柱状本体206之间。一密封件(seal)412,例如一O形圈密封(O-ring seal),可设置在窗体414和气体注入器216的柱状本体206之间,例如在密封槽或O形环槽中。气体注入盖416为窗体414提供密封力以抵靠密封件412,以减少或消除通过气体注入器216的顶部泄漏并进入等离子体腔100的空气或环境气体。不期望地泄漏到等离子体腔100中的空气或环境气体可能导致基板108上的制造工艺漂移并且可能导致等离子体腔100内的基板108制造工艺的不均匀(non-uniform processing)。
窗体414可为透明的,用以接受通过通道207的信号。举例而言,窗体414可由聚合物、石英、蓝宝石、及/或介电材料等电磁信号可穿透的材质所制成。上述电磁信号可为可见光、红外线、紫外线、X射线光、及/或其他电磁信号。通过窗体414的电磁信号可用以监测等离子体腔的制造工艺情况,例如等离子体的存在、制造工艺气体种类的存在、及/或蚀刻/沉积残余材料的存在。窗体414可包括合适的形状,例如一圆板(round plate)。
于一些实施例中,气体注入盖416可包括一中央洞(central bore)以允许终点检测器230通过窗体414接收电磁信号。于其他实施例中,如图4所示,当不通过窗体414进行监测电磁信号时,气体注入盖416可能没有中心洞。
气体注入器216、夹具302、及/或气体注入盖416可由聚合物、金属、介电材料、石英材料、陶瓷材料、其他合适的材料、或其组合所制成。合适的聚合物的例子包括氟聚合物(fluoropolymers)、聚醚酰亚胺(polyetherimide)、聚碳酸酯(polycarbonate)、聚醚醚酮(polyetheretherketone,PEEK)、聚酰亚胺(polyimide)以及其他合适的聚合物。合适的陶瓷材料的例子包括氧化铝(alumina)、二氧化铈(ceria)、氧化钇(yttria)、氧化锆(zirconia)和其他合适的陶瓷材料。
图5为根据一些实施例中可调整的紧固装置420的剖视示意图。可调整的紧固装置420包括紧固件504,例如多个螺栓及螺帽组。如图5所示,使用了三个紧固件504,其中每个紧固件504包括一螺栓506和一螺帽510,但也可以使用其他类型和其他数量的紧固件。螺栓506穿过气体注入盖416设置,并通过螺栓506中的螺纹耦接到基板422。基板422耦接于夹具302。螺帽510可被调整来控制气体注入盖416的高度。通过控制气体注入盖416的高度来控制气体注入盖416的底面418使窗体414抵接密封件412,以提供可控的密封力。可通过分别调整每一个紧固件504来调整气体注入盖416的高度。气体注入盖416提供施加于窗体414的密封力以压缩(compress)密封件412。各别调整每一个紧固件能够或消除通过窗体414的泄漏。
于一些实施例中,416的底面418以角度(angle)419倾斜,以匹配窗体414的倾斜度,窗体414抵接于容纳在气体注入器216的柱状本体206的密封槽中的密封件412。于一些实施例中,底面418以相对于水平(horizontal)约1度至约10度的角度419倾斜。于一些例子中,气体注入盖416具有一纵轴417(例如,环绕纵轴417的气体注入盖416大致横向对称(laterally symmetrical)),与底面418相交。由纵轴417和底面418相交形成的角度421可于约80度至约89度的范围之间。于一些实施例中,气体注入盖416的倾斜底面418增强了窗体414相对于密封件412的密封。
可调整的紧固装置420可容纳不同的气体注入器216。举例而言,不同的气体注入器216具有不同的喷嘴209,可使用于一集成电路制造厂。可调整的紧固装置420可用作通用或单一类型的装置,以调节不同气体注入器216的气体注入盖416的高度,以减少或消除经由窗体414的泄漏。
在没有调节装置的情况下,足够的紧固力可能无法将窗体414密封在密封件412上,导致窗体414和密封件412之间的泄漏。利用可调整的紧固装置420,每个紧固件504可以在相应位置处以对应的高度和距离单独地和各别地调整,以实现密封件412和窗体414之间的最佳密封效果,从而减少或消除泄漏。
图6为根据一些实施例中集成电路(IC)制造系统600的俯视示意图。集成电路制造系统600包括一个或多个图1的等离子体腔100,整合于一集束型射设备(cluster tool)。等离子体腔100包括一个或多个图4的气体输送源114。每一个气体输送源114包括一可调整的紧固装置420,用以将气体注入盖416紧固于窗体414上,以为窗体414和密封件412之间的界面提供增强的密封效果。
集成电路制造系统600包括一装载端口模块642,包括多个前开式晶片传送盒(Front Opening Unified Pods,FOUPs)634。基板可经由前开式晶片传送盒装载到集成电路制造系统600和从集成电路制造系统600卸载。集成电路制造系统600可包括于一大气压力传送模块(atmospheric pressure transfer module)640内的一前端机械装置(front-end robot)632,用以固持、操作(manipulating)、及传送基板。举例而言,前端机械装置632可从前开式晶片传送盒634传送基板到基板对准器(substrate aligner)644和装载锁室(load lock chamber)630中的一个。
集成电路制造系统600可还包括一传送模块638,用以于等离子体腔100及装载锁室630之间传送基板。于一些实施例中,传送模块638还包括一个或多个机械装置(robot)622,用以传送基板至一或多个基底末端执行器(substrate end effector)624。
图7为根据一些实施例中由图2的终点检测器230所检测的信号的图表(graph)700。终点检测器230可以在基板的制造工艺时间内监视特定波长或波长范围的信号的强度。
线(line)702表示当等离子体腔100处于适当操作状态时信号的强度,而气体输送源114因利用可调整的紧固装置420而没有泄漏。于一例子中,超过界线值(threshold)730的强度表示制造工艺的结束,例如蚀刻制造工艺的结束。在点702E,终点检测器230于时间702T检测到制造工艺的结束。
线714表示当等离子体腔100于具有可调整的紧固装置420的气体输送源114泄漏下操作时的信号强度。在点714E,终点检测器230错误地检测到制造工艺的结束于时间714T时交错界线值730。与时间702T处的实际结束相比,在时间714T处在泄漏下操作的信号的强度表明制造工艺结束得太早。具有电气腔100的集成电路制造系统600于气体输送源114中具有泄漏,可能具有非期望的过程漂移或终点(endpoint)的错误检测。
图8为根据一些实施例中处理一个或多个基板108的方法(半导体制造方法)800的流程图。方法800可于图6的集成电路制造系统600中实现,并且参考图1-图7进行描述。
方法800包括操作802用以调整气体输送源114的可调整的紧固装置420。可调整的紧固装置420包括多组的紧固件504。每一个紧固件504还包括一螺栓506以及一螺帽510。操作802包括将气体注入盖416设置在基板422上,使得紧固件504将气体注入盖416耦接到基板422。操作802包括调整气体注入盖416的高度或控制气体注入盖416的底面418的深度。可通过调整螺帽510来调整气体注入盖416的高度,以调整于窗体414和密封件412之间对于气体注入器216的密封力。调节气体注入盖416的高度减少或消除通过密封件412和窗体414之间的界面的泄漏。
可以重复操作802,以于一集成电路制造系统600上的一个等离子体腔100、于一个集成电路制造系统600上的多个等离子体腔100、及/或多个电子制造系统600上的多个等离子体腔100调整可调整的紧固装置420。可调整的紧固装置420可以调整密封件412和窗体的密封力,以施加于多个等离子体腔100上使用的多种类型的气体注入器216。
于操作812中,基板108加载于集成电路制造系统600。举例而言,一个或多个半导体晶片经由装载端口模块642加载于集成电路制造系统600,例如在前开式晶片传送盒634中的一个或多个批次(batches)中。基板108经由装载端口模块642加载至集成电路制造系统600,以到达一个或多个装载锁室630。
于操作814中,机械装置622将基板108从装载锁室630传送到等离子体腔100中的一个。于操作816中,于等离子体腔100中对基板108实施等离子体制造工艺。举例而言,制造工艺气体从气体供应源118递送到等离子体腔100的气体注入器216。通过向线圈124提供RF功率,以从密闭空间104中的制造工艺气体维持等离子体。举例而言,于蚀刻制造工艺中,制造工艺气体可包括,但不限于,四氟甲烷(tetrafluoromethane,CF4)、其他氟化碳(othercarbon fluorides)、氯气(chlorine gas,Cl2)、三氟化氮(nitrogen trifluoride,NF3)、六氟化硫(sulfur hexafluoride,SF6)、溴化氢(hydrogen bromide,HBr)、氯化氢(hydrogenchloride,HCl)、氯(chlorine,Cl2)、和其他合适的气体。举例而言,于硅材料的沉积制造工艺中,制造工艺气体包括硅烷(silane,SiH4)、二氯硅烷(dichlorosilane,SiH2Cl2)、或其他硅前体(silicon precursors)。举例而言,于一处理制造工艺中,制造工艺气体可包括氢气(hydrogen,H2)、氮气(nitrogen,N2)、氧气(oxygen,O2)、或其他气体。制造工艺气体亦可包括载体气体(carrier gases),例如氮气(nitrogen,N2)、氩(argon,Ar)、氦(helium,He)、或其结合。
于操作818中,机械装置622将基板108从等离子体腔100传送到装载锁室630。上述操作类似于操作814,但是按顺序颠倒。
于操作820中,基板108经由装载端口模块642从集成电路制造系统600卸载。方法800可以包括在上述操作之前,期间或之后的其他操作。举例而言,在操作820之后,基板108可以传送到其他制造工具用于其他制造工艺步骤。
集成电路制造系统600以及方法800具有其他实施例或替代方案。集成电路制造系统600和其利用的方法可用于进行一个等离子体制造工艺操作或多个等离子体制造工艺操作的组合,例如蚀刻、沉积和处理(treatment)。
一些实施例提供了一种集成电路制造系统和利用其系统的方法。通过利用已公开的集成电路制造系统,等离子体制造工艺(如等离子体蚀刻)的质量和效率都得到了提升。集成电路制造系统包括一个或多个等离子体腔100,每一个等离子体腔100还包括一个或多个具有可调整的紧固装置420的气体输送源114。可调整的紧固装置420包括多组的紧固件504,每一个紧固件504还包括一螺栓506以及一螺帽510。每个紧固件504可单独调整来配合任何类型的气体注入器216并调整紧固力(fastening force)以将气体注入盖416固定到气体注入器216,同时密封件412和窗体414之间的密封效果增强,减少或消除泄漏。
一些实施例提供了可调整的紧固装置420,以减少或消除由于不同类型的气体注入器216引起的气体注入器216的泄漏。气体注入器的泄漏可能导致终点检测器230对制造工艺终点的错误检测及/或可能影响基板制造工艺的均匀度。一些实施例提供可调整的紧固装置420以使用并配合任何气体注入器216以减少或消除气体注入器216的泄漏。通过避免气体注入器216的泄漏,可以减少等离子体腔100的维护和成本,并且可以增加等离子体腔100的运行时间。
本公开的一些实施例提供优于现有技术的优点,但应理解,其他实施例可提供不同的优点。于本公开中不需要讨论所有的优点,并且并非所有实施例都需要特定的优点。多种优点可呈现于一些实施例中。通过利用本公开的集成电路制造系统和方法,改进了集成电路制造,例如等离子体蚀刻,具有增强的密封和制造效率。于其他优点中可包括较少的制造成本和较高的制造产量。
本公开的一实施例提供了一种设备(等离子体设备)。等离子体设备包括多个室墙、一室窗、一等离子体天线、一基板承载台、一气体输送源及一紧固装置。多个室墙以及一室窗定义了一密闭空间。室窗设置于该等离子体天线以及该基板承载台之间。气体输送源机械耦合于该室窗。气体输送源包括一气体注入器。该气体注入器具有一通道、在该通道的一第一端的一窗体以及在该通道的一第二端的一喷嘴。气体输送源的喷嘴设置于该密闭空间内。紧固装置机械耦合于该气体输送源。该紧固装置为可调整的,用以调整施加于该气体注入器的一密封力。
于一些实施例中,该紧固装置还包括一气体注入盖,具有倾斜的一底面,其中该紧固装置施加该密封力至该底面以使该窗体抵接于该气体注入器。
于一些实施例中,该底面相对于该气体注入盖的一纵轴以约80度至约89度的范围之间的一角度倾斜。
于一些实施例中,该室窗允许一射频能量由该等离子体天线传输至该密闭空间,该等离子体天线包括一或多个线圈,且该气体输送源设置于该一个或多个线圈的中心。
另一实施例为一半导体制造方法。上述方法包括传送一基板进入一等离子体腔内。当该基板于该等离子体腔内时,使一气体流入该等离子体腔内。该气体流经机械耦合于该等离子体腔的一气体输送源。该气体输送源包括一气体注入器以及一紧固装置。该紧固装置机械耦合于该气体输送源且对该气体注入器施加一密封力。该气体经由该气体喷射气流入该等离子体腔内。紧固装置为可调整的,用以调整该密封力。利用该等离子体腔内的该气体维持一等离子体。
于一些实施例中,半导体制造方法还包括调整该紧固装置以调整一气体注入盖的一高度,其中该气体注入盖接触位于该气体注入盖与该气体注入器之间的一窗体。
于一些实施例中,上述调整该紧固装置的步骤包括调整多个紧固件。
于一些实施例中,每一个该等紧固件包括一螺栓以及一螺帽。该气体注入盖具有倾斜的一底面。该气体注入器的该底面以约1度至约10度的范围之间的一角度倾斜。该窗体为倾斜的。
又一实施例为一气体输送源。气体输送源包括一气体注入器,包括一柱状本体。一夹具,用以容纳该气体注入器且固定该气体注入器至一室窗。一气体注入盖,设置于该柱状本体的一端。该气体注入器具有位于该气体注入盖以及该柱状本体的一窗体。一密封件,位于该窗体以及该柱状本体之间。一可调整的紧固装置,耦接于该气体注入盖。该可调整的紧固装置为可调整的,用以调整该气体注入盖对于该窗体的一密封力,以压缩该密封件。
于一些实施例中,该气体注入盖具有倾斜并抵接于该窗体的一底面,且该底面以约1度至约10度的范围之间的一角度倾斜。
于一些实施例中,该气体注入器还包括一通道以及一气体注入头。该气体注入头包括一或多个喷嘴。
于一些实施例中,该气体注入器还包括一入口,可操作地将一气体输送源连接到该通道。该夹具包括一入口耦接于该气体注入器的该入口。该窗体允许从该通道接收一电磁信号。
以上概述了许多实施例的特征,使得本领域技术人员可以更好地理解本公开的各方面。本领域技术人员应当理解,他们可以容易地使用本公开作为设计或修改用于实现相同目的的其他过程和结构的基础和/或实现本公开实施例的相同优点。本领域技术人员还应该认识到类似均等的构造并不脱离本公开的精神和范围,并可对本公开作出不脱离本公开的精神和范围的各种变化、替代和修改。

Claims (10)

1.一种等离子体设备,包括:
多个室墙以及一室窗,其定义了一密闭空间;
一等离子体天线;
一基板承载台,且该室窗设置于该等离子体天线以及该基板承载台之间;
一气体输送源,机械耦合于该室窗,且该气体输送源包括一气体注入器,其中该气体注入器具有一通道、在该通道的一第一端的一窗体以及在该通道的一第二端的一喷嘴,且该喷嘴设置于该密闭空间内;以及
一紧固装置,机械耦合于该气体输送源,且该紧固装置为可调整的,用以调整施加于该气体注入器的一密封力。
2.如权利要求1所述的等离子体设备,其中该紧固装置还包括一气体注入盖,具有倾斜的一底面,其中该紧固装置施加该密封力至该底面以使该窗体抵接于该气体注入器。
3.如权利要求2所述的等离子体设备,其中该底面相对于该气体注入盖的一纵轴以80度至89度的范围之间的一角度倾斜。
4.如权利要求1所述的等离子体设备,其中该室窗允许一射频能量由该等离子体天线传输至该密闭空间。
5.一种半导体制造方法,包括:
传送一基板进入一等离子体腔内;
当该基板于该等离子体腔内时,使一气体流入该等离子体腔内,该气体流经机械耦合于该等离子体腔的一气体输送源,且该气体输送源包括一气体注入器以及一紧固装置,该紧固装置机械耦合于该气体输送源且对该气体注入器施加一密封力,其中该气体经由该气体喷射气流入该等离子体腔内,且该紧固装置为可调整的,用以调整该密封力;以及
利用该等离子体腔内的该气体维持一等离子体。
6.如权利要求5所述的半导体制造方法,还包括调整该紧固装置以调整一气体注入盖的一高度,其中该气体注入盖接触位于该气体注入盖与该气体注入器之间的一窗体。
7.如权利要求6所述的半导体制造方法,其中调整该紧固装置的步骤包括调整多个紧固件。
8.一种气体输送源,包括:
一气体注入器,包括一柱状本体;
一夹具,用以容纳该气体注入器且固定该气体注入器至一室窗;
一气体注入盖,设置于该柱状本体的一端,且该气体注入器具有位于该气体注入盖以及该柱状本体的一窗体;
一密封件,位于该窗体以及该柱状本体之间;以及
一可调整的紧固装置,耦接于该气体注入盖,且该可调整的紧固装置为可调整的,用以调整该气体注入盖对于该窗体的一密封力,以压缩该密封件。
9.如权利要求8所述的气体输送源,其中该气体注入盖具有倾斜并抵接于该窗体的一底面。
10.如权利要求8所述的气体输送源,其中该气体注入器还包括:
一通道;以及
一气体注入头,包括一个或多个喷嘴。
CN201910389298.2A 2018-06-13 2019-05-10 等离子体设备、半导体制造方法以及气体输送源 Active CN110600356B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/007,780 2018-06-13
US16/007,780 US10840066B2 (en) 2018-06-13 2018-06-13 Adjustable fastening device for plasma gas injectors

Publications (2)

Publication Number Publication Date
CN110600356A true CN110600356A (zh) 2019-12-20
CN110600356B CN110600356B (zh) 2022-02-18

Family

ID=68840288

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910389298.2A Active CN110600356B (zh) 2018-06-13 2019-05-10 等离子体设备、半导体制造方法以及气体输送源

Country Status (3)

Country Link
US (4) US10840066B2 (zh)
CN (1) CN110600356B (zh)
TW (1) TWI740140B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US11077410B2 (en) * 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US10840066B2 (en) * 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
KR20220021206A (ko) * 2020-08-13 2022-02-22 삼성전자주식회사 플라즈마 처리 장치
CN114628211A (zh) * 2020-12-10 2022-06-14 中国科学院微电子研究所 一种反应腔室及感应耦合等离子体刻蚀设备
US20220277933A1 (en) * 2021-02-26 2022-09-01 Taiwan Semiconductor Manufacturing Company Limited Wafer treatment system and method of treating wafer

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6493375B1 (en) * 2000-02-22 2002-12-10 Tuilaser Ag Adjustable mounting unit for an optical element of a gas laser
CN101414537A (zh) * 2001-10-15 2009-04-22 兰姆研究公司 可调谐的多区气体喷射系统
CN101529997A (zh) * 2006-10-06 2009-09-09 朗姆研究公司 利用具有改良的光学访问的双区域气体注射器来访问工艺室的方法和设备
US20110253044A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Showerhead assembly with metrology port purge
CN102763199A (zh) * 2010-02-12 2012-10-31 应用材料公司 处理腔室之气流改良
CN104170067A (zh) * 2012-04-26 2014-11-26 应用材料公司 用于等离子体干法蚀刻设备的成比例且均匀的受控气流传递
US20170009338A1 (en) * 2014-03-11 2017-01-12 Tokyo Electron Limited Plasma processing apparatus and film formation method
CN106415123A (zh) * 2014-05-09 2017-02-15 肖特股份有限公司 具有模制于其上的光学元件的光导
US20170183777A1 (en) * 2015-12-24 2017-06-29 Tokyo Electron Limited Film Formation Apparatus
CN107221487A (zh) * 2013-03-15 2017-09-29 应用材料公司 具有高度对称四重式气体注入的等离子体反应器

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5178500A (en) * 1991-04-24 1993-01-12 Mag Aerospace Industries, Inc. Fastener with locking retainer ring
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US7141138B2 (en) * 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
KR100779814B1 (ko) * 2003-03-06 2007-11-28 세키스이가가쿠 고교가부시키가이샤 플라즈마 처리 장치 및 방법
WO2004088729A1 (en) 2003-03-26 2004-10-14 Tokyo Electron Limited Chemical processing system and method
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
CN102084469B (zh) * 2008-07-09 2013-05-01 东京毅力科创株式会社 等离子体处理装置
US20100291429A1 (en) * 2009-05-12 2010-11-18 Farmer Joseph C Electrochemical Nanofluid or Particle Suspension Energy Conversion and Storage Device
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
KR101969611B1 (ko) 2011-10-07 2019-04-16 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US9373551B2 (en) * 2013-03-12 2016-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Moveable and adjustable gas injectors for an etching chamber
US9472379B2 (en) * 2014-06-20 2016-10-18 Applied Materials, Inc. Method of multiple zone symmetric gas injection for inductively coupled plasma
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US10490462B2 (en) * 2016-10-13 2019-11-26 Kla Tencor Corporation Metrology systems and methods for process control
WO2018148189A1 (en) 2017-02-10 2018-08-16 Applied Materials, Inc. Method and apparatus for low temperature selective epitaxy in a deep trench
US10840066B2 (en) * 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6493375B1 (en) * 2000-02-22 2002-12-10 Tuilaser Ag Adjustable mounting unit for an optical element of a gas laser
CN101414537A (zh) * 2001-10-15 2009-04-22 兰姆研究公司 可调谐的多区气体喷射系统
CN101529997A (zh) * 2006-10-06 2009-09-09 朗姆研究公司 利用具有改良的光学访问的双区域气体注射器来访问工艺室的方法和设备
CN102763199A (zh) * 2010-02-12 2012-10-31 应用材料公司 处理腔室之气流改良
US20110253044A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Showerhead assembly with metrology port purge
CN104170067A (zh) * 2012-04-26 2014-11-26 应用材料公司 用于等离子体干法蚀刻设备的成比例且均匀的受控气流传递
CN107221487A (zh) * 2013-03-15 2017-09-29 应用材料公司 具有高度对称四重式气体注入的等离子体反应器
US20170009338A1 (en) * 2014-03-11 2017-01-12 Tokyo Electron Limited Plasma processing apparatus and film formation method
CN106415123A (zh) * 2014-05-09 2017-02-15 肖特股份有限公司 具有模制于其上的光学元件的光导
US20170183777A1 (en) * 2015-12-24 2017-06-29 Tokyo Electron Limited Film Formation Apparatus

Also Published As

Publication number Publication date
US11361943B2 (en) 2022-06-14
US20220301822A1 (en) 2022-09-22
US11355318B2 (en) 2022-06-07
US10840066B2 (en) 2020-11-17
TWI740140B (zh) 2021-09-21
US20210043423A1 (en) 2021-02-11
US20210035777A1 (en) 2021-02-04
US11854769B2 (en) 2023-12-26
CN110600356B (zh) 2022-02-18
TW202001967A (zh) 2020-01-01
US20190385816A1 (en) 2019-12-19

Similar Documents

Publication Publication Date Title
CN110600356B (zh) 等离子体设备、半导体制造方法以及气体输送源
CN109994363B (zh) 频率调制射频电源以控制等离子体不稳定性的系统和方法
KR102556016B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
US8721908B2 (en) Bevel etcher with vacuum chuck
KR102454532B1 (ko) 전기적 아크 및 발광을 방지하고 프로세스 균일도를 개선하기 위한 피처들을 갖는 정전 척
CN114188207B (zh) 基板处理设备
US20040025791A1 (en) Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US6077388A (en) System and method for plasma etch on a spherical shaped device
US20010054484A1 (en) Plasma processor, cluster tool, and method of controlling plasma
US20210241997A1 (en) Substrate processing apparatus and substrate processing method
KR20020081240A (ko) 확대된 프로세스 창을 갖는 유전체 에치 챔버
US20030217693A1 (en) Substrate support assembly having an edge protector
US11043388B2 (en) Integrated circuit fabrication system with adjustable gas injector and method utilizing the same
US6221203B1 (en) Apparatus and method for controlling temperature of a chamber
US20230420222A1 (en) Gas distribution ring for process chamber
US20230133798A1 (en) Cooled edge ring with integrated seals
US20230253193A1 (en) Substrate support with uniform temperature across a substrate
US20240071783A1 (en) Apparatus for treating substrate
US20240021418A1 (en) Apparatus for treating substrate
CN114783853A (zh) 电浆处理设备及方法
KR20070055874A (ko) 플라즈마 처리 장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant