KR20020081240A - 확대된 프로세스 창을 갖는 유전체 에치 챔버 - Google Patents

확대된 프로세스 창을 갖는 유전체 에치 챔버 Download PDF

Info

Publication number
KR20020081240A
KR20020081240A KR1020027008559A KR20027008559A KR20020081240A KR 20020081240 A KR20020081240 A KR 20020081240A KR 1020027008559 A KR1020027008559 A KR 1020027008559A KR 20027008559 A KR20027008559 A KR 20027008559A KR 20020081240 A KR20020081240 A KR 20020081240A
Authority
KR
South Korea
Prior art keywords
gas
chamber
liner
etch
plasma
Prior art date
Application number
KR1020027008559A
Other languages
English (en)
Other versions
KR100887014B1 (ko
Inventor
제임스 디. 카두치
하미드 누어바크쉬
에반스 와이. 리
브라이언 와이. 푸
홍킹 샨
클라에스 브조르크만
시아마크 살리미안
폴 이. 루셔
마이클 디. 웰치
징바오 류
타케히코 고마츠
케니 엘. 돈
멜로디 창
쥬슈 왕
윤상 김
뤼핑 왕
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/704,867 external-priority patent/US6403491B1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20020081240A publication Critical patent/KR20020081240A/ko
Application granted granted Critical
Publication of KR100887014B1 publication Critical patent/KR100887014B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

확대된 프로세싱 창을 구비한, 열적으로 제어되는 라이너, 열적으로 분화된 기체 입구들, 높은 배기 성능, 자기적인 제한 및 표면 텍스쳐들을 개선하는 접착을 갖는 열적으로 제어되는 플라즈마 에치 챔버가 제공된다. 구성된 상기 챔버는 상기 표면에의 부산물 접착을 개선하기 위한 하나 또는 여러 표면 텍스쳐링 처리들에 따른 조건을 갖는 내부 벽 표면들을 가질 수 있다. 상기 확대된 흐름 성능은 증가된 프로세스 창과 선택도에 대해 짧은 거주 시간을 가능하게 한다. 본 발명에 따라 구성된 챔버 라이너는 적어도 부분적으로 그 안에 형성된 하나 또는 그 이상의 유체 통로를 통하여 유체를 흐르게 하고, 상기 챔버 라이너는 제 1 라이너, 제 2 라이너 또는 제 1 및 제 2 라이너 모두를 포함할 수 있다. 다수의 기체 노즐들 또는 작은 열 질량을 갖고 상기 온도 제어되는 라이너 또는 라이너들로부터 열적으로 분화된 미량 분배 판들이 상기 프로세싱 챔버 내로 프로세스 기체들을 도입하기 위하여 제공된다. 플라즈마와 부산물들이 상기 높은 볼륨 펌핑 채널 또는 배출 시스템 구성요소들에 들어가는 것을 막는 플라즈마 제한 시스템이 제공된다. 상기 기판 지지부는 미리 결정된 고유 저항을 갖는 재료로 형성된 정전 척, 이중 구역 후면 냉각, 및 강인한 전극을 포함한다. 상기 확대된 프로세스 창은 개선된 기체 종류 거주 시간 제어를 갖고, 증가된 RF 전력 레벨들, 및 증가된 자기장 세기를 갖는 높은 흐름 낮은 압력 기체 흐름 작동 체제를 가능하게 한다.

Description

확대된 프로세스 창을 갖는 유전체 에치 챔버 {DIELECTRIC ETCH CHAMBER WITH EXPANDED PROCESS WINDOW}
반도체 프로세싱의 모든 유형들에 직면하는 하나의 도전은 빠르게 수축하는 임계 치수들의 결과를 야기하는 피쳐 크기의 감소를 향한 산업의 폭넓은 진보이다. 현재의 설계 방식은 약 0.18 마이크론(micron)보다 작은 피쳐 크기들을 갖고 약 0.1 마이크론보다 작은 피쳐 크기들은 개발되고 있다.
반도체 프로세싱에 직면하는 또다른 도전은 보다 작은 풋프린트(footprint) 소자들을 지향하는 경향이다. 더 작은 소자 풋프린트를 달성하기 위한 하나의 접근법은 상기 소자 구조를 수직적으로 세우고, 어떤 소자들에서 상기 기판 자체에서 상기 소자의 일부를 제조하는 것이다.
이러한 도전들은 높은 애스펙트 비율 구조들과 상기 0.1 미만 마이크론 범위에 접근하는 임계 치수들을 갖는 구조들을 제조할 수 있는 프로세싱 응용들을 필요로 한다.
이러한 도전들의 관점에서, 전자 소자를 제조하기 위해 사용되는 무수한 프로세싱 반복 동안 미립자 오염을 최소화하는 것은 매우 중요하다. 상기 프로세싱 환경에서 존재하고/존재하거나 생성될 수 있는 입자들을 감소시키고 취급할 때 도움이 되도록 챔버 구성요소들이 선택되고 프로세스들은 환원 대기(reduced atmosphere)에서 수행된다. 웨이퍼 프로세싱 동안 상기 프로세스 챔버 내에서 형성되는 필름들의 취급은 매우 중요하다.
상기 프로세스 챔버 내에 침전된 필름들은 상기 프로세스 챔버 내에서 발견된 총 미립자 농도에 주요한 제공자이다. 필름들은 대개는 노출된 챔버와 다양한 반도체 프로세싱 응용 동안 프로세스 키트 구성요소들 상에 형성된다.
예를 들면, 유전체 에치 프로세스 동안, 상기 에칭제(etchant)에 노출된 상기 층으로부터 제거된 어떤 물질은 상기 프로세싱 챔버로부터 배출된다. 그러나, 어떤 에치 반응 부산물들은 노출된 챔버 표면들과 상기 에치된 구조의 표면들 상에 침전물들을 형성한다.
챔버 표면들 상의 상기 침전물들은 상기 프로세스 주기가 반복되고 부가적인 웨이퍼들이 프로세스됨에 따라 그 두께가 증가한다. 상기 침전물 두께가 증가할수록, 상기 침전물에 연관된 내부 응력들도 역시 증가한다. 부가적인 응력들은 상기 침전물과 상기 챔버 표면들 사이의 열 팽창 속도의 차이로 인해 이러한 침전물들 내에서 생성된다. 종래의 에치 챔버는 적층된 침전물들과 챔버 구성요소들 사이에열적으로 유도된 응력들을 감소시키기 위한 적절한 열 취급 기술이 부족하다. 결국, 상기 응력들은 상기 침전물들이 파쇄되게 하고, 결과적으로 상기 챔버 환경 내로 입자들을 방출한다. 이러한 필름 입자들은 상기 웨이퍼 표면에 충돌할 수 있고, 대개는 상기 웨이퍼 상의 회로 구조에 결함을 생성한다.
상기 에치 구조 상의 침전물 형성의 제어는 또한 중요한 프로세스 고려사항이다. 예를 들면, 높은 애스펙트 비율 유전체 에치 프로세스들에서, 상기 에치된 피쳐의 깊이가 증가할수록, 얇은 측벽 층 또는 패시베이션(passivation) 층의 형성이 측벽 프로파일 제어를 유지하는 것을 돕도록 요구된다. 그러나, 피쳐 크기들이 감소할수록, 측벽 프로파일 제어는 종래의 플라즈마 에칭 챔버들을 사용하여서는 점점 더 어려워지고 더욱 불가능해진다. 임계 치수들을 감소시키는 것은 종래의 에치 챔버들에 의해 제공되지 않는 에치 프로세스 화학 변수들의 확장된 범위의 더욱 미세한 제어를 요구한다.
그러므로, 진보된 피쳐 유전체 에치 프로세스들을 가능케 하는 개선된 프로세스 변수 제어를 확장된 프로세싱 성능들에 제공하는 성능을 갖는 유전체 에치 프로세싱 장치의 필요성이 있다.
본 발명은 일반적으로 반도체 웨이퍼 프로세싱 장치에 관한 발명이다. 보다 명확하게는, 본 발명은 개선된 열적 성능과 부산물 취급 성능, 개선된 기체 종류 거주 시간(gaseous species residence time)의 제어, 그리고 높은 흐름 속도와 낮은 작동 압력을 포함하는 확대된 프로세스 창을 갖는 유전체 에치 프로세싱 챔버에 관한 발명이다.
본 발명의 가르침은 첨부된 도면과 관련된 하기 상세한 설명을 참작하여 쉽게 이해될 수 있다.
도 1은 평행 판 반도체 웨이퍼 프로세싱 시스템의 개략 단면도이다.
도 2는 본 발명에 따른 상부 라이너와 하부 라이너의 실시예를 도시하는 반도체 웨이퍼 프로세싱 시스템의 개략 단면도이다.
도 3A는 도 2의 제 1 라이너를 갖는 리드 어셈블리(lid assembly)의 평면도이다.
도 3B는 또다른 리드 어셈블리의 평면도이다.
도 4는 도 3A 또는 도 3B 중 하나의 상기 리드 어셈블리의 부분적인 파쇄 입면도(exploded elevation)이다.
도 5는 도 2의 제 2 라이너의 평면도이다.
도 6은 단면 선 5-5를 따라 취해진 도 5의 제 2 라이너의 단면도이다.
도 7A 내지 도 7F는 기체 입구의 다양한 실시예이다.
도 8은 도 2에 대응하는 상기 천장 내부 표면의 평면도이다.
도 9는 기체 분무의 바람직한 와동 패턴을 제공하는 각을 이루는 기체 입구들을 갖는 본 발명의 개별 미량 기체(mini-gas) 분배의 평면도이다.
도 10은 도 9에 대응하는 단면 절단도이다.
도 11은 도 4에 대응하는 대체적인 분무 패턴을 도시한다.
도 12는 도 2에 대응하는 확장된 절단 단면도이다.
도 13 및 도 14는 각각 정사각형의 돌출부들로 구성된 조직이 형성된 판의 상면도와 단면도이다.
도 15는 상기 정사각형 함몰부들의 측면들이 비스듬한 각도로 형성된 도 14의 실시예의 대안의 단면도이다.
도 16 및 도 17은 각각 상기 함몰부들이 반구형인 대체적인 실시예의 상면도와 단면도이다.
도 18 및 도 19는 각각 원통형 측면 벽 라이너 내의 일련의 둘레의 홈들로 구성된 조직의 투시도와 단면도이다.
도 20은 둘레의 홈들과 세로의 홈들 모두를 갖는 원통형 라이너의 투시도이다.
도 21은 본 발명에 따른 환형 돌출부 내에 내장된 환형, U-형 자석 시스템을 갖는 배출 분기관을 갖는 플라즈마 에칭 챔버의 평면도이다.
도 22는 상기 도 21 챔버의 상기 자석 시스템 및 환형 돌출부들의 상세도이다.
도 23은 방사상으로 외부를 향하는 자극들을 갖는 환형, U-형의 자석 시스템의 투시도이다.
도 24는 도 23의 실시예에 대해 자석 시스템의 자석들과 극 부분들이 상호교환된 자석 시스템의 투시도이다.
도 25는 방사상으로 내부를 향하는 자극들을 갖는 환형, U-형 자석 시스템의 투시도이다.
도 26은 도 25의 실시예에 대해 자석 시스템의 자석들과 극 부분들이 상호교환된 자석 시스템의 투시도이다.
도 27은 본 발명에 따른 두 개의 환형 돌출부들 내에 각각 내장된 두 개의 환형 자석들을 갖는 배출 분기관의 상세 평면도이다.
도 28은 용량적으로 결합되고 자기적으로 강화된 반응성 이온 에치(MERIE) 프로세싱 시스템 내의 본 발명의 대체적인 실시예의 부분적인 개략 단면도이다.
도 29는 평행 판 에치 프로세싱 시스템 내의 본 발명의 대체적인 실시예의 부분적인 개략 단면도이다.
도 30은 회전 자기장에 의해 생성된 용량적으로 결합되고 자기적으로 강화된반응성 이온 에치(MERIE) 프로세싱 시스템 내의 본 발명의 대체적인 실시예의 부분적인 개략 단면도이다.
도 31은 RF 구동된 유도 부재(RF driven inductive member)를 갖는 에치 프로세싱 시스템 내의 본 발명의 대체적인 실시예의 부분적인 개략 단면도이다.
도 32는 샤워헤드 기체 분배 시스템과 유도 코일을 갖는 챔버 라이너를 갖는 또다른 반도체 웨이퍼 프로세싱 시스템의 개략 단면도이다.
도 33A 및 도 33B는 대표적인 자가 정렬된 접점 피쳐(self-aligned contact feature)의 단면도들이다.
도 34A 및 도 34B는 대표적인 높은 애스펙트 비율 접점 피쳐의 단면도들이다.
도 35A 및 도 35B는 피쳐를 통한 대표 단면도이다.
도 36A 및 도 36B는 대표적인 마스크 개방 피쳐의 단면도들이다.
도 37A 및 도 37B는 대표적인 스페이서 피쳐의 단면도들이다.
도 38A 및 도 38B는 대표적인 이중 다마스크 피쳐(dual damascene feature)의 단면도들이다.
이해를 돕기 위해, 상기 도면들에 공통되는 동일한 요소들을 지시하기 위해 가능한 한 동일한 참조 번호들이 사용되었다.
상기 선행 기술 에치 챔버들과 진보된 유전체 에치 프로세스들에 의해 제기된 상기 도전들과 연관된 단점들은 확대된 프로세스 창과 개선된 부산물 취급 성능을 갖는 열적으로 제어되는 플라즈마 에치 챔버의 본 발명의 실시예에 의해 극복된다. 상기 독창적인 프로세스 챔버는 일반적으로 용량적으로 결합된 플라즈마 소스챔버이고, 더욱 명확하게는 RIE 모드와 MERIE 모드에서 작동하는 용량적으로 결합된 챔버이다.
본 발명에 따른 장치의 실시예는 대기보다 낮은 압력에서 플라즈마 에치 프로세싱 기판들을 위한 열적으로 제어되는 반응기를 포함하고, 상기 반응기는 기체 입구, 기체 출구 및 내부 표면을 갖는 진공 챔버; 내부 유체 통로를 갖고, 상기 내부 표면에 인접하여 배치된 열적으로 제어되는 라이너; 및 상기 진공 챔버 내에 배치된 열적으로 제어되는 기판 지지부를 포함하며, 상기 기체 입구의 온도가 상기 열적으로 제어되는 라이너의 온도와 다르다.
본 발명에 따른 장치의 또다른 실시예는 대기보다 낮은 압력에서 플라즈마 에치 프로세싱 기판들을 위한 열적으로 제어되는 반응기를 포함하고, 상기 반응기는 기체 입구, 기체 출구 및 내부 표면을 갖는 진공 챔버; 상기 내부에 인접하여 배치된 라이너; 상기 진공 챔버 내에 배치된 열적으로 제어되는 기판 지지부; 적어도 분당 1,600 리터의 용량을 갖는 진공 펌프 시스템을 포함한다.
본 발명에 따른 장치의 또다른 실시예는 대기보다 낮은 압력에서 플라즈마 에치 프로세싱 기판들을 위한 열적으로 제어되는 반응기로서, 상기 반응기는 상기 프로세싱 볼륨 내에 배치된 리드, 벽, 기체 입구, 기체 출구를 포함하고, 상기 벽은 내부 표면을 갖는 진공 챔버; 내부 유체 통로를 갖는, 상기 내부 표면에 인접하여 배치된 열적으로 제어되는 라이너; 그리고 많은 온도 제어 구역을 갖는, 상기 프로세싱 볼륨 내에 배치된 열적으로 제어되는 기판 지지부를 포함한다.
본 발명에 따른 장치의 또다른 실시예는 열적으로 제어되는 플라즈마 프로세싱 챔버로서, 상기 챔버는 챔버 내부를 포함하는 진공 챔버; 상기 챔버 내부 안으로 기체를 제공하기 위한 기체 입구; 상기 챔버 내부 내의 상기 기체의 일부를 플라즈마로 여기시키기 위한, 상기 진공 챔버에 결합된 플라즈마 여기 전력 소스; 상기 챔버 내부를 배출 펌프에 결합시키고 상기 챔버 내부와 상기 배출 펌프 사이에 기체 흐름 경로를 제공하는 배출 채널; 상기 챔버 내부 내에 배치된 기판 지지부; 완전하게 형성된 유체 채널을 갖는 상기 열적으로 제어되는 라이너; 상기 챔버 내부와 상기 배출 펌프 사이의 상기 기체 흐름 내에 난기류를 야기하기 위해 상기 배출 채널 내에 위치된 변류기; 그리고 상기 변류기에 인접하여 배치된 자석 시스템을 포함한다.
본 발명에 따른 또다른 실시예는 열적으로 제어되는 플라즈마 프로세싱 챔버로서, 상기 챔버는 챔버 내부를 포함하는 진공 챔버; 상기 챔버 내부 내로 기체를 제공하기 위한 기체 입구; 상기 챔버 내에서 상기 기체의 일부를 플라즈마로 여기하기 위한 상기 진공 챔버에 결합된 플라즈마 여기 전력 소스; 상기 챔버 내부를 배출 펌프에 결합하고 상기 챔버 내부와 상기 배출 펌프 사이에 기체 흐름 경로를 제공하는 배출 채널로서, 상기 배출 채널은 상기 챔버 내부에 결합된 입구 구멍(aperture); 상기 진공 펌프와 결합된 출구 구멍; 상기 입구 구멍과 상기 출구 구멍 사이에 상기 상기 배출 채널로 연장하는 돌출부를 포함하는 벽; 상기 챔버 내부에 배치된 기판 지지부; 상기 챔버 내부에 배치된 열적으로 제어되는 라이너로서, 전체적으로 형성된 유체 채널을 갖는 열적으로 제어되는 라이너; 그리고 상기 챔버 내부와 상기 배출 펌프 사이의 상기 기체 흐름 내에 난기류를 생성하기 위해상기 배출 채널 내에 위치된 변류기를 포함하는 배출 채널; 그리고 상기 변류기에 인접하여 배치된 자석 시스템을 포함한다.
본 발명에 따른 에치 방법의 실시예는 열적으로 제어되는 플라즈마 에치 챔버 내에 배치된 기판 상의 산화물 상에 피쳐들을 플라즈마 에칭하는 방법으로서, 상기 방법은 열적으로 제어되는 플라즈마 에치 챔버의 프로세싱 영역 내에 기판을 배치하는 단계; 상기 열적으로 제어되는 플라즈마 에치 챔버의 상기 프로세싱 영역에 인접하여 배치된 벽의 온도를 제어하는 단계; 기판 지지부의 온도를 제어하는 단계; 상기 프로세싱 영역 내의 압력을 유지하는 단계; 열적으로 분화된 노즐을 통하여 상기 프로세싱 영역 내로 기체 혼합물을 흐르게 하는 단계; 상기 기체 혼합물로부터 플라즈마를 형성하기 위해 상기 프로세싱 영역 내로 RF 에너지를 커플링하는 단계; 그리고 상기 프로세싱 영역과 결합한 펌핑 고리를 횡단하는 자기장을 제공하는 단계를 포함한다.
본 발명에 따른 에치 방법의 실시예는 자기적으로 강화된 열적으로 제어되는 플라즈마 에치 챔버 내에 배치된 기판 상의 산화물 층 상에 피쳐들을 플라즈마 에칭하는 방법으로서, 상기 방법은 열적으로 제어되는 플라즈마 에치 챔버의 프로세싱 영역 내에 기판을 배치하는 단계; 상기 열적으로 제어되는 플라즈마 에치 챔버의 상기 프로세싱 영역에 인접하여 배치된 벽의 온도를 제어하는 단계; 기판 지지부의 온도를 제어하는 단계; 상기 프로세싱 영역 내의 압력을 유지하는 단계; 열적으로 분화된 노즐을 통하여 상기 프로세싱 영역 내로 기체 혼합물을 흐르게 하는 단계; 상기 기체 혼합물로부터 플라즈마를 형성하기 위해 상기 프로세싱 영역 내로RF 에너지를 커플링하는 단계; 그리고 상기 프로세싱 영역 내의, 상기 기판을 횡단하는 자기장을 제공하는 단계를 포함한다.
본 발명에 따른 에치 방법의 또다른 실시예는 열적으로 제어되는 플라즈마 에치 챔버의 프로세싱 영역 내에 기판을 배치시키는 단계; 상기 열적으로 제어되는 플라즈마 에치 챔버의 상기 프로세싱 영역에 인접하여 배치된 벽의 온도를 제어하는 단계; 기판 지지부의 온도를 제어하는 단계; 상기 프로세싱 영역 내의 압력을 유지하는 단계; 상기 프로세싱 영역 내로 기체 혼합물을 흐르게 하는 단계; 상기 기체 혼합물로 플라즈마를 형성하기 위해 상기 프로세싱 영역 내로 RF 에너지를 커플링하는 단계; 상기 프로세싱 영역 내의, 상기 기판을 횡단하는 자기장을 제공하는 단계; 그리고 적어도 분당 1,600 리터의 속도로 상기 챔버를 배기하는 단계를 포함한다.
1. 본보기가 되는 프로세싱 시스템
도 1은 반도체 웨이퍼와 같은 기판(10)을 프로세싱하기 위한 본보기가 되는프로세싱 챔버(100) 내의 본 발명의 프로세싱 장치 개선점들의 실시예를 도시한다. 본 발명은 도 1의 본보기가 되는 프로세싱 시스템(50) 내에서 사용된 바와 같은 실시예들에 대한 참조로 이하 설명될 것이다. 그러나, 상기 독창적인 특징들의 설명은 도 28 내지 도 32에서 이하 설명된 상기 에치 챔버 구성들(2800 내지 3200)과 같은 대체적인 챔버 구성들에 적용된다는 점이 이해되어야 한다. 본 발명의 실시예들은 산화물 및 유전체 에치 프로세스들을 위해 구성된 플라즈마 에치 챔버들 내에서 특히 유리하다.
본 발명의 실시예는 도 1의 프로세싱 시스템(50) 내에서 도시된다. 프로세싱 시스템(50)은 프로세싱 챔버(100), 기체 패널(105), 컴퓨터 제어기(140), 열 교환기 또는 온도 제어되는 유체 소스(121), RF 소스(150), 펌프(109), 배출 시스템(110), 및 기체 냉각 시스템(107)을 포함한다.
상기 프로세싱 챔버(100)는 챔버 볼륨을 함께 한정하는 둘레의 측벽(112), 바닥 벽(108), 리드 어셈블리(102)를 포함한다. 기판 지지부(124)는 상기 기판(10)을 지지하기 위한 바닥 벽(108) 상에 배치된다. 일반적으로, 상기 챔버 볼륨(110)은 상기 챔버의 상부 영역인 프로세스 볼륨(112)와 상기 챔버의 하부 영역인 펌핑 볼륨(114)로 분할된다. 제 1 라이너(134)와 제 2 라이너(118)로 도시된 챔버 라이너(104)는 벽들(106 및 108) 및 리드(102)에 인접하여 배치된다. 아래에 보다 상세히 설명된 실시예에서, 챔버 라이너(104)는 프로세스 볼륨(112) 내의 플라즈마를 제한하기 위한 플라즈마 제한 수단(52)를 포함한다.
상기 프로세싱 챔버(100)에는 슬릿 밸브(139) 또는 공통의 로드락(loadlock)으로부터 기판들을 전달하기 위한 접근 포트 또는 상기 프로세싱 영역(112) 내로의 전달 영역에 제공된다. 도 1에서 점선으로 도시된 로봇(53)은 프로세싱 영역(112)의 내부와 외부에서 기판들을 전달하기 위해 사용된다. 슬릿 밸브 도어(미도시됨)는 상기 슬릿 밸브 개구부(139)의 진공 밀봉을 제공한다. 라이너 도어(70)는 상기 슬릿 밸브 개구부(139)에 인접한 상기 챔버 라이너(104) 내에서 상기 개구부를 덮기 위해 압축 공기 모터(72)를 통하여 도 1에 도시된 바와 같이 수직적으로 작동된다.
기판 지지부(124)는 프로세싱 동안 상기 기판(10)이 제 위치에 있도록 정전력 또는 기계적으로 조이는 힘을 사용할 수 있다. 만약 정전력이 사용된다면, 기판 지지부(124)는 정전 척(electrostatic chuck, 55)을 포함한다. 리프트 핀 어셈블리(lift pin assembly, 155)는 압축 공기 리프트 메커니즘(170)에 의해 상기 정전 척(55) 내의 구멍들을 통해 상승된 리프트 핀들(160a, 160b)을 포함한다. 상기 로봇(53)은 상기 기판(10)을 상기 리프트 핀들(160a, 160b) 상에 위치시키고, 상기 압축 공기 리프트 메커니즘(170)은 정전 척(55)의 수취 표면 상으로 상기 기판을 하강시킨다. 상기 기판(10)이 상기 정전 척(55)의 표면에 위치된 후, 그리고 프로세스를 진행하기 전에, 상기 정전 척(55)에 내장된 전극(105)은 상기 기판을 정전적으로 고정시키기 위해 상기 기판(10)에 대하여 전기적으로 바이어스된다.
상기 프로세스를 완료하자마자, 상기 공기 압축 리프트 메커니즘(170)은 상기 기판을 상기 정전 척(55)의 수취 표면으로부터 들어 올리기 위해 상기 리프트 핀들(160)을 들어 올려서, 상기 기판(10)이 상기 로봇(53)에 의해 제거될 수 있도록 한다. 상기 리프트 핀들(160a, 160b)을 들어 올리기 전에, 상기 기판(10)은 상기 기판(10)을 상기 정전 척(55)에 고정시키는 상기 잉여의 전기 충전을 방산함으로써 전기적으로 분리되거나 척에서 해방된다.
도 1에 도시된 실시예에서, 정전 척(55)은 상기 기판(10)으로부터 상기 전극(105)을 덮어싸고 전기적으로 고립시키는 유전체로 형성된다.바람직하게는, 상기 유전체는 Al2O3, AlN, BN, Si, SiO2, Si3N4, TiO2, ZrO2, 근청석(codierite), 멀라이트(mullite) 또는 상기 물질들의 혼합물 및 화합물과 같은 세라믹 재료이다. 하나의 실시예에서, 상기 정전 척(55)은 상기 기판(10)이 유지되는 온도 범위 내에서의 최적의 수행을 위해 선택된 고유 저항을 갖는 높은 열 전도성 세라믹 재료로 형성된다. 예를 들면, 약 5 x e10Ω-cm 내지 약 5 x e13Ω-cm 사이의 범위 내의 고유 저항이, 예를 들면 약 -20℃ 내지 약 50℃ 사이의 범위 내의 기판 온도가 바람직한 조건에서 사용되었다.
기판 지지부(124) 내에 배치된 전극(105)은 프로세스 볼륨(112)에 RF 에너지를 결합한다. RF 소스(150)로부터의 RF 에너지는 임피던스 정합 회로(151)를 통해 전극(105)에 결합된다. 전극(105)은 예를 들어, 알루미늄, 구리, 몰리브덴, 또는 상기 금속의 혼합인 금속과 같은 전기 전도성 재료로 형성될 수 있다. 일반적으로, 전극(105)은 RF 발전기(150)로부터의 약 5,000 Watts에 달하는 RF 전력의 커플링을 허용할 만큼 강인한(robust) 구조를 갖는다. 상기 강인한 전극(105)을 통해 커플링된 RF 전력의 정확한 양은 에치 챔버(100) 내에서 수행되는 상기 특정 에치프로세스에 의해 변화한다.
받침 판(161)은 정전 척(55)에 인접하여 배치된다. 상기 받침 판(161)은 입구(163)을 통한 열 교환기(121)로부터 온도 제어되는 유체가 공급되는 내부 냉각 채널들을 갖는다. 예를 들면, 에틸렌 글리콜(ethylene glycol), 탈이온화된 물 혼합물과 같은 상기 온도 제어되는 유체는 상기 냉각 판에서 상기 도관을 통하여 순환한다. 바람직하게는 상기 정전 척(55)은 상기 정전 척(55)으로부터 채널들을 냉각시키는 상기 받침 판으로 그리고 상기 받침 판으로부터 상기 온도 제어되는 유체로의 열 전달을 최대화하기 위해 상기 받침 판(161)에 부착된다.
본 발명의 또다른 관점에서, 상기 받침 판(161)은 높은 열 전도성을 갖는 재료로 만들어진 결합 층에 의해 상기 정전 척(55)에 결합되거나 접합된다. 상기 결합 층은 예를 들면, 알루미늄, 구리, 철, 몰리브덴, 티타늄, 텅스텐, 또는 티타늄 디보라이트(titanium diborite)와 같은 상기 금속의 합금과 같은 금속을 포함한다. 상기 결합 층은 상기 정전 척(55)을 상기 냉각 판(161)에 고정하기 위해 볼트들을 사용할 필요가 없게 하고 결과적으로 상기 정전 척(55) 상의 기계적인 응력을 감소시킨다. 또한, 상기 결합 층은 상기 기판(10)에 걸쳐 보다 일정한 열 전달 속도를 제공하는 균질한 합성물을 갖고, 상기 냉각 판(161)과 상기 정전 척(55) 사이의 인터페이스에서 일어나는 열 임피던스의 차이를 감소시킨다.
바람직하게는, 상기 결합 층은 상기 정전 척(55)에 손상을 주지 않고 상기 정전 척(55)과 상기 냉각 판(161) 사이의 상기 열 팽창 부정합으로 인한 상기 열 응력을 흡수하는 인터페이스를 제공하도록 연성이 있고 가요성(compliance)이 있다. 결합된 접합부는 일정한 열 전달 속도를 제공하는 반면, 결합된 접합부는 때때로 상기 정전 척(55)과 상기 냉각 판(161)과 같은 비유사한 재료들의 열 팽창 계수의 차이로 인한 상기 열 응력에 견디는 것이 어렵다. 본보기가 되는 결합 층은 구부러질 수 있고 상기 정전 척(55)과 상기 냉각 판(161)의 열 팽창 계수의 차이로 인한 열 응력을 흡수할 수 있는 연성이 있고 가요성이 있는 재료로 만들어진다. 하나의 적절한 결합 재료는 티타늄 디보라이트로 충전되고 확대된 알루미늄 전송자(carrier)에 도포된, 높은 결합 강도의 감압(pressure sensitive) 아크릴 접착제로 구성된다. 충전재, 확대된 금속 및 양각된 표면의 결합은 상기 결합의 정합성과 열 성능을 강화시킨다.
상기 기판(10)의 온도는 상기 정전 척(55)의 온도를 안정화시키고 냉각 기체 소스(107)로부터 상기 기판의 받침에 의해 형성된 채널들과 상기 정전 척(55)의 수취 표면 상에 형성된 홈들로 헬륨과 같은 냉각 기체를 제공함으로써 제어된다. 상기 냉각 기체는 상기 기판(10)과 상기 정전 척(55) 사이의 열 전달을 용이하게 해 준다. 상기 웨이퍼(10)의 후면과 상기 정전 척(55)의 수취 표면 사이의 간격은 바람직하게는 내부 구역과 외부 구역의 두 개의 구역으로 분할된다. 분리된 흐름 제어기들(107i및 107o)은 상기 외부 구역과 내부 구역 각각에 독립된 냉각 기체 흐름 제어를 제공하기 위해 사용된다. 대개는, 상기 바람직한 냉각 기체의 양은 일반적으로 Torr 단위의 압력으로 측정된다.
분리된 구역 제어기들(107i, 107o)은 상기 같은 압력 또는 다른 압력으로 상기 구역들이 유지되도록 한다. 상기 내부 구역 및 외부 구역 내의 상기 압력을 조절하는 단계는 상기 기판(10)의 중심부와 주변부의 대응하는 온도의 조절로 이어다. 그러므로, 상기 내부 구역과 외부 구역의 압력을 조절함으로써, 상기 기판(10)에 걸친 온도 프로파일이 제어된다. 상기 기판(10)에 걸친 온도는 특정 에치 프로세스의 특정한 온도 요구사항들을 보상하기 위해 조절될 수 있다. 예를 들면, 상기 기판에 걸친 온도는 중심부로부터 주변부까지 일정하거나, 중심부 온도보다 더 높은 주변부 온도를 가지거나, 혹은 주변부 온도보다 더 높은 중심부 온도를 가질 수 있다.
플라즈마 프로세스 동안, 상기 기판(10)은 상기 챔버 내의 플라즈마에 의해 가열되고 상기 이중 구역 냉각 기체 제어는 상기 기판 온도를 조절하기 위해 사용된다. 대개는, 기판(10)은 바람직하게는 약 15℃ 내지 약 20℃의 작동 범위를 갖는 약 -20℃ 내지 약 150℃의 온도 범위 내에서 유지된다. 상기 내부 및 외부 냉각 기체 구역들은 또한 기판(10)에 걸친 열 변화도(thermal gradient)를 유발하기 위해 작동될 수 있다. 예를 들면, 상기 내부 구역 및 상기 외부 구역 냉각 기체 압력들은 상기 기판(10)의 중심부에서의 온도가 상기 기판(10)의 주변부에서의 온도보다 더 높거나 더 낮도록 조절될 수 있다. 덧붙여 말하면, 상기 내부 및 외부 냉각 기체 구역들은 상기 기판(10)의 주변부에 대한 상기 중심부에 걸친 온도 차이가 약 5℃이도록 조절될 수 있거나 상기 구역들에서 상기 중심부와 주변부 사이의 온도가 거의 상수인 채로 있다.
냉각 판(161), 정전 척(55), 이중 구역 후면 냉각 기체 및 강인한 전극(105)을 포함하는 상기 기판 지지부(124)의 구성요소들은 챔버(100) 내에서 수행되는 플라즈마 프로세싱 작동 동안 생성된 열을 제거하기 위해 협동적으로 작동한다. 상기 열 처리와 온도 제어 특징들은 상기 기판의 온도가 2,500 W 초과의 RF 전력 레벨과 100 G 초과의 자기장 모두를 결합하여 프로세스 동안에도 효율적으로 제어될 수 있기 때문에 더 긴 프로세스 시간 동안 더 높은 RF 전력과 더 큰 자기장(자기적으로 강화된 프로세싱을 사용하는 챔버들을 위한)을 사용하는 프로세싱 작동들을 가능하게 한다. 에치 챔버(100)의 상기 온도 제어와 열 처리 성능은 "온도 제어되는 챔버 라이너"로 칭해지는 하기 섹션 Ⅱ에서 설명되는 라이너들(118 및 134)의 직접적인 온도 제어 특징에 의해 진행된다.
기체 패널(105)은 상기 컴퓨터 제어기(140)의 제어 하에서 프로세스 챔버(100)에 프로세스 기체들을 제공하는 프로세스 기체 공급과 흐름 제어 밸브들을 포함한다. 기체 패널(105)로부터의 프로세스 기체들은 파이핑(piping, 103)에 의해 리드 어셈블리(102)를 통하여 다수의 기체 입구들 또는 노즐들(350)에 제공된다. 다수의 노즐들(350)은 "열적으로 분화된 기체 공급 시스템"으로 칭해지는 하기 섹션 Ⅲ에서 보다 상세히 설명되는 바와 같이, 프로세싱 볼륨(112) 내로 프로세스 기체들을 제공하기 위한 상기 리드 어셈블리(102)에 걸쳐 분배된다.
작동 시에, 반도체 기판(10)은 상기 기판 지지부(124) 상에 위치되고, 기체 성분들은 상기 프로세싱 볼륨(112) 내의 바람직한 기체 혼합물을 형성하기 위해 노즐들(350)을 통하여 상기 기체 패널(105)로부터 상기 프로세스 챔버(100)로 공급된다. 상기 기체 혼합물은 상기 임피던스 정합 회로(151)을 향해 RF 발전기로부터상기 전극(105)으로 RF 전력을 인가함으로써 상기 프로세스 챔버(100) 내에서 플라즈마로 발화된다. 상기 기체 혼합물로 형성된 상기 플라즈마는 상기 리드 어셈블리(102)와 상기 라이너(104)의 온도 제어되는 표면들과 접해 있다.
상기 프로세스 챔버(100) 내의 상기 압력은 상기 챔버 볼륨(110)과 진공 펌스(109) 사이에 위치된 스로틀 밸브(8)를 사용하여 제어된다. 바람직한 실시예에서, 상기 펌프(109)는 초당 약 1,000 리터보다 큰 펌핑 용량을 제공하고, 바람직하게는 초당 약 1,400 리터 내지 약 2,000 리터의 용량을 제공하며, 더욱 바람직하게는 초당 약 1,600 리터의 용량을 제공한다. 펌프(109)는 하나의 고용량 진공 펌프 또는 진공 펌프와 터보 펌프의 결합일 것이다. 제어기(140)의 제어 하에서, 상기 펌프(109)와 상기 스로틀 밸브(8)는 유리하게 확대된, 압력 및 기체 흐름 속도 플라즈마 에치 프로세싱 체제를 제공하도록 협동적으로 작동한다. 바람직한 실시예에서, 상기 플라즈마 에치 챔버는 예를 들면 약 350 sccm 이상의 전체 기체 흐름과 약 80 mT 이하의 챔버 압력과 같은, 낮은 압력 - 높은 전체 기체 흐름 체제에서 자기적으로 강화된 반응성 이온 에칭(MERIE) 및 반응성 이온 에칭(RIE) 에치 프로세스 모두를 수행할 수 있는 열적으로 제어되는 에치 챔버이다. 바람직하게는, 본 발명에 따른 프로세스 챔버의 실시예는 약 1,000 sccm의 전체 흐름 속도를 갖는 약 50 mT 미만의 챔버 압력을 가능하게 해 준다.
본 발명의 실시예들을 포함하는 플라즈마 에치 챔버들은 예를 들면 약 40 sccm부터 약 150 sccm까지의 전체 기체 흐름 속도를 갖는 약 10 mT 내지 약 80mT의 압력에서 일반적으로 수행되는 스페이서 에칭 및 마스크 개방 에칭과 같은, 낮은압력 - 낮은 흐름 속도 유전체 에치 프로세스들이 가능하다. 본 발명의 실시예들을 포함하는 플라즈마 에치 챔버들은 또한 예를 들면 약 350 sccm부터 약 700 sccm까지의 전체 기체 흐름 속도와 약 150 mT 내지 약 300 mT의 압력에서 수행되는 C4F8및 C2F6기반 에치 프로세스들과 같은, 높은 압력 - 높은 흐름 속도 유전체 에치 프로세스들이 가능하다. 본 발명의 실시예들을 포함하는 플라즈마 에치 챔버들은 또한 예를 들면 약 10 sccm부터 약 120 sccm까지의 전체 기체 흐름 속도와 약 600 mT 내지 약 900 mT의 압력에서 자가 정렬된 그리고 높은 애스펙트 비율의 접점들의 C4F6및 CH2F3기반 에칭과 같은 높은 전체 기체 흐름 - 낮은 챔버 압력 에치 프로세스들이 가능하다.
게다가, 본 발명의 실시예들을 포함하는 플라즈마 에치 챔버들은 예를 들어 약 70 mT부터 약 120 mT까지의 챔버 압력에서 약 120 sccm부터 약 400 sccm까지의 전체 기체 흐름을 갖는 에치 프로세스 체제; 약 20 mT부터 약 70 mT까지의 챔버 압력에서 약 100 sccm부터 약 450 sccm까지의 전체 기체 흐름을 갖는 에치 프로세싱 체제; 그리고 약 20 mT부터 약 70 mT까지의 챔버 압력에서 약 300 sccm부터 약 800 sccm까지의 전체 기체 흐름을 갖는 에치 프로세싱 체제와 같은 다양한 프로세싱 체제들에서의 에치 프로세스들을 가능하게 해 준다. "챔버 프로세스 창과 대표적인 중요한 유전체 에치 프로세스들"로 칭해지는 하기 섹션 Ⅶ은 본 발명의 실시예들을 포함하는 플라즈마 에치 챔버들에 의해 가능한 상기 개선된 산화물 및 유전체 에치 프로세스 창의 부가적인 상세 내용을 제공한다.
중앙처리장치(CPU, 140), 메모리(142), 및 상기 CPU를 위한 지지 회로(146)들을 포함하는 제어기(140)는 상기 챔버의 제어를 용이하게 하기 위한 상기 프로세스 챔버(100)의 다양한 구성요소들에 결합된다. 상기 설명된 바와 같이, 상기 챔버의 제어를 용이하게 하기 위해서, 상기 CPU(144)는 상기 다양한 챔버 구성요소들은 물론 컴퓨터 제어된 챔버 구성요소들이 사용되는 프로세싱 시스템 내의 다른 처리장치들조차도 제어하기 위한 산업적인 설비 내에서 사용될 수 있는 일반 목적 컴퓨터 처리장치들 중 어떠한 형태의 하나일 수 있다. 상기 메모리(142)는 상기 CPU에 결합된다. 상기 메모리(142), 또는 컴퓨터 판독 매체는 RAM, ROM, 플로피 디스크, 하드 디스크, 또는 근거리 또는 원거리의 어떠한 디지털 저장의 형태 등과 같은 이미 유용한 메모리 중 하나 또는 그 이상일 수 있다. 상기 지지 회로들(146)은 종래의 방식으로 상기 처리장치를 지지하기 위해 상기 CPU(144)에 결합된다. 지지 회로들(146)은 캐쉬, 전력 공급, 클락 회로, 입출력 회로 및 서브시스템들과 기타 유사한 것들을 포함한다. 상기 에치 프로세스와 같은 프로세스는 일반적으로 메모리(142) 내에 저장되고, 대개는 소프트웨어 루틴으로서 저장된다. 상기 소프트웨어 루틴은 또한 상기 CPU(144)에 의해 제어되는 상기 하드웨어로부터 원격하여 위치된 제 2 CPU(미도시됨)에 의해 저장되고/되거나 실행될 수 있다.
상기 소프트웨어 루틴은 상기 프로세스의 단계들을 수행하기 위하여 상기 챔버(100)를 작동시키도록 에치 프로세스와 같은 프로세스를 실행한다. 상기 CPU(144)에 의해 실행된 때, 상기 소프트웨어 루틴은 상기 일반적인 목적의 컴퓨터를 상기 프로세스의 단계들을 수행하기 위한 상기 챔버 작동을 제어하는 특정한 프로세스 컴퓨터(제어기)로 변환한다. 본 발명의 실시예들이 소프트웨어 루틴으로서 이행되는 것으로서 논의되지만, 여기서 논의된 상기 방법 단계들의 일부 또는 전부는 하드웨어 내에서나 상기 소프트웨어 제어기에 의해서 수행될 수 있다. 이로서, 본 발명은 소프트웨어 내에서 이행되고, 컴퓨터 시스템에 의해, 용용-특정 집적 회로 또는 다른 유형의 하드웨어 이행으로서 하드웨어 내에서, 또는 소프트웨어와 하드웨어의 결합 내에서 실행될 수 있다.
Ⅱ. 온도 제어되는 챔버 라이너
프로세싱 시스템(50)과 같은 에치 프로세싱 시스템 내에서 사용하기 위한, 챔버 라이너(104)와 리드 어셈블리(102)와 같은, 온도 제어되는 챔버 구성요소들은 도 2 내지 도 6의 참조에 의해 보다 잘 인식될 수 있다. 본 발명의 실시예들은 또한 챔버 구성요소들 상에 형성된 침전물들의 접착을 실질적으로 개선하기 위해, 이러한 챔버 구성요소들의 온도를 제어하기 위한 방법들을 제공한다.
도 2는 챔버 라이너(104)르 갖는 본 발명의 에치 챔버(100)의 하나의 실시예의 단면도이다. 상기 에치 챔버(100)는 평행 판 에치 반응기로서 구성된다. 일반적으로, 상기 챔버 라이너(104)는 제 1 라이너(134), 제 2 라이너(118), 또는 제 1 라이너(134) 및 제 2 라이너(118) 모두를 포함한다. 열 교환기(121)와 같은 온도 제어되는, 유체 공급 시스템으로부터 상기 통로를 통하여 유체를 흐르게 하도록 하는 입구와 출구를 갖는 적어도 그 안에서 부분적으로 형성된 적어도 하나의 통로는 각 챔버 라이너(104) 내에 배치된다. 본 발명의 상기 라이너의 설명을 용이하게하기 위해서, 본 발명의 상기 라이너의 실시예가 제 1 라이너(134) 및 제 2 라이너(118)를 가지는 것으로 설명될 수 있다. 당업자라면 하나의 부품, 제거 가능한 라이너가 상부 라이너(134)와 하부 라이너(118) 대신에 제조되고 사용될 수 있다는 것을 인식할 수 있을 것이다. 다른 크기의 상부 라이너(134)와 하부 라이너(118)가 사용될 수 있고 여기에서 설명된 실시예들이 본 발명을 설명할 때 단지 참고로서 사용된다는 것도 또한 인식된다. 이제 상기 상부 라이너(134)와 하부 라이너(118)가 차례로 논의될 것이다.
상기 챔버(100)는 일반적으로 챔버 볼륨(110)을 한정하는 환형의 측벽(106), 바닥 벽(108), 및 리드 어셈블리(102)를 포함한다. 일반적으로, 상기 챔버 볼륨(110)은 프로세스 볼륨(112)(상기 챔버의 상부 영역)와 펌핑 볼륨(114)(상기 챔버의 하부 영역)으로 나누어진다.
상기 바닥 벽(108)은 프로세싱 동안 생성된 잉여의 프로세스 기체들과 휘발성의 화합물들이 상기 챔버(100)로부터 진공 펌프(109)에 의해 배출 시스템(110)으로 통하여 배출되는 펌핑 포트(138)을 갖는다. 상기 바닥 벽(108)은 부가적으로 상기 챔버(100)의 외부로부터 상기 제 2 라이너(118)로의 접근을 제공하는 두 개의 구멍들(116)(도 2에서 하나만 도시됨)을 갖는다.
상기 리드 어셈블리(102)의 실시예들은 도 3A, 도 3B의 평면도들과 도 4의 단면도에서 상세히 도시된다. 도 4에서 도시된 하나의 실시예에서, 상기 리드 어셈블리(102)는 제 1 라이너(134)와 리드(202)를 포함한다. 상기 제 1 라이너(134)는 상기 측벽(106)의 위에 탑재된 외부로 연장하는 플랜지(flange, 342)를 갖는다.상기 리드 어셈블리(102)의 다양한 구성요소들은 상기 프로세싱 볼륨(112)의 진공 완전성을 보장하기 위해 필요한 기밀 밀봉을 제공하도록 적절하게 구성된다. 예를 들면, 상기 리드(202)가 제자리에서 조여지고, 리드 어셈블리(102)가 상기 프로세싱 챔버(100) 내에 설치될 때 상기 제 2 라이너(118) 상에 아래 방향의 힘을 가하는 경우, 상기 리드 어셈블리(102)는 일반적으로 아래 방향으로 바이어스될 것이다.
도 4에서 계속하면, 상기 제 1 라이너(134)는 예를 들면 양극 처리된 알루미늄, 스테인리스 스틸, 세라믹, 또는 다른 호환성 재료와 같은, 열 전도성 재료로 제조된다. 상기 제 1 라이너(134)는 세정을 위해 쉽게 제거될 수 있고 프로세싱 동안 침전이 그 위에서 일어날 수 있는 제거 가능한 표면을 제공한다. 상기 제 1 라이너(134)는 접시 형태의 상부 표면(312), 및 바닥 표면(316)을 갖는 중심 섹션(310)을 포함한다. 상기 접시 형태의 상부 표면(312)은 상기 외부로 향하여 연장하는 플랜지(342)로 연결된 주변부(314)를 갖는다. 원통형의 벽(318)이 상기 바닥 표면(316)으로부터 연장한다. 상기 바닥 표면(316)과 라이너 벽(318)은 상기 프로세스 볼륨(112)에 노출된 내부 표면들(320)을 갖는다. 하기 섹션 Ⅳ에서 보다 상세히 설명된 바와 같이, 상부 라이너(134)의 상기 내부 표면들(320), 또는 선택적으로, 프로세스 볼륨(112)에 노출된 어떤 라이너도 상기 필름 내에서 표면 장력을 감소시킴으로써 침전된 필름들의 접착을 개선하기 위해 구성될 수 있다.
유체 통로(322)는 중심 섹션(310) 내에 배치된다. 상기 유체 통로(322)는 예를 들면 주조 동안 상기 유체 통로(322)를 형성하는 단계와 같은 많은 종래의 수단에 의해 형성될 수 있다. 도 3A에 대해 간단히 살펴보면, 유체 통로(322)를 형성하는 또다른 방법은 각 구멍(208)이 플러그(210)에 의해 밀봉되는 많은 교차하는 끝이 막힌 구멍들(208)을 뚫고, 상기 유체 통로(322)를 형성하는 것이다.
도 4를 살펴보면, 두 개의 양각들(326)(도 4에는 하나만 도시됨)은 상기 중심 섹션(310)의 표면(312)으로부터 돌출한다. 각 양각(326)은 상기 각 구멍(324)를 통하여 상기 유체 통로(322)에 유체적으로 연결된 중심 구멍(328)을 갖는다.
상기 유체 통로(322)는 상기 열 교환기나 냉각제 소스(121)로부터 유체를 받아들인다. 상기 플라즈마에 노출된 모든 표면들과 마찬가지로, 제 1 라이너(134)는 상기 플라즈마 에치 챔버 내에서 수행되는 플라즈마 프로세스들에 의해 가열된다. 상기 유체는 상기 유체로 상기 제 1 라이너(134)를 통하여 전도된 열을 끌어들임으로써 상기 제 1 라이너(134)의 온도를 조절한다. 상기 유체가 상기 유체 소스(121)로부터 상기 제 1 라이너(134)를 통하여 순회할 때, 상기 제 1 라이너(134)로부터 제거된 열의 양이 제어되므로, 상기 제 1 라이너(134)가 미리 결정된 온도에서 유지된다.
액채 및/또는 기체 유체일 수 있는 상기 유체는 상기 제 1 라이너(134)에 온도 제어를 제공하기 위해 상기 유체 통로(322)를 통하여 흐르게 된다. 상기 유체는 바람직하게는 탈이온화된 물 및/또는 에틸렌 글리콜과 같은 액체이다. 액체 또는 기체 질소 또는 프레온과 같은 다른 유체들이 또한 사용될 수 있다. 대체적으로, 상기 제 1 라이너(134)는 가열된 유체들을 사용하여 일정하게 가열될 수 있다.
당업자라면 여기서 개시된 내용들을 사용하는 상기 유체 통로를 위한 대체적인 구성을 고안할 수 있을 것이다. 예를 들면, 도 3B에 묘사된 바와 같이, 리드 어셈블리(202)는 제 1 유체 통로(322A)와 제 2 유체 통로(322B)를 포함할 수 있다. 상기 제 1 및 제 2 유체 통로(322A, 322B)는 도 3B에서 도시된 바와 같은 공통의 입구(330i)와 공통의 출구(330o)를 공유할 수 있다. 선택적으로, 부가적인 입구들과 출구들이 사용될 수 있다. 상기 제 1 및 제 2 유체 통로(322A, 322B)가 "두 개의 튜브 통과" 구성 내에서 꺾어진다. 부가적인 튜브 통과들이 대체적으로 구현될 수 있다.
도 3A 및 도 4를 살펴보면, 빠른 연결 유체 커플링들은 상기 챔버(100)로부터의 상기 제 1 라이너(134)의 빠른 제거와 교체를 용이하게 하기 위해 유체 공급(121)과 상기 제 1 라이너(134)를 유체적으로 연결하도록 사용된다. 대개는, 메일 파이프 스레드 형태(male pipe thread-form)를 갖는 빠른 연결부(330)는 상기 양각(326)의 상기 중심 구멍(328) 내의 피메일(female) 스레드 형태 내로 꿰어진다. 상기 메이팅 커플링(mating coupling, 332)은 유체 공급 선(334)의 말단부에 고정된다. 상기 유체 공급 선(334)은 상기 유체 공급(121)에 상기 통로(322)를 연결한다. 이러한 구성의 하나의 장점은 상기 제 1 라이너(134)로부터의 변화 동안 상기 유체 공급 선(334)이 도구들의 도움 없이 단절될 수 있다는 것이다. 그러나, 상기 제 1 라이너(134)를 상기 유체 공급 선(334)(예를 들면, 파이프 스레드, 가시가 있는 파이프 이음쇠, 수집 연결기 및 기타 유사한 것)에 연결하는 다른 수단이 또한 사용될 수 있다. 빠른 연결부들은 상업적으로 유용하고 일반적으로 포트 크기(스레드 형태 및 흐름 용량)와 특정 웨이퍼 프로세싱 설비 또는 팹(fab)에서 사용되는 브랜드에 기초하여 선택된다.
도 4를 살펴보면, 상기 라이너 벽(318)은 최소의 틈을 가지고 상기 측벽(106) 내로 미끄러지도록 크기가 정해진다. 상기 라이너 벽(318)은 높이가 변화하고, 제 2 라이너 없이 사용된 때, 상기 챔버 바닥(108)으로 연장할 수 있다. 일반적으로, 만약 상기 제 1 라이너(134)와 제 2 라이너(118)가 모두 사용된다면(도 2에서 도시됨), 상기 라이너들은 상기 리드 어셈블리(102)가 제 위치에서 조여질 때 상기 챔버 바닥(108)에 상기 제 2 라이너를 밀봉하기 위해 필요한 압축력을 제공하기 위해 상기 챔버(100) 내에 맞춰지도록 비율로 조절된다.
상기 라이너 벽(318)은 다양한 목적들을 위해 많은 다른 포트들을 부가적으로 포함할 수 있다. 상기 다른 포트들의 하나의 예는 상기 챔버(100)의 슬릿 개구부에 정렬하기 위한 기판 접근 포트이다.
도 2를 살펴보면, 상기 제 2 라이너(118)가 이제 설명될 것이다. 상기 제 2 라이너(118)는 상기 기판 지지부(124)를 둘러싸고 쉽게 제거되고 세정될 수 있는 침전 영역을 형성하기 위해 상기 챔버(100) 내에 배치된다.
제 2 라이너(118)는 유체가 도관(123)에 의해 상기 유체 소스(121)로부터 제공되는 유체 통로(119)를 갖는다. 상기 제 1 라이너(134)의 작동과 함께, 상기 유체는 상기 유체로 상기 제 2 라이너(118)를 통하여 전도된 열을 끌어들임으로써 상기 제 2 라이너(118)의 온도를 조절한다. 상기 유체가 상기 유체 소스(121)로부터 상기 제 2 라이너(118)을 통하여 순회할 때, 상기 제 2 라이너(118)로부터 제거된열의 양이 제어되므로, 상기 제 2 라이너(118)가 미리 결정된 온도에서 유지된다.
도 5 및 도 6은 상기 제 2 라이너(118)를 보다 상세히 묘사한다. 상기 제 2 라이너(118)는 예를 들면 양극 처리된 알루미늄, 스테인리스 스틸, 또는 다른 호환성 재료와 같은 열 전도성 재료로부터 제조된다. 상기 제 2 라이너(118)는 내부 벽(504)과 외부 벽(506)을 연결하는 기부 섹션(502)을 포함한다. 상기 기부 섹션(502), 내부 벽(504), 및 외부 벽(506)의 상기 내부 표면들(508)은 상기 펌핑 볼륨(114)에 노출된다. 도 8 및 도 13 내지 도 20에서 도시된 상기 대체적인 실시예에 관하여 "접착을 개선하기 위한 챔버 표면 변경"이라고 칭해지는 하기 섹션 Ⅳ에서 보다 상세히 설명된 바와 같이, 상기 내부 표면들(508)은 상기 필름 내의 표면 장력을 감소시킴으로써 침전된 필름들의 접착의 개선을 증가시키도록 구성될 수 있다.
상기 기부 섹션(502)은 유체 통로(119)를 포함한다. 상기 유체 통로(119)는 상기 제 1 라이너(134)에 관해 위에서 설명된 것들과 같은 종래의 수단에 의해 형성될 수 있다. 하나의 실시예에서, 상기 유체 통로(119)는 상기 제 2 라이너를 통하여 배치되는 배출 포트(520)에 인접한, 실질적으로 원형인 개시부(beginning)와 말단부(ending)이다.
상기 유체 통로(119)의 각 말단부는 상기 기부(502)의 외부 표면으로부터 돌출하는 양각(510)에서 끝을 이룬다. 상기 양각(510)은 상기 바닥 벽(108)과 연결되고 상기 챔버(100) 내의 상기 제 2 라이너(118)의 적절한 방향을 보장한다(다시 말하면, 모든 포트들이 정렬한다). 상기 제 2 라이너(118)로부터 빠른 변화를 용이하게 하기 위해서, 빠른 연결 유체 커플링들이 상기 제 2 라이너(118)와 유체적으로 상기 통로(119)와 상기 유체 소스(121)을 결합하는 도관(123) 사이에서 사용된다.
상기 내부 벽(504)은 일반적으로 원통형이고 최소의 틈을 가지고 상기 기판 지지부(124) 위로 미끄러지도록 크기가 정해진다. 상기 내부 벽(504)은 선택적으로 플라즈마 포함 수단(516)을 포함한다. 플라즈마 제한 수단(516)은 예를 들면 상기 내부 벽(504) 내에서 형성되고 상기 외부 벽(506)에 면하는 돌출부(518) 내에 배치되는 포함 자석(516)일 수 있다. 상기 돌출부(518)는 상기 제 2 라이너(118)가 설치될 때 상기 플라즈마 포함 자석(516)이 상기 기판 지지부(124) 아래에 위치하도록 상기 내부 벽(504) 상의 기부로부터 떨어져 위치된다. 상기 플라즈마 포함 자석(516)은 사마리움(samarium) 자석(516)일 수 있다. 본 발명의 상기 플라즈마 제한 특징의 대체적인 실시예는 "플라즈마 제한(도 21 내지 도 27)"으로 칭해지는 하기 섹션에서 보다 상세히 설명된다.
상기 외부 벽(506)은 일반적으로 원통형이고 상기 챔버 벽들(106)과 최소의 갭을 이루도록 크기가 정해진다. 상기 외부 벽(506)은 높이가 변화하고, 특히 제 1 라이너(134)가 또한 위에서 설명된 바와 같이 사용되는 경우 그러하다. 상기 외부 벽(506)은 부가적으로 상기 펌핑 포트(138)에 정렬하는 상기 배출 포트(520)를 포함한다. 상기 배출 포트(520)는 상기 바닥 벽(108)의 일부를 부분적으로 둘러쌀 수 있다. 상기 배출 포트(520)는 상기 스로틀 밸브(8)와 진공 펌프(109)로 상기 펌핑 볼륨(114) 내의 기체들의 유체 접근을 제공한다.
상기 외부 벽(506)은 선택적으로 상기 펌핑 볼륨(114)로 연장하는 스로틀링 리지(throttling ridge, 522)를 포함할 수 있다. 상기 스로틀링 리지(522)는 상기 프로세스 볼륨(112)으로부터 상기 펌핑 볼륨(114)으로 이동하는 기체들의 흐름을 제어하기 위해 환형의 흐름 구멍(524)을 생성하도록 상기 내부 벽(504) 상의 상기 돌출부(518)에 인접하여 위치된다. 상기 외부 벽(506)은 부가적으로 다양한 목적을 위한 많은 다른 포트들을 포함한다. 상기 다른 포트들의 하나의 예는 상기 챔버(100)의 안팎으로 기판(10)의 전달을 허용하기 위한 측벽(106) 내의 슬릿 개구부(139)에 정렬하는 기판 접근 포트(526)이다. 라이너(118)의 또다른 실시예를 도시하는 도 28을 살펴보면, 외부 벽(506)은 스로틀링 리지(522)와 펌핑 볼륨(114) 내로 연장하는 돌출부(518)를 포함하지 않는다.
본 발명에 따른 온도 제어되는 라이너의 작동은 도 2를 참조하여 설명될 수 있다. 작동 시에, 상기 제 1 라이너(134)와 제 2 라이너(118)의 온도는 상기 유체 소스(121)로부터 상기 각각의 라이너들(118 및 134) 내의 상기 통로들(119 및 322)을 통하여 유체를 흐르게 함으로써 제어된다. 상기 유체는 상기 라이너들(118 및 134)와 상기 유체의 사이에 열을 전달함으로써 상기 라이너들(118 및 134)의 온도를 조절한다. 상기 유체 소스(121)로부터의 유체는 흐름의 온도와 속도 모두 제어되므로, 상기 라이너들(118 및 134)로부터 제거되는 열의 양을 제어하고, 상기 라이너들(118 및 134)이 미리 결정된 온도에서 유지되도록 한다. 실시예에서, 사용자는 예를 들면 제어기(140)에 라이너 벽 온도를 위한 설정점을 제공하고, 제어기(140)는 상기 사용자 입력 설정점을 유지하기 위해 열 교환기(121)에 의해유체 출력의 양과 온도를 조절한다.
상기 라이너들(118 및 134)의 온도는 상기 통로(119 및 322) 내의 유체에 의해 지배적으로 제어되고 상기 챔버 벽들(106)과의 전도가 덜 신뢰성이 있기 때문에, 상기 라이너들(118 및 134)은 예를 들면 증가된 RF 전력과 더 높은 자기장과 같은 다양한 플라즈마 에치 프로세스 조건들 하에서 실질적으로 일정하고, 제어 가능한 온도를 유지할 수 있다. 그러므로, 상기 챔버 라이너(104)의 온도를 제어함으로써, 상기 챔버 라이너 상에 침전된 재료의 양은 더욱 잘 제어될 수 있고, 상기 침전된 재료 내의 응력이 최소화되어 상기 침전된 재료의 접착을 개선할 수 있게 된다. 상기 온도 제어되는 라이너들이 부산물들에 의해 생성되는 개선된 접착을 가능하게 하므로, 산화물 및 유전체 에치 프로세스에서 마주치게 되는 화학 물질들(chemistries)을 형성하는 침전물을 포함하는 매우 다양한 프로세스 기체 혼합물들은 보다 신뢰성이 있게 사용될 수 있다. 프로세스 엔지니어들은 이러한 기체 혼합물들에 의해 형성된 부산물들이 본 발명의 상기 라이너들의 개선된 접착 용량 때문에 오염 위협의 일부만 나타내기 때문에 에치 기체 혼합물들을 고안할 때 보다 큰 허용도를 정한다. 이런 방법으로, 본 별명의 실시예들을 포함하는 에치 챔버들의 프로세스 창은 더욱 다양한 사용 가능한 에치 기체 혼합물들을 포함하도록 확대된다.
Ⅲ. 열적으로 분화된 기체 공급 시스템
도 4를 살펴보면, 본 발명의 상기 기체 분배 시스템의 실시예가 이제 설명될것이다. 상기 제 1 라이너(134)의 상부 표면(312)이 중심 함몰부(336)를 포함한다. 상기 중심 함몰부(336)가 상기 리드(202)에 의해 덮여지고, 상기 리드(202)와 상기 중심 함몰부(336) 사이에 적어도 부분적으로 공간(338)이 정해진다. 상기 리드(202)는 상기 리드(202)에 고정된 기체 피드스루(feedthrough, 202) 내에 통로(344)로부터의 유체 흐름을 허용하는 중심 구멍(340)을 부가적으로 갖는다. 상기 기체 피드스루(212)는 기체 누설을 막기 위해 상기 리드(202)에 밀봉된다. 상기 기체 피드스루(212)는 상기 기체 소스(미도시됨)로부터 상기 공간(338)에 전송된 기체들의 온도 조건을 정하도록 상기 측벽(106) 내의 유체 통로에 일반적으로 결합된다. 대체적으로, 상기 기체 리드스루(212)는 상기 기체 소스에 직접적으로 결합될 수 있다.
하나의 실시예에서, 상기 다수의 구멍들(348)은 상기 중심 함몰부(336)에 적어도 부분적으로 배치된다. 다른 위치들이 사용될 수 있지만, 상기 구멍들(348)은 상기 제 1 라이너(134)의 중심 근방에 극 배열로 일반적으로 위치된다. 각 구멍(348)은 노즐(350a)에 맞춰진다. 상기 노즐들(350a)은 상기 공간(338) 내로부터 상기 챔버(100)의 프로세스 볼륨(112)으로의 프로세스 및 다른 기체들의 분배를 용이하게 한다. 상기 노즐들(350a)은 일반적으로 석영, 실리콘 카바이드, 실리콘, 알루미늄 질화물, 알루미늄 산화물, Y2O3, 보론 카바이드(Boron Carbide), 또는 사파이어 같은 다른 재료들과 같은 비전도성 재료로 제조된다.
도 7a 내지 도 7f는 상기 챔버 내에서 재순회하는 기체 흐름들을 유리하게최소화하는 상기 노즐들(350a)의 다양한 대체적인 실시예들을 묘사한다. 참조 번호(350 및 350a)가 사용될 때, 대체적인 노즐들(350b 내지 350f)이 사용될 수 있음이 인식된다. 도 7A를 살펴보자. 도 7A에 도시된 상기 노즐의 하나의 실시예에서, 상기 노즐(350a)은 마운팅 부분(717)과, 상기 챔버 볼륨(110)과 교신하는 기체 전송 부분을 포함한다. 상기 마운팅 부분(717)은 상기 노즐(350a)의 주변으로부터 대개는 상기 공간(338)에 노출된 상기 노즐(350a)의 측면을 향하여 연장하는 플랜지(710)를 갖는다. 상기 노즐(350a)은 상기 공간(338)을 상기 챔버 볼륨(110)에 유체적으로 결합하는 중심 통로(724)를 부가적으로 포함한다. 상기 중심 통로(724)는 상기 노즐(350a)의 중심선에 대하여 동축 상에 위치된다. 선택적으로, 부가적인 통로들은 상기 공간(338)과 상기 챔버 볼륨(110)을 유체적으로 결합하기 위하여 사용될 수 있다. 게다가, 노즐의 상기 기체 전송 부분은 예를 들어 도 7A의 노즐(350a) 및 도 7B의 노즐(350b)에서 도시된 바와 같이 상기 제 1 라이너(134)와 같은 높이일(flush) 수 있다. 대체적으로, 노즐의 상기 기체 전송 부분은 예를 들어 도 7C의 노즐(350c), 도 7D의 노즐(350d), 도 7E의 노즐(350e), 그리고 도 7F의 노즐(350f)에서 도시된 바와 같이, 상기 제 1 라이너(134)를 넘어서 연장할 수 있다.
도 7A를 살펴보면, 상기 플랜지(710)는 상기 제 1 라이너(134) 내에 배치된 리세스(712)와 짝을 이룬다. 일반적으로, 상기 플랜지(710)의 접점 표면(702)과 상기 리세스(712)의 메이팅 표면(704)이 상기 접점 표면(702)과 상기 메이팅 표면(704) 사이에 최소한의 기체 누설을 제공하는 약 1 mil 또는 그 이하의평면도(flatness)를 갖는 표면 끝(surface finish)을 갖는다. 상기 기체 전송 부분(715)의 노출된 표면(716)은 부드러운 또는 텍스쳐된 표면을 가질 수 있다.
도 7B는 중심 통로(724)가 선택적이라는 차이를 갖는 노즐(350a)에 실질적으로 유사한 노즐(350b)의 또다른 실시예를 도시한다. 상기 노즐(350b)은 상기 공간(338)의 유체 교신에 상기 챔버 볼륨(110)을 제공하는 하나 또는 그 이상의 통로들(714)을 갖는다. 일반적으로, 상기 통로들(714)은 상기 노즐(350b)의 중심선에 대해 비스듬히 있다. 선택적으로, 상기 마운팅 부분(717)은 상기 공간(338)으로 연장할 수 있다.
도 7C는 마운팅 부분(717)과 상기 기체 전송 부분(735)을 포함하는 노즐(350c)의 또다른 실시예를 도시한다. 상기 기체 전송 부분은 상기 마운팅 부분(717)에 인접한 말단부(728)와 상기 챔버 볼륨(110)으로 돌출하는 대향의, 말단부(718)를 갖는다. 상기 인접한 말단부(728)는 일반적으로 상기 챔버 볼륨(110)에 노출된 상기 제 1 라이너(134)의 표면에 동일 평면 상에 있거나 접하고 있다. 상기 기체 전송 부분(735)은 부드럽거나 텍스쳐된(textured) 표면 끝을 갖는다. 중심 통로(720)는 상기 공간(338)에 노출된 상기 마운팅 부분(717)의 측면(722)으로부터 적어도 부분적으로 상기 노즐(350c)을 통하여 연장한다. 하나 또는 그 이상의 제 2 통로들(726)은 상기 중심 피드(720)와 상기 챔버 볼륨(110)을 유체적으로 결합한다.
일반적으로, 상기 기체 전송 부분(735)의 외부 상에 상기 제 2 통로들(726)의 각각의 출구(727)가 상기 기체 전송 부분(735)의 상기 말단부(728)로부터 적어도 거리 "DIST"만큼 떨어져서 위치된다. 게다가, 상기 제 2 통로들(726)은 상기 인접한 말단부(728)에 대하여 각도 θ로 방향지어진다. 하나의 실시예에서, DIST는 약 0.25 인치보다 크고, θ는 약 15 도 내지 35 도 사이의 범위 내에 있다.
도 7D는 노즐(350c)에 유사한 노즐(350d)의 또다른 실시예를 도시한다. 그러나, 상기 노즐(350d)은 상기 노즐(350c)의 중심선을 따라서 연장하는 중심 통로(724)를 부가적으로 포함하고, 상기 공간(338)을 챔버 볼륨(110)과 직접적으로 공유한다.
도 7E는 상기 노즐(350d)에 유사한 노즐(350e)의 또다른 실시예를 도시한다. 그러나, 상기 노즐(350e)는 상기 공간(338)과 상기 챔버 볼륨(110) 사이에 상기 중심 통로(724)를 제공하기만 한다.
도 7F는 상기 노즐(350c)에 유사한 노즐(350f)의 또다른 실시예를 도시한다. 그러나, 상기 노즐(350f)은 마운팅 부분(717)과 상기 마운팅 부분(717)에 비스듬한 방향인 기체 전송 부분(732)을 갖는다. 상기 노즐들(350a 내지 350f)은 상기 플라즈마에의 인접성 때문에 종래의 노즐들보다 더 좋은 세정 효과(즉, 감소된 프로세싱 부산물 빌드업)를 갖는데, 이로써 상기 노즐들을 더 뜨겁게 하고, 반응 부산물들의 침전을 방해한다. 상기 노즐들의 상기 기체 전송 구성이 상기 챔버 내의 흐름 재순회를 최소화하기 때문에, 상기 챔버의 상기 상부 영역들로 끌어내어진 반응 부산물들의 상기 기체 전송 구성이 감소된다.
위에서 설명된 상기 노즐들에 공통점은 상기 노즐들이 낮은 열 질량(thermal mass)를 가지고 상기 노즐들에 냉각 메커니즘이 제공되지 않은 점이다. 결과적으로, 그것들은 상기 리드 및 라이너들로부터 상기 노즐들을 열적으로 분화시키기 위해, 프로세싱 동안 상기 냉각된 리드와 라이너들의 온도 이상으로 가열된다. 이는 상기 노즐들 상의 중합체 침전을 감소시키는 데 크게 도움이 된다. 선택적으로, 상기 노즐들 상에 침전되는 어떤 중합체를 보장하기 위하여, 그것들은 비드 블라스팅(bid blasting) 또는 화학적 프로세스에 의해 표면 러프니스(roughness)가 제공된다.
상기 기체 분배 시스템의 부가적인 대체적 실시예들은 도 8 내지 도 13에 도시된다. 도 8 내지 도 13에서, 노즐들(350) 대신에, 다수의 기체 주입 구멍들(225)을 갖는 미량 기체 분배 판들(mini-gas distribution plates, 220)이 공간(338)과 상기 챔버 볼륨(110)을 유체적으로 결합하기 위해 라이너(134)의 중심 섹션(310)에서 제공된다. 노즐들(350)과 유사하게, 상기 플라즈마에 면하는 상기 미량 기체 분배 판들(220)의 각각의 영역은 다음과 같이 제한된다: (1) 상기 영역은 상기 입구들의 주변에서 주입된 기체로부터의 난기류가 중합체 누적을 막거나 방해하는 영역 내에 포함된다, 그리고 (2) 상기 미량 기체 분배 판들의 크기 또는 열 질량은 상기 판의 빠른 플라즈마 가열을 허용하도록 충분히 작거나 낮다. 상기 미량 기체 분배 판들(220)의 영역을 횡단하는 상기 기체 난기류를 강화하기 위하여, 각 미량 기체 분배 판(220) 내의 상기 기체 주입 구멍들(225)은 상기 챔버 내부에 면하는 상기 판의 표면에 대하여 비스듬하다. 바람직하게는, 서로 또는 함께 교차하는 인접한 구멍들로부터의 상기 기체 주입 스트림들이 와동 패턴을 형성하도록 상기 기체 주입 구멍들은 비스듬하다. 상기 미량 기체 분배 판들(220)의 배치의 대체적인 실시예에서, 상기 미량 기체 분배 판들(220)은 그 플라즈마 가열을 강화시키고 기체 주입 난기류를 강화시키기 위해 상부 라이너 표면(316)으로부터 조금 밖으로 연장한다. 바람직하게는, 상기 미량 기체 분배 판들(220)은 각각 상기 전체 천장(316)의 영역의 상대적으로 작은 부분이다.
각 미량 기체 분배 판(220)은 실리콘과 같은 반금속 또는 실리콘 이산화물(석영), 사파이어, 또는 대체적으로 비전도성 재료이거나 프로세싱 챔버(100) 내에서 수행되는 프로세스들과 호환하는 재료와 같은 유전체로 형성된다. 각 미량 기체 분배 판(220)은 프로세스 기체가 상기 반응기 챔버 내부 내로 통하여 분무되는 다수의 기체 입구들(225)을 갖는다. 바람직하게는, 상기 미량 기체 분배 판들(220)은 그것들이 상기 챔버 내의 상기 플라즈마에 의해 쉽게 가열되도록 상기 온도 제어되는 라이너(134)로부터 열적으로 절연된다. 각 미량 기체 분배 판(220)은 상기 천장에 비해 충분히 작고, 플라즈마 발화(plasma ignition) 상의 상기 플라즈마에 의해 빠르게 가열되도록 충분히 작은 열 질량을 갖는다. 예를 들어, 기체 분배 판(220)이 약 0.25 인치 내지 약 0.5 인치의 차수의 노출된 직경을 갖는 반면, 상기 제 1 라이너(134)는 9 인치 내지 14 인치의 범위 내의 직경을 갖는다. 결과적으로, 상기 플라즈마는 각 미량 기체 분배 판(220)을 그 위에서 중합체가 누적되는 것을 막기에 충분히 높은 온도로 가열한다. 상기 장점은 각 미량 기체 분배 판(220)의 기체 입구들(225)이 노즐들(350)의 입구들과 마찬가지로, 중합체 없이 유지될 수 있다는 점이다.
바람직하게는, 상기 각 미량 기체 분배 판(220)의 직경은 상기 기체 분배판(220)의 전체 바닥 표면(220a)이 상기 입구들(225)로부터 상기 프로세스 기체 분무의 기체 흐름 난기류의 영역 내에 덮여진다. 그러므로, 예를 들어, 각 미량 기체 분배 판(220)은 약 0.25 인치 내지 약 0.5 인치의 차수의 노출된 직경을 갖는다. 이 영역은 상기 표면(220a) 상의 중합체 누적을 지연시키거나 막기 위하여 충분한 기체 난기류를 갖는다.
도 9 및 도 10을 참조하면, 상기 바닥 표면(220a) 주위의 기체 난기류는 상기 미량 기체 분배 판(220)의 다수의 기체 입구들(225)로부터의 기체 분무 경로들의 교차하는 패턴을 도입함으로써 강화된다. 도 9 및 도 10의 실시예는 와동 패턴(도 9의 화살표들에 의해 지시됨)을 제공한다. 이것은 상기 미량 기체 분배 판(220)의 출구 표면(220a)에 대해 각도 A인 상기 기체 입구들(225)의 각각을 구멍 뚫음으로써 달성된다. 바람직하게는, 상기 각도 A는 약 20 도 내지 약 30 도의 범위 내에 있다. 도 11에 도시된 대체적인 실시예에서, 상기 다수의 기체 입구들(225)의 상기 기체 분무 경로들이 상기 기체 난기류를 강화시키기 위하여 다른 입구들에서 방향지어진다. 이러한 대체적인 분무 패턴은 도 11의 화살표들에 의해 도시된다.
도 12에서 도시된 바와 같이, 상기 미량 기체 분배 판들(220) 상의 중합체의 누적을 억제할 때 추가적인 도움으로써, 상기 판(220)의 상기 출구 표면(220a)는 거리 d만큼 상기 천장(210)의 표면 아래로 조금 연장한다. 상기 거리 d는 바람직하게는 약 0.02 인치 내지 0.03 인치이거나 상기 기체 분배 판(220)의 두께의 일부이다. 도 12의 상기 확장된 단면도는 상기 기체 입구들(225)이 상기 미량 기체 분배 판(220)을 통하여 전체적으로 통과하는 비스듬한 구멍들인 하나의 실시예를 도시한다. 프로세스 기체는 상기 천장(316)에 형성된 공통적인 분기관(230)에 의해 상기 기체 입구들(225)에 공급된다. 상기 수냉각된 천장(316)의 물 재킷(240)이 또한 도 12에 도시된다. 바람직하게는, 예를 들어 알루미늄 질화물일 수 있는 열 절연 층(250)이 상기 미량 기체 분배 판(220)과 상기 천장(316) 사이에 사출된다.
제어된 중합체 누적이 예를 들어 산화물 에치 프로세스와 같이 바람직한 실시예에서, 상기 챔버 내부를 벗겨 내거나 상기 챔버 내부를 오염시키는 것으로부터 사실상 영향 받지 않는 매우 하드 필름으로서 중합체가 상기 제 1 라이너(134)의 노출된 표면 상에 누적되도록, 상기 제 1 라이너(134)는 충분히 낮은 온도로 유지된다. 상기 열적으로 분화된 미량 기체 분배 판들(220)과 노즐들(350)은 그 위에 중합체가 누적되는 것을 억제하기 위해 충분히 높은 온도로 상기 플라즈마에 의해 가열된다. 그러므로, 상기 기체 입구들(225)은 어떠한 중합체도 없이 유지된다. 상기 미량 기체 분배 판들(220)과 노즐들(350)의 작은 크기가 상기 플라즈마가 그것들을 중합체 침전 온도 위로 충분히 가열하게 해 준다. 상기 작은 크기는 또한 상기 작은 표면(220a) 위의 기체 입구들의 농도가 상기 표면(220a), 입구들(225), 또는 노즐들(350) 상의 중합체의 누적을 더욱 억제하기에 충분한 기체 난기류를 제공하게끔 한다. 상기 기체 난기류는 상기 미량 기체 분배 판(220)의 상기 기체 입구들(225)의 각각으로부터 기체 분무 경로들의 교차된 또는 와동 패턴을 제공하고, 상기 천장(316) 아래에 상기 출구 표면(220a)을 가짐으로써 강화된다.
상기 최소화된 크기의 노즐의 또다른 장점은 상기 노즐 크기가 상기 온도 제어되는 리드에 비해 작기 때문에, 상기 프로세싱 볼륨에서 형성되는 플라즈마가 상기 온도 제어되는 리드 표면과 접촉하게 되고, 이로써 위에서 설명된 바와 같이 상기 리드에의 부산물 접착을 개선할 것이라는 것이다. 상기의 모든 특징들의 결합은 상기 미량 기체 분배 판(220) 또는 상기 다양한 노즐 실시예들의 어떠한 부분 상에서도 관찰 가능한 중합체 누적을 막는다.
도 8은 상기 웨이퍼(10)의 둘레 위의 네 개의 대칭적으로 간격지어진 위치들에서 상기 제 1 라이너(134) 상에 탑재된 네 개의 미량 기체 분배 판들(220)이 있는 실시예를 도시한다. 도 8은 또한 상기 천장의 표면 상에 형성된 다수의 반구형의 범프들(bumps)을 도시한다. 이러한 범프들은 약 0.5 mm 내지 약 1.5 mm 높이이고, 약 1 mm 떨어져 간격지어진다. 범프들(300)은 "접착을 개선하기 위한 챔버 표면 변경"이라고 칭해지는 다음의 섹션에서 아래에 보다 상세히 설명되는 상기 챔버 표면 텍스쳐링의 또다른 대체적인 실시예이다. 물론, 부가적인 미량 기체 분배 판들(220) 또는 노즐들(350)이 다른 실시예들이나, 도 4 및 도 8에서 도시되는 상기 배열로부터 변형된 위치에서 제공될 수 있다.
Ⅳ. 접착을 개선하기 위한 챔버 표면 변경
본 발명의 또다른 장점은 챔버 표면들 상에 침전된 부산물들의 접착을 개선하기 위한 챔버 표면 토포그래피의 사용이다. 예를 들면, 종래의 플루오르화 탄소에 기초하는 산화물 피쳐들의 플라즈마 에치에서, 중합체의 부산물 형성이 일반적이다. 도 2를 참조하면, 예를 들어, 부산물 침전이 상기 두 개의 라이너들(118 및134)과 상기 플라즈마(148)에 노출된 리드(102)의 표면들 상에서 일어날 것이다. 상기 침전물들이 어떤 두께로 누적된 후에, 상기 침전물들은 상기 리드와 상기 챔버 라이너들로부터 벗겨지기 시작할 것이고, 이로써 상기 반도체 소자들이 제조되는 것이 오염될 것이다.
본 발명의 이런 관점은 프로세스 기체들에 노출되는 상기 프로세스 챔버 내의 표면들 상에 침전된 반응 부산물들 또는 다른 재료의 접착을 개선하고, 이로써 상기 챔버가 상기 표면들을 세정하는 사이의 더 긴 시간 간격 동안 작동되도록 한다고 믿어진다. 더욱이, 상기 개선된 부산물 접착 성능은 높은 부산물 형성 속도를 갖는 확대된 프로세스 기체 혼합물 포함 물질들의 사용을 촉진시킨다. 특히, 상기 온도 제어되는 라이너 및 리드의 표면과 같은 챔버 내부 표면들은 토포그래픽 피쳐들을 - 다시 말하면, 변화하는 돌출부들과 함몰부들(봉우리와 골)을 - 그 폭, 간격, 및 높이 치수들이 100 마이크론(0.1 mm)과 100 mm 사이에 있고, 바람직하게는 500 마이크론(0.5 mm) 내지 8000 마이크론(8 mm)의 범위 내에 있는 - 갖는 표면 윤곽 또는 "텍스쳐"로 제조된다. 반대로, 종래의 비드 블라스팅(bead blasting)에 의해 처리되는 표면들의 평균 러프니스는 약 4 마이크론 내지 6 마이크론(0.15 mil 내지 0.23 mil)이고, 이는 본 발명의 피쳐들보다 적어도 16 배 작다.
상기 표면의 "토포그래픽 피쳐" 또는 "상승 피쳐"에 의해 우리는 그 상승이 상기 평균 표면 상승으로부터 벗어나는 영역을 의미한다. 토포그래픽 피쳐는 볼록한 돌출부 또는 오목한 함몰부 둘 중 하나일 수 있다. 피쳐의 상기 "높이"는 상승에 있어 봉우리-대-골 편차이다. 상기 피쳐가 오목한 함몰부라면, 상기 피쳐의 높이는 상기 함몰부의 깊이이다.
우리의 텍스쳐된 표면이 적어도 두 가지 이유 때문에 상기 침전된 재료의 접착을 개선한다고 믿어진다. 하나의 이유는 수직 윤곽들(상기 평균 표평면에 수직인 윤곽들)이 상기 표면에 수직인 방향의 상기 침전된 필름 내의 압축력을 증가시키고, 이로써 열 팽창과 수축 때문에 상기 필름의 쪼개짐에 저항한다는 점이다. 두번째 이유는 텍스쳐된 표면이 상기 재료가 결합하기 위하여 평평한 표면보다 더 큰 표면적을 갖는다는 점이다.
상기 표면적은 상기 함몰부들의 깊이나 상기 돌출부들의 높이에 비례하여 증가한다. 침전된 재료의 개선된 접착에 의해 상기 표면적을 증가시키기 위하여 상기 높이 치수를 증가시키는 동안, 어떤 값을 넘어서 높이를 증가시키는 것은 불리할 수 있다. 먼저, 초과의 높이 치수는 상기 텍스쳐된 표면을 세정하기 어렵게 할 수 있다. 다음으로, 만약 상기 텍스쳐된 표면이 상대적으로 두꺼운 챔버 벽이라기보다는 얇고 제거 가능한 챔버 리드 또는 라이너라면, 초과의 높이 치수는 상기 리드 또는 라이너의 내구성(strength)과 강도(rigidity)를 감소시킬 수 있고, 이로써 우발적인 손상에 대해 보다 영향을 받기 쉬울 수 있다.
본 발명의 텍스쳐링은 상기 프로세스 챔버의 어떠한 구성요소의 표면에도 적용될 수 있다.("구성요소"에 의해 우리는 상기 챔버 내의 또는 상기 챔버 상의 어떤 물체를 의미한다.) 상기 텍스쳐링은 바람직하게는 상기 챔버 내부 내의 프로세스 기체들에 노출되고 상기 웨이퍼 위에 또는 근방에 있는 어떤 넓은 표면에 적용되어야 한다. 본 발명의 텍스쳐를 제공하는 것이 가장 중요한 상기 챔버 표면은대개는 상기 챔버 루프(roof)의 하부 표면(다시 말하면, 상기 챔버 리드(102)의 내부 표면들)이고 상기 라이너들(134 및 118)이다. 상기 챔버 루프는 상기 프로세스되는 웨이퍼 바로 위에 있기 때문에, 상기 루프의 벗겨지는 어떤 입자들도 주로 상기 웨이퍼 상에 떨어지게 될 것이고, 이로써 상기 웨이퍼 내에 결함을 야기할 것이다. 상기 챔버 측벽 또는 라이닝(lining)이 상기 웨이퍼의 주변에 매우 가깝기 때문에, 상기 측벽 또는 라이닝에서 벗겨지는 입자들이 상기 웨이퍼 상에 떨어질 위험이 높다. 상기 표면들로부터 벗겨지는 입자들이 상기 웨이퍼 상에 침전될 가능성이 적기 때문에, 상기 웨이퍼 아래에 위치된 챔버 구성요소들 상에 텍스쳐된 표면들을 제공하는 것은 훨씬 덜 중요하다.
상기 챔버 루프와 측벽 라이닝의 노출된 표면들 내의 다른 모양과 치수의 함몰부들과 돌출부들이 테스트되었다. 모든 모양들이 부드러운, 처리되지 않은 표면들 또는 비드 블라스팅에 의해 거칠어진 표면들과 비교된 침전된 재료의 크게 개선된 접착을 테스트하였다.
도 4와 함께 살펴보면, 도 13, 및 도 14는 각각 2차원 배열의 정사각형 돌출부들(60)로 구성된 텍스쳐(60)를 갖는 라이너(134)의 하부 표면(316)의 일부의 상면도와 단면도이다. 명확하게는, 구멍들(348)과 노즐들(350)이 생략되었다. 상기 돌출부들은 인접한 돌출부들 사이에 높이 H, 폭 W, 및 간격 S를 갖는다. 도 15는 상기 토포그래픽 피쳐들이 돌출부들이라기보다는 상기 표면 내의 정사각형 함몰부들이고, 상기 정사각형 함몰부들의 측면들이 상기 함몰부들 사이의 수평면에 대하여 비스듬한 각도 θ에 형성되는 텍스쳐(60a)를 도시하는데, 각 함몰부는 뾰족한꼭지점이라기보다는 평평한 바닥을 갖는 뒤집어진 4면체의 피라미드 모양이 된다. 도 16 및 도 17은 상기 함몰부들이 둥근 또는 반구형의 모양인 대체적인 텍스쳐(1605)의 상면도와 단면도이다.
도 18 및 도 19는 각각 라이너(118) 내에 다수의 둘레의 홈들(1805)로 구성된 텍스쳐의 투시도와 단면도이다.
도 20은 둘레의 홈들(1805)과 세로의 홈들(1810)을 모두 갖는 라이너(118)의 투시도이다.
각 토포그래픽 피쳐가 돌출부 또는 함몰부로 특징지어지지만, 상기 표면 상에 돌출부가 될 상기 함몰부들 사이의 면적은 같은 것으로 고려된다. 다시 말하면, 상기 돌출부들 또는 함몰부들이 상기 토포그래픽 피쳐들로 지시되는지는 임의적이다. 그래서, 예를 들어 도 17을 참조하면, 함몰부들과 돌출부들 사이의 상기 간격 S는 바람직하게는 상기 폭 W으로서의 크기와 같은 차수이어야 한다. 더욱 바람직하게는, 상기 간격 S와 폭 W은 2 또는 그보다 작은 인자만큼 달라야 한다. 유사하게, 상기 높이 H는 바람직하게는 상기 폭 W와 상기 간격 S와 같은 차수의 크기이어야 하고, 더욱 바람직하게는 다른 두 치수들의 2의 인자 내에 있어야 한다.
어떤 실시예들에서도, 우리는 날카로운 코너들은 일반적으로 상기 필름 내의 응력을 증가시키기 때문에, 상기 챔버 구성요소들의 상기 텍스쳐된 표면들 내에 날카로운 코너가 없다면 상기 텍스쳐된 표면에 상기 침전된 필름의 접착이 최대화될 것을 기대한다. 결과적으로, 상기 토포그래픽 피쳐들의 가장자리는 실제로 높은 곡률 반경을 갖는 둥근 코너들을 갖는다. 바람직하게는, 상기 곡률 반경은 130 마이크론(0.13 mm) 내지 약 500 마이크론(0.5 mm) 사이의 범위 내에 있다.
테스트 결과 - 제어
우리는 C4F8및 CO를 포함하는 종래의 플루오르화 탄소 에칭제 기체 혼합물을 사용하여, 실리콘 웨이퍼들 상에 실리콘의 필름들을 에칭하기 위한 플라즈마 프로세스를 수행하기 위해 플라즈마 에치 챔버를 사용함으로써 본 발명을 테스트하였다. 제어를 위하여, 상기 프로세스 챔버는 알루미늄 질화물 세라믹 루프와 양극 처리된 알루미늄 측벽 라이너, 부드러운(다시 말하면, 접착을 개선하기 위한 표면 텍스쳐 처리들을 갖지 않는) 둘 모두를 갖는다.
상기 에칭 프로세스는 상기 챔버 루프와 측벽들의 노출된 내부 표면들 상에 중합체 필름들을 형성하는 플루오르화 탄소 반응물들을 생산한다. 우리는 종래의 부드러운 루프와 측벽 라이너를 갖고, 상기 중합체 필름이 0.6 mm 내지 0.65 mm의 두께에 도달한 후에 이러한 표면들 상에 침전된 상기 중합체가 벗겨지기 시작한다는 것을 발견하였다. 벗겨짐이 발생하는 두께는 프로세스 변수들의 변화에 독립적이었다.
예 1 - 알루미늄 질화물 루프의 피라미드 함몰부들
우리는 0.5 인치(13 mm)의 알루미늄 질화물 세라믹의 원형 디스크로서 챔버 루프(기체 분배 판)를 제조하였는데, 여기서 우리는 상기 루프(상기 챔버 내부에노출된 표면)의 하부 원형 표면을 네 개의 사분원으로 분할하였고, 이는 상기 네 개의 사분원에서 제조된 네 개의 다른 표면 텍스쳐들을 갖는다. 상기 제 1 사분원은 부드러웠고, 상기 제 2 사분원은 실리콘 탄화물 입자들로 비드 블라스트되었다.
상기 제 3 사분원 및 제 4 사분원은 모두 도 15에서 도시된 피라미드 텍스쳐(60a)를 갖는데, 이는 제 3 사분원이 아닌 제 4 사분원에 비드 블라스팅이 적용되었다. 상기 피라미드 피쳐들의 치수들은 다음과 같았다: 각도 θ= 45도(°), 높이 H = 0.6 mm, 폭 W = 1.5 mm, 간격 S = 0.6 mm. 우리는 제 3 사분원이 그 피라미드 텍스쳐 때문에 상기 제 1 사분원의 표면적보다 30% 더 큰 표면적을 갖는다고 계산한다.
테이블 1 - 예 1
사분원 피라미드 텍스쳐 비드 블라스팅
1 없음 없음
2 없음 있음
3 있음 없음
4 있음 있음
우리는 상기 정사각형 피쳐들이 보다 넓은 표면적을 갖기 때문에, 도 14에 도시된 바와 같은 정사각형 함몰부들 또는 돌출부들(60)의 패턴이 실제로 테스트된 피라미드 형태의 함몰부들보다 바람직하다고 예측한다. 이전에 언급된 바와 같이, 우리는 상기 표면 윤곽의 상기 표면적을 최대화하는 것이 그 위에 침전된 상기 재료의 접착을 최대화하기 위해 유리하다는 예측한다.
우리는 종래의 플라즈마 에치 챔버 내의 상기 루프를 설치하였고 상기 제어에서 수행되는 동일한 플라즈마 에치 프로세스를 수행하였다. 우리는 상기 루프의제 3 사분원이 가장 좋은 중합체 접착을 나타냄을 발견하였다. 상기 부드러운 제 1 사분원에 비교하면, 우리는 상기 제 3 사분원에 침전된 재료가 벗겨지기 시작하기 전에 2.5 배 더 많은 웨이퍼들을 프로세스할 수 있었다. 이런 관점에서, 상기 제 3 사분원에 침전된 상기 중합체 층은 1.2 mm의 두께를 가졌고, 이는 벗겨짐없이 종래의 부드러운 또는 비드 블라스트된 표면 상에 침전될 수 있는 최대 중합체 두께보다 85% 더 두꺼운 것이다.
종래에는 비드 블라스팅이 침전된 재료의 접착을 개선하기 위해 사용되었기 때문에, 우리는 상기 피라미드 텍스쳐된 표면을 비드 블라스팅하는 것이 접착에 해롭다는 것을 관찰하고 놀랐다. 특히, 우리가 상기 리드 상에 1.2 mm의 중합체를 침전시킨 후 상기 테스트를 멈추었을 때, 우리는 상기 제 4 사분원으로부터 소량의 벗겨짐과 상기 제 3 사분원으로부터 전혀 벗겨지지 않음을 관찰하였다. 우리는 상기 비드 블라스팅이 상기 중합체 필름 내의 응력을 증가시키는 상기 루프의 표면 내에 날카로운 코너들을 생성하였고, 이로써 상기 필름 내의 쪼개짐을 촉진시켰다고 추측한다.
예 2 - 알루미늄 질화물 루프 내의 서로 다른 피라미드 치수들
제 2 알루미늄 질화물 루프(기체 분배 판)는 예 1에서 설명된 바와 같이 제조되었다. 상기 네 개의 사분원들은 테이블 1에 요약된 바와 같이, 서로 다른 치수들을 갖는 피라미드들로 텍스쳐되었다. 상기 제 1 사분원에서, 상기 피라미드 치수들은 예 1의 사분원(3)의 치수들과 동일하였다. 다른 세 개의 사분원들에서,상기 피라미드 함몰부들의 높이는 1.1 mm로 증가되었다. 사분원들(3 및 4)에서, 상기 수평면에 대한 상기 피라미드 벽의 각도 θ는 30도로 감소되었다. 사분원들(2 및 4)에서, 상기 폭 W와 간격 S는 각각 2.5 mm와 1.0 mm로 증가되었다. 모든 네 개의 사분원들은 상기 중합체 침전물들의 어떠한 벗겨짐도 나타내지 않았다.
테이블 2 - 예 2
사분원 각도 θ 높이 H 폭 W 간격 S
1 45도 0.6 mm 1.5 mm 0.6 mm
2 45도 1.1 mm 2.5 mm 1.0 mm
3 30도 1.1 mm 1.5 mm 0.6 mm
4 30도 1.1 mm 2.5 mm 1.0 mm
예 3 - 알루미늄 산화물 루프 내의 반구형 함몰부들
우리는 알루미늄 산화물(알루미나) 세라믹의 0.5 인치(13 mm) 두께의 판의 루프를 제조하였다. 알루미나는 알루미늄 질화물보다 훨씬 낮은 열 전도성을 갖지만, 쉽게 가공할 수 있는(machinable) 장점이 있다. 우리는 구멍 직경 W이 4 mm이고, 인접한 구멍들의 주변부들 사이의 간격 S가 1 mm인, 대략 반구형의 구멍들 또는 아치형의 단면을 갖는 구멍들의 배열을 알루미나 내에서 구멍 뚫음으로써, 도 16 및 도 17에서 도시된 함몰부들의 패턴을 생성하였다. 우리는 상기 구멍들의 깊이가 각각 1 mm 및 2 mm인 두 개의 프로토타입들을 테스트하였다. 두 프로토타입들은 상기 중합체 침전물들의 어떠한 벗겨짐도 나타내지 않았다.
예 4 - 양극 처리된 알루미늄 내의 정사각형 돌출부들
도 13 및 도 14가 정사각형의 돌출부들의 배열을 가공한 알루미늄 루프를 도시한다. 상기 섹션이 실선으로 도시되는데, 상기 동일 피쳐들 또는 돌출부들은 다수의 기체 입구들(350) 또는 미량 기체 분배 판들(220)을 갖는 상기 상부 천장(316) 내로 구현될 수 있다. 상기 알루미늄은 상기 가공 후에 양극 처리되었다. 하나의 프로토타입에서 상기 돌출부들은 1 mm의 폭 W, 1.5 mm의 높이 H, 그리고 3 mm의 간격 S를 가졌다. 제 2 프로토타입에서, 상기 돌출부들은 2 mm의 폭 W, 2 mm의 높이 H, 그리고 5 mm의 간격 S를 가졌다. 두 프로토타입들은 상기 중합체 침전물의 어떠한 벗겨짐도 나타내지 않았다.
제 2 프로토타입에서, 우리는 또한 상기 기체 분배 판 내의 상기 기체 입구 구멍들의 이행을 테스트하였다. 종래의 상기 판의 표면 위에 일정하게 분배된 기체 입구 구멍들의 배열 대신에, 우리는 상기 판 내에 단지 11개의 석영 디스크들(미도시됨)을 설치하였는데, 각 석영 디스크는 10 mm의 직경이었고, 0.6 mm 직경의 11 개의 기체 입구 구멍들을 포함하였다.
예 5 - 양극 처리된 알루미늄 내의 홈들
도 18 및 도 19는 각각 우리가 선반을 사용한 일련의 둘레의 홈들(1805)을 가공하는 양극 처리된 알루미늄으로 구성된 원통형의 측벽 라이너(118)의 투시도와 단면도이다. 각 홈은 1 mm의 폭과 1 mm의 깊이를 가졌고, 인접한 홈들은 상기 원통형 라이너의 축을 따라 3 mm만큼 떨어져서 간격지어졌다. 상기 알루미늄은 상기 가공 후에 양극 처리되었다.
도 20은 상기 문단에서 언급된 폭, 깊이, 및 간격 치수들의 둘레의홈들(1805) 및 세로의 홈들(1810)을 모두 갖는 유사한 원통형의 라이너의 투시도이다.
두 프로토타입들은 상기 중합체 침전물의 어떠한 벗겨짐도 나타내지 않았다. 그러나, 상기 도 20 실시예는 그 표면적이 도 18 및 도 19에 도시된 실시예들의 표면적보다 넓기 때문에 우월한 접착을 제공하리라고 기대된다.
도 18, 도 19, 및 도 20의 실시예들의 장점은 알루미늄에서 홈들을 가공하는 것이 대개는 이전에 설명된 다른 제조 방법들보다 값이 싸다는 것이다.
상기 다른 텍스쳐들이 제 1 라이너(134) 또는 제 2 라이너(118)에 대하여 도시되고 설명될 수 있는 동안, 여기서 설명된 상기 텍스쳐들은 라이너들(134 및 118) 중 어느 하나 또는 둘 모두에 적용될 수 있다. 대체적인 실시예에서, 라이너(134)는 라이너(118)와 다른 표면 처리를 가질 수 있다. 하나의 특정한 실시예에서, 라이너(118)가 둘레의 홈 텍스쳐(1805)를 갖는 반면, 라이너(134)는 텍스쳐(1605)를 가질 수 있다.
Ⅴ. 플라즈마 제한
본 발명의 부산물 처리 피쳐의 또다른 관점은 상기 프로세싱 영역(112) 내에 플라즈마를 포함하기 위해 플라즈마 제한 시스템을 사용하는 것이다. 상기 프로세싱 영역(112) 내에 상기 플라즈마를 포함하는 것은 상기 펌핑 볼륨(114) 내의 부산물 누적을 막는 데 도움을 준다. 펌핑 볼륨(114) 내의 부산물 누적을 감소시키는 것 또는 제거하는 것은 부산물 침전이 일어나고 잠재적으로 펌프(109)에 손상을 가할 가능성을 감소시킨다. 본 발명의 상기 플라즈마 제한 피쳐는 도 21을 참조하여 더욱 잘 인식될 수 있다.
도 21은 도 1의 에치된 챔버(100)의 확대 부분도이다. 리드(102)는 명확성을 위해 제거되었다. 진공 펌프(109)는 상기 챔버 내에서 수행되도록 의도되는 상기 플라즈마 프로세스에 적절한 레벨로 상기 챔버 내의 전체 기체 압력을 감소시키기 위해 환형의 배출 분기관과 원통형의 펌핑 채널(138)을 통하여 상기 프로세싱 볼륨(112)로부터 기체들을 배출한다. 스로틀 밸브(8)는 상기 펌핑 볼륨(114) 내에 탑재된다. 상기 스로틀 밸브(8)는 상기 펌핑 채널(138) 내의 기체 흐름에의 임피던스를 제어함으로써 상기 챔버 내의 상기 기체 압력을 조절하고, 이로써 상기 바람직한 챔버 압력을 유지하기 위해 요구되는 대로 상기 펌핑 채널에 걸쳐 상기 압력 강하를 제어한다.
분리된 라이너들에 관해 설명되는 동안, 라이너들(36 및 38)이 라이너(118)에 대하여 위에서 설명된 바와 같은 하나의 라이너로 결합될 수 있다는 것이 인식될 것이다. 라이너들(36 및 38)에 대하여 여기서 설명된 상기 플라즈마 제한 피쳐들의 각각은 라이너(118)에 적용된다는 것이 인식될 것이다. 라이너들(36 및 38)에는 도 6 및 라이너(118 및 143)에 대하여 위에서 설명된 온도 제어되는 유체를 순회시키기 위한 라이너(118)의 도관(119)과 같은 내부 도관들이 장비된다는 것이 또한 인식될 것이다.
상기 내부 라이너(38) 및 상기 외부 라이너(36)의 하부 절반은 각각 상기 환형의 배출 볼륨(114)의 상기 내부 및 외부 벽들로 기능한다. 상기 내부라이너(38)의 바닥에서 상기 환형의 플랜지(40)는 상기 환형의 배출 분기관으로부터 배출 기체들이 상기 플랜지 구멍(42)을 통하여 흐르고 그 다음에 상기 원통형 펌핑 포트(138)를 통하여 상기 스로틀 밸브(8)로 흐르도록 하는 상기 원통형 펌핑 채널(138)에 정렬된 아치형의 구멍(42)과, 상기 펌프(109)를 포함한다.
상기 설명된 챔버의 배출 채널은 환형의 배출 분기관과 원통형의 펌핑 채널을 포함한다. 상기 환형의 배출 분기관은 상기 챔버 내부와 동축 상에 있고 상기 챔버 내부의 방위각의 모든 또는 대부분의 주위로 연장한다. 상기 원통형 펌핑 채널은 하나의 방위각 위치에서 상기 배출 분기관에 결합된다. 어떤 종래의 플라즈마 챔버들은 어떠한 중간 펌핑 채널 없이 상기 배출 펌프에 상기 배출 펌프에 직접 결합된 환형의 배출 분기관을 포함한다. 다른 종래의 플라즈마 챔버들은 상기 챔버 내부의 방위각 주위로 연장하지 않는 펌핑 채널만을 사용하여 상기 챔버 내부에 상기 펌프를 결합한다. 이러한 특허의 상세한 설명에서, 상기 용어 "배출 채널" 또는 "배출 통로"는 환형의 배출 분기관 또는 펌핑 채널, 또는 상기 두 가지의 결합을 포괄한다.
배출 채널 및 플라즈마 제한용 자석
도 21 내지 도 23에서 도시되는 본 발명의 바람직한 실시예는 상기 챔버 내부 내의 플라즈마 본체가 상기 배출 펌프에 도달하는 것을 막도록 상조적으로 작동하는 기체 흐름 변류기(522, 516)와 자석 시스템(50)의 두 가지 특징을 채택한다. 아래에 상술되는 바와 같은 그 이로운 기능에 더하여, 이런 배열은 상기 펌핑 시스템에서의 중합체 침전을 피하는 동안 높은 펌핑 용량을 제공하는 것을 돕는다. 다시 말하면, 본 개시에서 설명된 바와 같이, 상기 독창적인 챔버의 하나의 특징은 상기 기체 분자들의 감소된 거주 시간을 위한 높은 흐름 펌핑 용량이다. 그러나, 유지를 위해, 상기 플라즈마가 상기 챔버의 펌핑 영역에 도달하는 것을 억제하거나 제한하는 것이 바람직하다. 아래에 설명된 배열은 이런 목표를 달성하는 데 도움을 준다.
특히, 상기 배출 분기관(30)의 내부는 상기 모든 배출 기체들이 상기 배출 분기관을 통하여 방해되지 않은 직선 경로로 흐르도록 하는 대신에, 상기 배출 기체들의 적어도 실질적인 부분을 횡단하여 변류시키는 적어도 하나의 변류기(522, 516)을 포함한다.("횡단하여"라는 용어로 우리는 상기 기체들은 상기 변류기가 없는 경우에 흐르는 경로에 수직인 방향을 의미한다.)
상기 변류기는 상기 변류기들과 상기 변류기 근방의 상기 배출 분기관의 벽들과의 상기 기체들 내의 반응성 종류의 충돌 속도를 증가시키는 배출 기체들의 흐름 내의 난기류를 생성한다. 상기 충돌은 상기 벽 상에 침전물을 생산하기 위한 상기 반응성 종류 중의 표면 반응을 촉진시킨다. 이는 상기 침전물들을 생산하는 경향이 있는 상기 반응성 종류의 배출 기체들을 고갈시키고, 이로써 상기 변류기의 배출 기체들 다운스트림 내의 상기 반응성 종류의 농도를 감소시키거나 제거하고, 그래서 상기 스로틀 밸브(8)와 펌프(109) 상의 바람직하지 않은 침전물들을 크게 감소시키거나 제거한다.
상기 변류기는 또한 대전된 입자들의 재결합을 촉진시키기 위해 상기 배출기체 내의 상기 대전된 입자들의 충돌 속도를 증가시키고, 이로써 상기 배출 기체들 내의 대전된 입자들의 농도를 감소시킨다.
게다가, 자석 시스템(50)(52 - 57)은 상기 변류기 근방의 상기 배출 분기관 내의 자기장을 생산하기 위해 상기 변류기(522, 516) 근방에 위치된다. 상기 자기장은 바람직하게는 상기 분기관을 통한 배출 기체 흐름의 방향을 횡단하여 방향지어진 실질적인 성분을 갖는다. 상기 자기장의 횡단 성분은 전자들이 양 이온들과 보다 쉽게 재결합할 수 있도록 이동하는 전자들을 횡단하여 변류시키고, 이로써 상기 배출 기체들 내의 대전된 입자들의 농도를 감소시킨다.
상기 변류기와 상기 자석 시스템은 둘 다 상기 배출 기체들 내의 대전된 입자들의 농도를 감소시키기 때문에, 상기 둘의 결합은 상기 변류기와 상기 자석 시스템의 플라즈마 다운스트림을 소멸시키기에 충분하게 상기 농도를 감소시킬 수 있다. 특히, 상기 자기장과 상기 변류기의 결합된 효과가 상기 챔버 내의 플라즈마 본체가 상기 스로틀 밸브(8)와 배출 펌프(109)에 도달하는 것을 막도록, 상기 자기장은 충분히 강하여야 하고, 상기 하나 또는 그 이상의 변류기들에 의해 야기된 난기류는 충분히 커야 한다.
상기 자기장의 플라즈마 제한 효과는 상기 자기장 없이 상기 플라즈마를 봉쇄하기 위해 요구될 배출 채널보다 더 넓은 및/또는 더 적은 굽은 배출 채널의 사용을 허용한다. 그러므로, 상기 배출 채널에 걸친 압력 강하는 상기 플라즈마를 봉쇄하기 위해 상기 배출 분기관의 굽힘에 전적으로 의존하는 선행 기술 설계와 비교하면 감소될 수 있다.
도 21 내지 도 23에서 도시되는 실시예에서, 상기 변류기는 상기 분기관의 벽들로부터 상기 배출 분기관(30)의 기체 통로 내로 확장하는, 두 개의 동축의 환형 돌출부들(522, 516)로 구성된다. 상기 상부 돌출부(522)는 상기 외부 라이너(36)로부터 방사상 내부 방향으로 확장하고, 상기 하부 돌출부(516)는 상기 내부 라이너 또는 캐소드 실드(38)로부터 방사상 외부 방향으로 확장한다. 상기 두 개의 돌출부들은 서로 방사상으로 중첩되기 때문에, 상기 배출 분기관을 통하여 직선으로 상기 배출 기체들이 이동하지 못하도록 하고, 이로써 상기 배출 기체들 내의 반응성 종류가 상기 배출 분기관의 상기 돌출부들 또는 상기 벽들 중 하나와 충돌할 가능성을 최대화한다.
우리는 "자석 시스템"을 북극과 남극을 갖는 자기 회로를 형성하기 위해 0 개, 1 개 또는 그 이상의 자기적으로 투과적인 극 부분들과 결합한 하나 또는 그 이상의 자석들로 정의한다. 도 21 내지 도 23의 실시예에서, 상기 자석 시스템(50)은 상기 환형의 배출 분기관(30)에 동축적으로 탑재되고 상기 분기관의 축을 따라 떨어져 간격지어진 두 개의 환형 자석들(52, 53)로 구성된다. 상기 두 개의 환형 자석들은 제 1 자석이 그 방사상 내부와 외부에 북극과 남극을 각각 갖는 반면, 제 2 자석은 그 방사상 외부와 내부에 북극과 남극을 각각 갖는다는 점을 제외하면 동일하다. 상기 자석 시스템(50)은 또한 상기 두 개의 자석들의 방사상 내부 말단부들 사이에 인접하고 확장하도록 상기 두 개의 자석들(52, 53)과 동축적으로 탑재된 자기적으로 투과적인 원통형의 극 부분(54)을 포함하고, 이로써 상기 두 개의 자석들 사이에 자기 경로 또는 "자기 회로"를 완성한다.
결과적으로, 상기 자석 시스템(50)의 북극(56)은 상기 제 1 환형 자석(52)의 북극인데, 다시 말하면, 상기 극 부분(54)에 인접하는 상기 극에 반대되는 상기 제 1 자석의 극이다. 상기 자석 시스템(50)의 남극(57)은 상기 제 2 환형 자석(53)의 남극인데, 다시 말하면, 상기 극 부분(54)에 인접하는 상기 극에 반대되는 상기 제 2 자석의 극이다.
상기 자석 시스템(50)은 바람직하게는 상기 자석 시스템의 상기 북극과 남극(56, 57)의 말단부들이 상기 돌출부의 방사상 외부 방향인 상기 배출 분기관 내에서 상기 기체 통로의 좁은 부분에 가능한 가깝도록 상기 하부 돌출부(516) 내에 탑재된다. 상기 배출 분기관 통로의 가장 좁은 부분에 가까운 상기 자석 시스템을 탑재하는 것은 상기 배출 기체들이 영향 받는 상기 자기장 세기를 최대화하기 위해 바람직하다.
이제 설명된 상기 자석 시스템의 바람직한 실시예는 도 21 내지 도 23에서 도시된 바와 같이 방사상 내부 방향을 가리키는 "U"의 기부 와 방사상 외부 방향을 가리키는 "U"의 개방 말단부를 갖는 U형 단면을 갖는다. 보다 명확하게는, 상기 자석 시스템의 형태는 상기 챔버의 세로축 주위로 회전되는 U형 말굽 자석의 형태이다.
도 22에서 필드 선(58)으로 나타내어진, 이러한 U형 자석 시스템에 의해 생산된 자기장 패턴은 상기 배출 분기관의 통로 내에 주로 집중되기 때문에 바람직하다. 이러한 집중은 적어도 두 가지의 장점들을 갖는다. 하나의 장점은 위에서 언급된 바와 같이, 상기 배출 기체들이 영향 받는 상기 자기장의 세기를 최대화하고,이로써 상기 자석의 플라즈마 다운스트림을 소멸시킬 때 상기 자석의 효율성을 최대화하는 것이다.
상기 U형 자석 시스템의 제 2의 장점은 상기 자기장 세기가 상기 챔버의 세로축을 따라 빠르게 감소하여, 상기 자기장 세기가 제품(workpiece, 10)에서 낮다는 것이다. 이온 충격 또는 정전하 누적(electrostatic charge accumulation)에 의해 상기 제품에 손상을 가할 위험을 최소화하기 위해, 상기 제품(10)에서의 상기 자기장 세기는 가능한 한 낮고, 바람직하게는 5 가우스(gauss) 이하이며, 더욱 바람직하게는 3 가우스 이하이어야 한다. 상기 자석 시스템은 상기 제품(10)으로부터 가능한 한 멀게 상기 자석 시스템을 위치시키기 위해 상기 상부 돌출부(522)보다는 상기 하부 돌출부(516)에 탑재되고, 이로써 상기 제품(10)에서 상기 자기장의 세기를 최소화한다.
도 24는 도 21 내지 도 23의 실시예에 대해 자석들과 극 부분들이 상호 교환된 대체적인 자석 시스템(60)을 도시한다. 특히, 상기 상부 및 하부 환형 부재들(62, 63)은 자석들보다는 자기적으로 투과적인 극 부분들이다. 상기 원통형 부재(64)는 극 부분이기보다는 자석이고, 상기 원통형 자석은 상기 상부 극 부분(62)에 인접한 그 세로축의 상부 말단부에서 북극을 갖고 상기 하부 극 부분(63)에 인접한 그 축의 하부 말단부에서 남극을 갖는다.
상기 배출 분기관의 가능한 대체적인 실시예는 상기 상부 돌출부(522)를 생략할 수 있고, 상기 하부 돌출부(516)와 상기 플라즈마를 봉쇄하기 위한 상기 자석 시스템(50)의 결합에 의한다.
또다른 대체적인 배출 분기관 설계는 상기 하부 돌출부(516)(상기 내부 라이너(38)로부터 방사상 외부 방향으로 연장하는)를 생략하고 상기 상부 돌출부(522)(상기 외부 라이너(36)로부터 방사상 내부 방향으로 연장하는) 내에 탑재된 도 25에서 도시되는 변형된 자석 시스템(51)으로 교체할 것이다. 상기 변형된 자석 시스템(51)의 상기 북극(56)과 남극(57)은 상기 돌출부(44)의 방사상 내부 말단부의 상기 기체 통로에 인접하여야 한다. 이것은 도 23 자석 시스템에서와 같은 상기 동일 자석들(52, 53)과 극 부분(54)을 사용하여 달성될 수 있지만, 도 25에서 도시된 바와 같이 상기 두 개의 자석들의 상기 방사상 내부 말단부로부터 방사상 외부 말단부로 이동된 상기 극 부분(54)으로 달성될 수 있다.
도 26은 자석들과 극 부분들이 상호 교환되는 상기 도 25 자석 시스템과 다른 대체적인 자석 시스템(61)을 도시한다.(상기 위의 도 24 실시예의 논의를 보라.)
우리는 또한 그렇지 않으면 도 21에서 도시된 상기 챔버와 같은 플라즈마 챔버 내에서 도 27에서 도시된 배출 분기관 설계를 테스트하였다. 도 27의 상기 배출 분기관은 상기 배출 채널(30)의 상기 상부 및 하부 돌출부들(522, 516) 각각 내에 탑재된 상부 및 하부 환형 자석들(68, 69)을 포함한다. 상기 상부 자석(68)은 그 방사상 내부 및 외부 말단부들에서 각각 북극과 남극을 갖는다. 상기 하부 자석(69)은 그 방사상 외부 및 내부 말단부들에서 각각 북극과 남극을 갖는다. 결과적으로, 상기 상부 자석의 북극과 남극은 상기 하부 자석의 남극과 북극에 대해 정렬된다. 상기 자기장 선들(70)에 의해 묘사된 결과적인 자기장은 상기 두 개의 돌출부들 사이의 상기 배출 분기관 채널 또는 통로의 영역에 매우 집중된다. 상기 도 21 실시예의 전술한 논의에서 설명된 바와 같이, 상기 집중은 상기 배출 기체들이 영향 받는 상기 자기장의 세기를 최대화하고 상기 제품(10)에서 상기 자기장을 최소화하기 위해 바람직하다.
상부 및 하부 돌출부들(522, 516) 사이의 다른 갭들을 갖는 상기 도 27 실시예를 테스트하는 것을 용이하게 하기 위해, 우리 프로토타입은 상기 외부 유전체 라이너(36) 아래에 환형의 유전체 스페이서(72)를 포함하였다. 더 두꺼운 스페이서(72)를 교체함으로써, 우리는 상기 상부 돌출부(522)의 높이를 증가시키고 이로써 상기 두 개의 돌출부들 사이의 갭을 증가시킬 수 있었다. 우리는 우리가 테스트한 모든 스페이서 두께에 대해 동일한 자석들(68, 69)을 사용하였다. 그래서, 우리가 더 두꺼운 스페이서를 교체하였을 때, 우리는 상기 갭을 증가시키고 상기 갭 내의 상기 자기장 세기를 감소시켰다.
이런 테스트들에서 우리는 상기 플라즈마가 상기 상부 및 하부 돌출부 사이의 갭이 0.5 인치 또는 그 이하이고 상기 갭 내의 자기장의 세기가 적어도 100 또는 150 가우스인 때 상기 하부 돌출부 아래에서 연장하지 않도록 성공적으로 봉쇄되었다는 사실을 발견하였다. 우리는 또한 상기 설명된 챔버에서, 상기 제품(10)에서의 상기 자기장 세기가, 우리가 상기 제품에의 손상의 위험을 피하기에 충분히 낮다고 고려한, 3 가우스 이하이도록 상기 자기장 세기가 상기 자석들로부터 충분히 떨어져서 빠르게 감소하였다는 사실을 발견하였다. 그러나, 우리가 상기 두 개의 돌출부들 사이의 더 넓은 갭과 그로 인한 상기 갭 내의 더 낮은 자기장 세기를테스트하였을 때, 우리는 상기 플라즈마가 성공적으로 봉쇄되지 않았음을 발견하였다.
상기 도 21 설계에서와 같이 오직 하나의 돌출부 내에 자석들을 탑재하는 것에 비교하여 상기 도 27 설계에서와 같이 두 개의 돌출부들 내에 자석들을 탑재하기 위해 더 많은 노력이 요구되기 때문에 우리는 현재 상기 도 21 실시예를 더욱 선호한다.
상기 배출 분기관의 또다른 대체적인 실시예는 상기 도 27 실시예로부터의 하나의 돌출부를 생략하는 것과 그 대응하는 자석일 것이다. 우리는 상기 상부 돌출부(522)와 상기 상부 자석(68)이 도 28에서 도시된 바와 같이 오직 상기 하부 돌출부와 자석만을 남기고 생략되는 것을 제외하고, 도 27에서 도시된 프로토타입과 동일한 프로토타입을 테스트하였다. 이 프로토타입이 상기 플라즈마가 상기 하부 돌출부 아래에 연장하는 것을 성공적으로 봉쇄하는 반면, 우리는 상기 제품에서의 상기 자기장이 바람직하지 않게 강하다고 생각하였다. 그러나, 이 실시예는 상기 제품이 이온 충격 또는 정전하 누적에 의한 손상에 지나치게 약하지 않은 반도체 제조 프로세스에서 사용하기 위해 적절할 수 있다.
더욱 일반적으로, 상기 변류기(522, 516)는 상기 배출 채널의 벽들로부터 연장하는 하나 또는 그 이상의 돌출부들일 필요는 없지만, 상기 배출 기체들 내의 실질적인 난기류를 야기하는 상기 배출 채널 내의 어떠한 구조라도 될 수 있다. 미리 설명된 바와 같이, 상기 난기류는 상기 난기류의 플라즈마 다운스트림을 소멸시키는 것을 돕기 위해 대전된 입자들의 재결합을 촉진시킬 것이고, 반응 생산물들이펌핑 성분들(8,109) 다운스트림 상보다는 상기 변류기 근방의 표면들 상에 침전되도록 그것은 반응성 종류 중 표면 반응들을 촉진시킬 것이다.
상기 변류기와 자석 시스템은 상기 바람직한 실시예의 환형의 배출 분기관에 탑재되지만, 상기 변류기와 자석 시스템은 상기 펌핑 채널(32)과 같은 상기 배출 채널의 어떤 부분에도 탑재될 수 있다.
물론, 상기 자석 시스템과 상기 배출 채널의 내부 사이의 어떤 재료들도 상기 자기장이 상기 배출 기체들에 도달하는 것을 봉쇄하는 것을 피하기 위해 비자성(non-magnetic)이어야 한다. 미리 언급된 바와 같이, 상기 바람직한 실시예에서 상기 자석 시스템이 탑재된 상기 돌출부들은 양극 처리된 알루미늄이다.
상기 챔버의 방위각 주위의 배출 기체 흐름 속도를 균등하게 하기 위해, 상기 펌핑 채널의 방위각 근방의 상기 배출 분기관의 방사상 폭을 조금 감소시키고 상기 대향하는 방위각 근방의, 즉 상기 펌핑 채널로부터 180도 떨어진 방위각 근방의 방사상 폭을 조금 증가시키는 것이 바람직하다.
상기 자기장의 방향은 본 발명의 작동에 영향을 미치지 않고 역방향으로 될 수 있다. 그러므로, 북극 및 남극에의 모든 참조 번호들은 상호 교환될 수 있다.
상기 설명된 플라즈마 챔버는 하나의 원형 반도체 웨이퍼를 프로세싱하기 위해 원형 대칭성을 갖는다. 많은 기판들 또는 직사각형 기판들을 프로세싱하기 위한 챔버들과 같은 다른 지오메트리를 갖는 플라즈마 챔버들에서, 상기 변류기와 자석 시스템과 같은 본 발명의 구성요소들은 직사각형 또는 보다 복잡한 지오메트리를 가질 것으로 예측될 것이다. 이 특허의 상세한 설명에서 사용된 바와 같이 상기 용어 "환형"은 상기 설명된 형태를 원형의 내부 혹은 외부 둘레를 갖는 형태로 제한하지 않고, 직사각형 및 더 복잡한 형태들을 포괄한다.
Ⅵ. 본 발명의 대체적인 챔버 실시예들
도 28은 본 발명의 개선점들의 실시예들을 포함하는, 용량적으로 결합된 자기적으로 강화된 반응성 이온 에치(MERIE) 챔버의 단면도이다. 도 28은 도 1의 프로세싱 시스템(50)과 동일한 시스템들을 갖는 유사한 에치 프로세싱 시스템(2800)을 도시한다. 에치 프로세싱 시스템(2800)은 MERIE 챔버(2850)를 포함한다. MERIE 챔버(2850)는 많은 짝지어진 전자석들을 가지며, 위에서 설명된 챔버(100)에 유사하다. 예를 들면, 네 개의 전자석들(2810, 2812, 2814, 및 2816)은 대개는 일반적으로 각각 적절한 전력 공급(2830, 2832, 2834 및 2836)을 갖는 챔버 측벽(106)의 교차하는 벽들 상에 각각 직사각형 배열로 탑재된다. 명확하게는, 오직 전자석들(2810 및 2812)과 그 각각의 전력 공급들(2830 및 2832)이 도 28에 도시된다. 제어기(140)의 제어 하에서, 상기 코일 쌍들(2810 및 2812 및 2814 및 2816)은 상기 웨이퍼(10) 주위에서 스텝되거나 회전될 수 있는 준정적인(quasi-static) 다방향 자기장을 협동적으로 제공한다. 전자석들(2810, 2812, 2814 및 2816)은 약 0 가우스로부터 약 150 가우스까지의 크기를 갖는 제어 가능한 자기장을 생성한다. 또한, 상기 자기장의 크기는 에치 속도를 선택하고 이온 충격을 변화시키기 위해 조절될 수 있다. MERIE 챔버 작동의 부가적인 상세한 점들은 "자기장 강화된 플라즈마 에치 반응기"로 칭해지는, 공통적으로 할당된 미국 특허 제4,842,683 호에서 제공된다.
도 28은 상기 하부 돌출부(516)만 갖는 상기 제 2 라이너(118)의 대체적인 실시예를 도시한다. 자기적 제한 시스템(52)은 하부 돌출부(516) 내에 배치된다. 상기 자기적 제한 시스템(52)이 설명되는 동안, "플라즈마 제한"으로 칭해지는 상기 섹션에서 설명된 상기 자기적 제한 시스템 중 어떤 것도 상기 라이너(118)의 상기 하나의 돌출부 실시예에서 사용하기 위해 변형될 수 있다.
도 29는 본 발명의 실시예들을 포함하는 에치 챔버의 또다른 유형의 단면도이다. 도 29는 에치 프로세싱 챔버(2950)를 갖는 에치 프로세싱 시스템(2900)을 도시한다. 프로세싱 시스템(2900)은 제 2 RF 발전기(2910) 및 임피던스 정합 회로(2915)의 부가로 도 1의 프로세싱 시스템(50)에 유사하다. 프로세싱 챔버(2950)는 평행 판(2920)의 부가로 프로세싱 챔버(100)에 유사하다. 작동 동안, RF 발전기들(150 및 2910)로부터의 RF 신호들은 제어기(140)의 제어 하에서, 임피던스 정합 회로(151 및 2915)를 통하여, 전극(105) 및 평행 판 전극(2920)에 각각 제공된다. 하나의 대체적인 실시예에서, RF 발전기들(150 및 2920)은 동일 주파수에서 RF 신호들을 제공한다. 대체적인 실시예에서, RF 발전기들(150 및 2920)은 서로 다른 주파수들에서 RF 신호들을 제공한다.
도 30은 본 발명의 실시예들을 구현하는 또다른 프로세싱 챔버의 단면도이다. 도 30은 자기적으로 강화된 에치 챔버(3050)를 갖는 에치 프로세싱 시스템(3000)을 도시한다. 프로세싱 시스템(3000)은 자기장 발생 메커니즘(3010)을 작동시키는 제어기(140)의 부가로 프로세싱 시스템(50)과 유사하다. 프로세싱챔버(3050)는 상기 자기장 발생 메커니즘(3010)의 부가로 프로세싱 챔버(100)와 유사하다. 상기 자기장 발생 메커니즘(3010)은 상기 프로세스 챔버(3050)의 원통형의 벽의 외부 둘레 표면 상에 배치된다. 상기 자기장 발생 메커니즘(3010)은 상기 웨이퍼(10)의 상부 표면에 평행한 자기장의 발생을 가능하게 하는 미리 결정된 극성을 갖는, 둘레에 배열된 다수의 영구 자석들과, 상기 프로세싱 챔버(3050) 주위로 상기 자석들을 회전시키기 위한 구동 메커니즘을 포함한다. 상기 자기장 발생 메커니즘(3010)은 회전 자기장을 발생시키고, 이는 상기 프로세스 챔버(3050)의 또는 상기 웨이퍼(10)의 수직 중심축 주위를 상기 프로세싱 볼륨(112) 영역 내에서 회전한다. 상기 자기장 발생 메커니즘(3010)에 관한 부가적인 상세한 사항들은 예를 들면 미국 특허 제 5,980,687 호에 개시된다.
도 31은 본 발명의 실시예들을 구현하는 또다른 프로세싱 챔버의 단면도이다. 도 31은 에치 챔버(3150)를 갖는 에치 프로세싱 시스템(3100)을 도시한다. 프로세싱 시스템(3100)은 제 2 RF 발전기(3110)와 제어기(140)에 의해 작동되는 임피던스 정합 회로의 부가로 프로세싱 시스템(50)과 유사하다. 프로세싱 챔버(3050)는 상기 리드(102)에 탑재되고 프로세싱 챔버(3050)는 RF 발전기(3110)로부터 프로세싱 볼륨(112) 내로 RF 전력을 인가하기 위한 유도성 부재로서 동작하는 안테나(3115)를 설비하기 위한 리드(102)의 변형들의 부가로 프로세싱 챔버(100)와 유사하다. 임피던스 정합 회로(3105)는 발전기(3110)로부터 안테나(3115)로 상기 RF 신호를 결합한다. 노즐들(350)은 안테나(3115)로부터 프로세싱 볼륨(112) 내에 형성된 플라즈마에 RF 에너지의 효율적인 유도성 결합을 하도록 하는 리드(102)의 둘레에 위치되었다. 도 31은 평평한 코일 배열 내의 안테나(3115)를 도시한다. 안테나(3115)의 다른 배열들이 가능한데, 예를 들면 고리 배열, 나선형 배열, 적층 배열, 또는 부가적으로 다수의 안테나 세그먼트들과 같은 배열들이 RF 발전기에 결합된 다수의 안테나 세그먼트의 각 안테나 세그먼트와 사용될 수 있다.
도 32는 본 발명의 개선점들을 갖는 에치 챔버의 또다른 실시예의 단면도이다. 도 32는 에치 챔버(3250)를 갖는 에치 프로세싱 시스템(3200)을 도시한다. 프로세싱 시스템(3200)은 상기 제 2 RF 발전기와 임피던스 정합 회로(3206)의 부가로 도 1의 프로세싱 시스템(50)과 유사하다. 에치 챔버(3250)는 평평한 유도성 코일(602)과 주입기 노즐들(350) 대신의 샤워헤드 유형의 기체 주입 시스템의 부가로 에치 챔버(100)와 유사하다. 상기 에치 챔버(3250)는 위에서 설명된 방식으로 상기 챔버 라이너(104)의 온도를 조절하는 온도 제어되는 챔버 라이너(104)를 갖는다. 상기 챔버(3250)는 상기 챔버 벽들(106)과 챔버 바닥(108)을 갖고 상기 프로세스 볼륨(110)을 한정하는 리드 어셈블리(3208)를 갖는다. 샤워헤드(3212)가 상기 리드 어셈블리(3208) 바로 아래에 배치된다. 프로세스 기체 및 기체 패널(105)로부터의 다른 기체들은 상기 상기 리드 어셈블리(3208) 내의 통로를 통하여 통과하고 상기 샤워헤드(3212) 내의 다수의 구멍들을 통하여 상기 챔버 볼륨(110) 내로 분산된다. 제 1 라이너(118)와 제 2 라이너(134)로 도시되지만, 상기 에치 챔버(3250)는 상기 제 1 및 제 2 라이너들(118 및 134) 중 하나 또는 둘 모두를 포함할 수 있다. 각 에치 챔버(3250)는 또한 그 안에 배치된 자기적 시스템(50)을갖는 하나의 돌출부(516)만 갖는 라이너(118)를 도시한다.
Ⅶ. 챔버 프로세스 창과 대표적인 중요한 유전체 에치 프로세스들
본 발명의 개선점들의 실시예들은 확대된 유전체 에치 프로세싱 용량을 제공한다. 다양한 개선점들을 결합함으로써 가능한 상기 유전체 에치 프로세스 창은 종래의 에치 챔버들에 의해 가능한 상기 유전체 에치 창을 포괄한다.
예컨대, 예를 들어 도 28의 MERIE 챔버(2800)와 같은 본 발명의 실시예들을 포함하는 자기적으로 강화된 반응성 이온 에치 챔버는 종래의 MERIE 프로세싱 반응기들을 능가하는 여러 프로세싱 장점들을 갖는다. 유전체 에치 프로세스들이 중합체의 부산물들을 생성하는 것은 일반적이지 않기 때문에, 본 발명의 여러 관점들이 개선된 중합체 접착 제어를 협동적으로 제공한다. 첫번째로, 상기 벽들과 캐소드 상의 직접 온도 제어 라이너들은 플라즈마 순환에 의해 야기된 열 효과들을 최소화하는 것을 돕는다. 플라즈마 순환은 상기 플라즈마가 프로세싱 동안 상기 챔버의 부분들을 가열할 때 일어난다. 중합체 접착은 일반적으로 온도를 증가시킴과 함께 감소한다. 결과적으로, 플라즈마 순환에 의해 가열된 영역들은 벗겨지는 경향이 있고 입자 오염을 야기하는 중합체 침전물들을 갖기 쉽다. 상기 라이너들의 온도를 제어하고 일정하게 감소시킴으로써, 상기 라이너들에 상기 중합체 부산물들을 접착하는 것은 개선되고 이로써 상기 중합체 부산물들이 벗겨지고 입자들을 형성할 가능성을 감소시킨다. 두번째로, 최소화된 크기의 기체 입구 노즐들(350)의 사용은 상기 노즐들이 상기 플라즈마에 의해 부산물들이 상기 노즐 개구부들 상에 형성되거나 상기 노즐 개구부들에 접착될 가능성이 감소되는 온도 이상으로 가열되는 것을 보장한다. 최소화된 기체 입구 노즐들(350)의 또다른 장점은 상기 작은 기체 입구 노즐 면적 때문에 대부분의 상기 플라즈마 및 부산물들이 상기 온도 제어되는 리드에 접촉한다는 것이다. 상기 온도 제어되는 라이너들과 접촉하는 부산물들과 마찬가지로, 상기 온도 제어되는 리드에 접촉하는 부산물들은 우선적으로 상기 온도 제어되는 리드 상에 침전되거나 상기 온도 제어되는 리드에 접착되고 상기 플라즈마 가열된 최소화된 크기의 기체 분배 노즐들 상에 침전되거나 상기 플라즈마 가열된 최소화된 크기의 기체 분배 노즐들에 접착되지 않는다. 세번째로, 상기 캐소드 및 벽 온도 제어되는 라이너들 및 상기 온도 제어되는 리드는 또한 상기 섹션 Ⅳ에서 설명된 바와 같은 피쳐들의 표면 텍스쳐링을 구현함으로써 부산물 접착을 더욱 개선할 수 있다. 그러므로, 온도 제어되는 벽 및 캐소드 라이너들 및 온도 제어되는 리드를 최소화된 기체 입구들과 함께 결합하는 것은 상기 대부분의 플라즈마 프로세싱 영역이, 바람직하게는 높은 접착 텍스쳐링을 갖고, 온도 제어되는 표면들을 포함함을 보장한다.
본 발명의 실시예들을 포함하는 프로세싱 챔버들은 약 120G만큼 높은 자기장과 약 2,500 W에 달하는 RF 에너지를 채택하는 유전체 에치 프로세스들을 가능하게 한다. 약 25,000 cc의 챔버 볼륨과 같은 높은 챔버 볼륨, 그리고 예를 들어 약 1,600 1/s 내지 2,000 1/s의 펌핑 속도를 갖는 펌프 시스템과 같은 고용량 진공 펌핑 시스템을 갖는 실시예들은 종래의 자기적으로 강화되고 반응성 이온 에치 프로세싱 반응기들에서 유용하지 않은 높은 기체 흐름 낮은 챔버 압력 프로세싱체제(high gas flow-low chamber pressure processing regime)를 가능하게 한다. 상기 높은 펌핑 속도의 하나의 장점은 반응성 종류 형성과 거주 시간을 제어하기 위한 개선된 성능이다. 거주 시간은 상기 플라즈마에서 일어나는 반응성 기체 해리의 양에 직접적으로 연관된다. 기체 분자가 플라즈마에 오래 노출될수록, 상기 기체 분자의 해리는 계속될 가능성이 크다. 그러므로, 본 발명의 실시예들을 포함하는 에치 프로세싱 반응기들은 개선된 거주 시간 제어를 가능하게 함으로써 바람직한 플라즈마 기체 혼합물들을 제공한다.
유전체 에치 프로세스들을 위한 C4F6의 사용에 대한 시도들이 이전부터 행해지고 보고되어 왔다. 그러나, 이런 보고들은 C4F6를 사용한 유전체 에치, 특히 예를 들면 6플루오르화 3부타디엔(hexafluoro-1, 3-Butadiene)(CF2=CFCF=CF2)과 같은 C4F6의 선형 형태에 대하여, 본 발명의 반응기와 같은 평행판 반응기를 사용하는 것과는 거리가 멀게 행해졌다. 더욱이, 상기 발명자들의 최선의 지식에도 불구하고, 상기 보고된 시도들 중 어떤 보고들도 생산 라인에 성공적으로 응용되지 않았다.
예를 들면, Yanagida는 미국 특허 제 5,338,399 호에서 선형 (C4F6)보다는 6플루오르화사이클로부텐(hexafluorocyclobutene)(c-C4F6) 고리의 사용을 개시한다. 반면에, 미국 특허 제 5,366,590 호에서 Kadamura는 고리 C4F6의 선형 형태도 사용될 수 있지만, ECR 플라즈마 소스, 유도적으로 결합된 플라즈마 소스, 또는 플라즈마 소스에 결합된 변압기를 사용하여 생성된 바와 같은 고밀도 플라즈마가 기체에사용되어야만 한다고 제안한다. 유사하게, 일본 출원 Hei 9[1997]-191002에서 Fukuda는 또한 선형 C4F6로 그의 연구를 개시하는데, 이는 ECR 플라즈마 소스를 사용하여 생성된 고밀도 플라즈마를 사용한다. Chatterjee 등은 6플루오르화 2부틴(hexafluoro-2-buttyne) 및 6플루오르화 3부타디엔(hexafluoro-1, 3-Butadiene)으로 그들의 연구를 개시하는데, 이는 또한 ECR 플라즈마 소스를 사용하여 생성된 고밀도 플라즈마를 사용한다. 유전체 에치 응용을 위한 포화되지 않은 플루오르화 탄소의 평가, Ritwik Catterjee, Simon Karecki, Laura Pruette, Rafael Reif, Proc. Electrochem. Soc. PV 99-30(1999). 그러므로, 상기 선행 기술은 6플루오르화 3부타디엔(hexafluoro-1, 3-Butadiene)과 같은 선형 C4F6를 사용하여 만족스러운 에치 결과들을 달성하기 위해, 고밀도 플라즈마를 사용하여야 하고, 용량적으로 결합된 플라즈마 소스를 사용하여 달성된 낮은 밀도이거나 중간 밀도인 플라즈마를 사용하면 안된다.
그러나, 본 발명자들은 본 발명의 용량적으로 결합된 플라즈마 소스 내의 선형 C4F6를 사용한 에칭의 우수한 결과들을 보여주었다. 본 발명자들은 상기 고밀도 플라즈마 챔버들에 의해 생성된 상기 높은 에너지가 상기 선형 C4F6의 잉여의 해리를 야기한다고 믿는다. 그러므로, 그들은 상기 분자들의 해리를 제한하도록, 개선된 결과들은 용량적으로 결합된 챔버를 사용하여 달성될 수 있다고 믿는다. 또한, 본 발명자들은 상기 독창적인 에치 챔버에 의해 가능하게 되는 높은 펌핑 용량을 사용함으로써 해리를 더욱 제한한다.
이론에 의해 속박되길 원하지 않지만, 예를 들어 선형 C4F6와 같은 에칭제 기체가 프로세싱 챔버의 상기 플라즈마 영역에 들어가서 상기 플라즈마에 노출되기 때문에, 상기 기체는 더 작게 쪼개지거나 해리된다. 일반적으로, 플루오르화 탄소 기체에 대하여, 더 긴 거주 시간이 상기 플루오르화 탄소 래디칼 CFx *의 증가된 단편을 생산하는 반면, 더 짧은 거주 시간이 상기 바람직한 플루오르화 탄소 래디칼 CFx *의 증가된 퍼센티지를 생산하기 위한 성능을 제공한다. 너무 많은 플루오르 래디칼 생산은 포토레지스트 선택도를 감소시키거/감소시키거나 측벽 제어 프로파일을 감소시킬 수 있다. 출원인들은 포토레지스트 선택도가 일반적으로 약 70 ms 이하의 거주 시간으로 개선되고, 바람직하게는 약 50 ms 이하의 거주 시간으로 개선된다는 것을 발견하였다. 출원인들은 산화물 에치 속도가 약 40 ms의 거주 시간으로 개선된다는 것을 발견하였다. 상기 거주 시간은 본 발명의 상기 프로세싱 반응기에 의해 가능해지고 용량적으로 결합된 RIE 모드 내에서 선형 C4F6를 사용하여 에칭을 가능하게 한다.
기체 혼합물 내의 래디칼 형성의 정도를 제어하는 또다른 유용한 방법은 불활성 기체를 상기 반응성 기체 혼합물로 혼합하는 것에 의한다. 상기 반응성/불활성 기체 혼합물이 플라즈마에 노출될 때 반응성 기체 혼합물 내의 불활성 기체의 양을 증가시키는 것은 상기 반응성 기체로부터 형성된 래디칼들의 양을 감소시킨다고 믿어진다. 약 5:1 내지 약 20:1의 반응성 기체 흐름 속도 대 불활성 기체 흐름속도의 비율이 바람직하다. 전체 기체는 약 12:1 내지 보다 바람직한 약 16:1의 반응성 기체 흐름 대 불활성 기체 흐름 비율로 약 50 sccm 내지 약 1,000 sccm의 범위 내에서 흐른다.
본 발명의 실시예들을 포함하는 유전체 에치 챔버들은 2,500 W에 달하는 RF 전력, 약 0 가우스 내지 약 150 가우스의 자기장, 약 40 sccm 내지 약 1,000 sccm의 전체 기체 흐름, 약 20 mT 내지 약 250 mT의 챔버 압력 및 약 20℃ 내지 약 50℃의 범위 내에 있는 라이너 온도를 포함하는 유전체 에치 프로세스 창을 가능하게 한다. 도 33 내지 도 38에 관하여 아래에 설명된 바와 같이, 본 발명의 실시예들을 포함하는 에치 반응기들에 의해 가능해진 상기 확대된 프로세스 창은 개선된 유전체 에치 프로세스 성능, 신뢰도 및 매우 다양한 중요한 유전체 및 산화물 에치 응용들을 위한 프로세스 전환 융통성을 제공한다.
대표적인 자가 정렬된 접점 피쳐는 도 33A와 도 33B에서 도시되는데, 스케일되지 않는다. 도 33A는 에치 전 자가 정렬된 접점 구조(3300)를 도시한다. 도 33B는 에치 후 자가 정렬된 접점 구조(3305)를 도시한다. 자가 정렬된 접점 구조(3300 및 3305) 모두 실리콘 기판(3310) 상에 형성된다. 일반적으로, 워드 선(3315)는 대개 산화물 층(3316), WSix층(3317) 및 폴리실리콘 층(3318)을 포함한다. 워드 선(3315)은 대개 실리콘 질화물로 형성되는 라이너 층(3320)에 의해 덮여진다. 대표적인 비트라인(bitline) 영역(3325)은 인접한 워드 선(3315) 사이에 도시된다. 유전체 층(3330)은 라이너 층(3320) 위에 형성되고 대개는 예를 들어O3-TEOS 기초 프로세스로 형성된 산화물 층과 같은 실리콘 산화물로 형성된다. 대체적으로, 상기 유전체 층(3330)은 예를 들어 붕소 및 인 도핑된 실리콘 유리(BPSG)와 같은 도핑된 실리콘 산화물 필름으로 형성될 수 있다. 자가 정렬된 접점 피쳐(3300)는 예를 들어 항반사 코팅과 같은 다른 층들을 포함할 수 있고 패턴 층(3335)과 유전체 층(3330) 사이에서 사용될 수 있다.
또한 마스크 패턴 층(3335)은 도 33A의 에치 전 자가 정렬된 접점 피쳐(3300)에서 도시된다. 에치 전 자가 정렬된 접점 피쳐(3300)는 적절한 에치 프로세스에 노출될 때, 유전체 층(3330)이 에치되고 이로써 상기 유전체 층(3330) 상에 마스크 층(3335)의 상기 패턴을 전달한다. 도 33B에서 도시된 바와 같이, 접접 영역(3340)은 접점 영역(3325)에 인접한 유전체 층(3330)의 부분이 제거될 때 형성된다.
상기 자가 정렬된 접점 구조(3300 및 3305)의 정확한 치수들은 접점 영역(3340)의 룰들과 임계 치수들을 설계하기 위해, 예를 들어 소자 응용과 같은 많은 고려사항들에 의해 변화할 것이다. 예를 들어, 제한이 아닌 예증의 목적으로, 상기 자가 정렬된 접점 구조(3300)는 약 6,000 옹스트롬의 두께의 전체 유전체 층(3300), 약 650 옹스트롬의 두께의 라이너 층(3320) 및 약 0.25 마이크론의 패턴 개구부를 갖는 약 7,000 옹스트롬 이상의 두께의 마스크 층(3335)을 갖는 0.25 마이크론 설계 룰 소자일 수 있다. 본 발명에 의해 가능해진 상기 자가 정렬된 접점 에치 프로세스들은 약 0.25 마이크론 이하의 임계 치수들을 갖고 바람직하게는 약0.1 마이크론 내지 약 0.18 마이크론 이하의 임계 치수들을 갖는 설계 룰들을 갖는 자가 정렬된 에칭을 할 수 있다.
자가 정렬된 접점 피쳐의 에칭은 상기 워드 선 측벽에서의 에치 중단이나 잉여의 산화물을 피하기 위해 필요하기 때문에 일부분 중요한 유전체 에치 응용이다. 부가적으로, 적절한 자가 정렬된 접점 에치 프로세스는 상기 질화물 쇼울더(3345) (nitride shoulder)에 선택도를 최대화하여야 한다. 바람직하게는, 질화물 쇼울더 선택도는 약 20:1보다 크다.
적절한 자가 정렬된 접점 에치 프로세스 화학 물질은 상기 전체 기체 흐름이 약 700 sccm 이상이고 상기 불활성 기체가 전체 기체 흐름의 약 90% 이상을 포함하는 플루오르화 탄소 기체, 산소 포함 기체 및 불활성 기체를 포함한다. 반응성 기체 비율은 상기 반응성 기체 흐름 대 상기 불활성 기체 흐름의 비율을 언급한다. 이 예에서, 반응성 기체 비율은 상기 플루오르화 탄소 기체와 상기 산소 포함 기체의 상기 결합된 기체 흐름 속도 대 상기 불활성 기체 흐름 속도의 비율일 것이다. 적절한 자가 정렬된 접점 에치 프로세스는 약 12:1 내지 약 16:1의 반응성 기체 비율을 갖고, 바람직하게는 약 14.5:1의 반응성 기체 비율을 갖는다. 특정한 실시예에서, 상기 산소 포함 기체의 흐름 속도 대 상기 플루오르화 기체의 흐름 속도의 비율은 약 1.5:1 내지 약 2:1이다. 상기 챔버 압력은 약 30 mT 내지 약 40 mT로 유지되고, RF 전력은 약 1,800 W 내지 약 2,000 W로 유지되며, 상기 자기장은 약 50 G이고 상기 에치 챔버는 약 1,600 1/s 내지 약 2,000 1/s의 속도로 배출된다. 특정한 바람직한 실시예에서, 상기 에치 챔버는 초당 약 48 챔버 볼륨 내지 초당약 80 챔버 볼륨의 속도로 배출된다. 또다른 바람직한 실시예에서, 벽 또는 바람직하게는 상기 기판에 인접한 온도 제어되는 라이너의 온도가 약 50℃로 유지되는 동안, 상기 기판 지지부 또는 캐소드는 약 15℃ 내지 약 20℃로 유지된다. 특정한 바람직한 실시예에서, 상기 플루오르화 탄소 기체는 C4F6이고, 상기 산소 포함 기체는 O2이며, 상기 불활성 기체는 Ar이다.
대표적인 높은 애스펙트 비율 유전체 에치 프로세스는 도 34A 및 도 34B를 참조하여 설명될 것이다. 도 34A는 에치 전 높은 애스펙트 비율 구조(3400)를 도시하고 도 34B는 에치 후 높은 애스펙트 비율 구조(3405)를 도시한다. 구조(3400)와 구조(3405)는 모두 스케일되어 도시되지 않는다. 이러한 관계에 있어서, 높은 애스펙트 비율 유전체 에치 프로세스는 약 5:1 내지 약 6:1보다 큰 애스펙트 비율을 갖는 피쳐들의 에칭으로 정의되는 반면, 매우 높은 애스펙트 비율 프로세스는 약 10:1 내지 약 20:1의 범위 내의 애스펙트 비율을 갖는 피쳐들의 에칭으로 정의된다. 예를 들면, 도 34B의 상기 피쳐(3430)의 애스펙트 비율은 상기 피쳐 폭(3426)에 대한 상기 유전체 층 두께(3422)의 비율이다. 본 발명의 실시예들을 포함하는 자기적으로 강화된 반응성 이온 에치 챔버들은 높은 애스펙트 비율 피쳐들과 매우 높은 애스펙트 비율 피쳐들 모두를 에칭 가능하다.
이제 도 34A를 살펴보면, 실리콘 기판(3410) 위에 형성된 중단 층(3415)을 포함하는 대표적인 에치 전 높은 애스펙트 비율 구조(3400)가 도시된다. 두께(3422)를 갖는 유전체 층(3420)은 상기 중단 층(3415) 위에 형성된다. 마스크층(3425)은 상기 유전체 층(3420) 위에 형성된다. 중단 층(3415)은 예를 들어 실리콘 질화물과 같은 적절한 중단 층 재료로 형성될 수 있다. 물론, 중단 층 재료의 특정한 유형은 상기 소자 유형과 특정한 소자의 설계 룰들에 의할 것이다.
도 34B는 높은 애스펙트 비율 피쳐(3430)를 포함하는 에치 후 높은 애스펙트 비율 구조(3405)를 도시한다. 높은 애스펙트 비율 피쳐(3430)는 유전체 층(3420) 상에 마스크 층(3430)의 패턴을 전달함으로써 상기 유전체 층(3420) 내에 형성된다. 마스크 층(3425)의 상기 패턴은 아래에서 보다 상세히 설명되는 본 발명의 실시예들을 포함하는 에치 프로세싱 반응기 내에서 적절한 높은 애스펙트 비율 유전체 에치 프로세스들을 수행함으로써 유전체 층(3420) 상으로 전달된다. 특정한 피쳐 폭(3426)이 설계 룰들에 의해 변화할 동안, 일반적으로, 피쳐 폭(3426)은 약 0.25 마이크로미터로부터 약 0.1 마이크로미터로 변화한다. 상기 피쳐 깊이는 유전체 층(3420)의 두께에 대응한다.
유전체 층 두께(3422)가 증가함에 따라, 상기 마스크 층(3425) 포토레지스트 재료에의 상기 높은 애스펙트 비율 유전체 에치 프로세스의 선택도는 보다 중요해진다. 에치 중단의 가능성은 또한 유전체 층 두께(3422)의 증가와 함께 증가한다. 감소하는 피쳐 폭(3426)은 또한 접점(3430)의 적절한 측벽 프로파일을 유지하기 위한 도전이다. 접점(3430)의 휘는 또는 재진입하는 측벽 프로파일은 중단 층(34115)에 인접한 접점(3430)의 바닥에 용인 불가능할 정도의 작은 직경을 야기할 수 있다. 높은 애스펙트 비율 접점 에칭은 피쳐 폭의 감소, 접점 깊이의 증가, 포토레지스트 재료들에 대한 선택도 및 측벽 프로파일 제어에 의한 도전들 때문에중요한 유전체 에치 프로세스이다.
높은 애스펙트 비율 피쳐 에칭은 또한 예를 들어 BPSG와 같은 도핑된 실리콘 산화물을 포함하는 유전체 층(3420)에 의해 복잡해질 수 있다. 다단계 구조를 형성하는 다수의 유전체 재료들을 포함하는 유전체 층들(3420)은 또한 높은 애스펙트 비율 피쳐 에칭에 많은 도전들을 제시한다. 상기 다단계 구조의 하나의 예는 예를 들어 스택 축전기 DRAM 구조들의 둘레의 영역들에서 주로 관찰되는 피쳐들과 같은 서로 다른 깊이에서 다수의 중간 중단 층들을 포함하는 유전체 층(3420)을 갖는 피쳐 구조이다.
상기 높은 애스펙트 비율 구조(3400)의 정확한 치수들은 예를 들어 특정한 소자의 소자 응용 및 설계 룰들과 같은 많은 고려사항들에 의해 변화할 것이다. 예를 들면, 상기 대표적인 높은 애스펙트 비율 구조(3400)는 약 0.25 마이크론의 마스크(3426), 약 7,000 옹스트롬의 두께의 마스크 층(3425), 약 15,000 옹스트롬의 유전체 층 두께(3422) 그리고 약 500 옹스트롬의 중단 층(3415) 두께를 가질 수 있다. 상기의 특정한 치수들은 제한의 목적이 아닌 예증의 목적임이 인식되어야 할 것이다. 본 발명의 실시예들을 포함하는 자기적으로 강화된 반응성 이온 에치 챔버들은 높은 애스펙트 비율과 약 0.25 마이크론 내지 약 0.1 마이크론의 임계 치수들(즉, 예를 들어 접점(3426))을 갖는 약 5:1 내지 약 20:1의 애스펙트 비율을 갖는 매우 높은 애스펙트 비율 피쳐들을 에칭할 수 있다.
상기 도전들 이상을 만족시키는 적절한 높은 애스펙트 비율 유전체 피쳐 에치 프로세스 창은 약 100 G에 달하는 높은 자기장, 약 2,000 W에 달하는 높은 RF전력 및 약 500 sccm 내지 약 1,000 sccm 사이의 높은 불활성 기체 흐름을 포함한다. 증가된 자기장은 상기 마스크 층 내의 포토마스크 재료에 대한 증가된 선택도를 제공하고 측벽 휨(bowing)을 감소시킨다. 증가된 불활성 기체 흐름은 더 넓은 범위의 반응성 기체 희석을 제공하고 이로써 거주 시간과 번갈아 포토레지스트 선택도를 더욱 개선하는 반응성 종류 형성을 감소시킨다. 게다가, 자가 정렬된 접점 에칭에 대해 위에서 설명된 본 발명의 상기 증가된 펌프 속도는 거주 시간과 반응성 종류 형성의 제어를 더욱 개선하기 위하여 높은 애스펙트 비율 에칭에서 채택될 수 있다.
상기 적절한 높은 애스펙트 비율 유전체 에치 프로세스는 플루오르화 탄소, 산소 포함 기체, 및 불활성 기체를 포함하는데, 여기서 전체 기체 흐름은 약 700 sccm 이상이고 상기 불활성 기체는 상기 전체 기체 흐름의 약 90 퍼센트 이상을 포함한다. 적절한 높은 애스펙트 비율 유전체 에치 프로세스는 약 10:1 내지 약 15:1의 반응성 기체 비율을 갖는다. 특정한 실시예에서, 상기 산소 포함 기체의 흐름 속도 대 상기 플루오르화 탄소의 흐름 속도의 비율은 약 1.5:1이다. 특정한 실시예에서, 에칭을 위해 사용된 상기 기체 혼합물은 상기 전체 기체 흐름의 약 3 퍼센트 내지 약 6 퍼센트를 제공하는 플루오르화 기체 흐름, 상기 전체 기체 흐름의 약 1 퍼센트 내지 약 4 퍼센트를 포함하는 산소 포함 기체 그리고 상기 전체 기체 혼합물 흐름의 90 퍼센트 이상을 차지하는 불활성 기체를 포함한다.
상기 특정한 실시예에서, 상기 챔버 압력은 약 20 mT 내지 약 60 mT 사이에서 유지되고, 상기 RF 전력은 약 1,000 W 내지 약 2,000 W 사이에 있으며, 상기 자기장은 약 100 G에서 유지되고, 상기 에치 챔버는 초당 약 48 챔버 볼륨 내지 초당 약 80 챔버 볼륨의 속도로 배출된다. 또다른 바람직한 실시예에서, 상기 기판 지지부는 약 -20도에서 유지되는 반면, 벽 또는 바람직하게는 직접적인 온도 제어 라이너는 약 15도에서 유지된다. 특정한 바람직한 실시예에서, 상기 플루오르화 탄소 기체는 C4F6이고, 상기 산소 포함 기체는 O2이며, 상기 불활성 기체는 Ar이다.
도 35A 및 도 35B는 각각 대표적인 금속 수단 에치 전 및 금속 수단 에치 후 구조들(3500 및 3505)을 도시한다. 일반적으로, 금속 수단 에치 프로세스들은 전자 소자 내의 금속 층들 사이에 상호 연결 구조들을 형성할 때 중요하다. 대개는, 금속 수단 에치 동안 상기 유전체 재료 내에 형성된 상기 수단은 예를 들어 알루미늄 기초 금속화 설계에서 일반적으로 사용되는 텅스텐 플러그와 같은 금속에 의해 나중에 충전된다. 적절한 금속 수단 에치 프로세스들은 상기 장벽 층(3515)에 대해 선택적이거나 대체적으로, 상기 밑에 있는 금속 층(3510)에 대해 선택적이다.
도 35A는 금속 층(3510) 위에 형성된 에치 전 금속 수단 충전 구조(3500)를 도시한다. 예를 들어 티타늄과 티타늄 질화물을 포함하는 층과 같은 장벽 층(3515)은 금속 층(3515) 위에 형성되고 유전체 층(3520)을 금속 층(3510)으로부터 분리한다. 상기 유전체 층(3520)은 대개는 TEOS 기초 실리콘 이산화물이고 대체적으로 HDP-CVD 실리콘 이산화물 필름일 수 있다. 도 35A는 또한 마스킹 층(3530) 아래의 항반사 코팅 층(3525)의 사용을 도시한다.
유전체 층(3520)의 두께와 접점 수단(3535)의 폭과 같은 금속 수단 에치 구조들(3500 및 3505)의 특정한 치수들은 수단 구조의 유형과 특정 소자 내에서 사용된 상기 설계 룰들에 의해 변화한다. 예를 들면, 상기 0.25 마이크론 피쳐 소자는 약 500 옹스트롬의 두께이고 티타늄 질화물로 형성되는 장벽 층(3515)을 갖는 약 10,000 옹스트롬의 두께이고 TEOS로 형성되는 유전체 층(3520)을 가질 수 있다. 본 발명의 실시예들을 포함하는 에치 반응기들은 약 0.25 마이크론 내지 약 0.1 마이크론의 임계 치수들을 갖는 접점 수단과 약 5:1에 달하는 애스펙트 비율을 갖는 수단을 에칭할 수 있다.
적절한 금속 수단 에치 기체 혼합물 화학 물질은 플루오르화 탄소 기체, 산소 포함 기체 및 불활성 기체를 포함하는데, 여기서 상기 전체 기체 흐름은 약 500 sccm 이하이다. 특정한 실시예에서, 상기 불활성 기체 흐름 속도는 상기 전체 기체 혼합물 흐름의 약 85 퍼센트를 제공하고 상기 반응성 기체들에 대한 상기 불활성 기체의 비율(즉, 상기 플루오르화 탄소 기체와 상기 산소 포함 기체의 결합된 흐름 속도 대 상기 불활성 기체 흐름 속도의 비율)이 약 4:1 내지 약 6:1 사이에 있다. 특정한 바람직한 실시예에서, 상기 플루오르화 탄소 기체는 상기 전체 기체 혼합물 흐름의 약 9.5 퍼센트를 제공하고, 상기 챔버는 약 20 mT에서 유지되며, 상기 RF 전력은 약 1,500 W이고, 상기 자기장은 약 50 가우스이며 상기 기판 지지부와 벽, 또는 바람직하게는 상기 기판 지지부에 인접한 온도 제어 라이너는 거의 동일한 온도에서 유지된다.
대체적인 실시예에서, 금속 수단 에치 프로세스를 위한 상기 기체 혼합물은 1:3의 플루오르 대 탄소의 비율을 갖는 제 1 플루오르화 탄소 기체, 약 2:1의 플루오르 대 탄소 비율을 갖는 제 2 플루오르화 탄소 기체 그리고 상기 기체 혼합물의 전체 기체 흐름이 약 200 sccm 내지 약 300 sccm 사이에 있는 어떤 불활성 기체를 포함한다. 특정한 바람직한 실시예에서, 상기 제 1 플루오르화 탄소 기체는 상기 전체 기체 혼합물 흐름의 약 14 퍼센트 내지 약 18 퍼센트를 포함하고, 상기 제 2 플루오르화 탄소 기체는 상기 기체 혼합물 전체 흐름의 약 13 퍼센트 내지 약 16 퍼센트를 포함한다. 특정한 바람직한 실시예에서, 상기 불활성 기체 흐름 속도 대 상기 제 1 플루오르화 탄소 기체 흐름 속도의 비율과 상기 불활성 기체 흐름 속도 대 상기 제 2 플루오루화 탄소 기체 흐름 속도의 비율은 약 0.2 내지 약 0.25 사이에 있다. 또다른 특정한 실시예에서, 상기 제 1 플루오르화 탄소 기체는 C2F6이고, 상기 제 2 플루오르화 탄소 기체는 C4F8이며, 상기 불활성 기체는 Ar이고, 상기 챔버는 약 200 mT 이하에서 유지되며, 상기 RF 전력은 약 1,800 W이고, 상기 자기장은 약 30 G이며, 상기 챔버는 초당 약 1,600 리터 내지 초당 약 2,000 리터의 속도로 배출된다.
도 36A 및 도 36B는 마스크 개방 응용의 대표적인 피쳐 구조들을 도시한다. 도 36A 및 도 36B는 스케일되어 그려지지 않았다. 예를 들면 실리콘 질화물과 같은 어떤 마스크 재료들은 다른 마스크 재료들보다 에치되기 훨씬 어려워서 "하드 마스크"로 언급된다. 도 36A는 하드 마스크 에치 전 구조(3600)를 도시한다. 하드 마스크의 층(3615)은 매우 다양한 다른 층들 및 재료들 위에서 형성될 수 있지만, 도 36A 및 도 36B는 예를 들어 실리콘 질화물과 같은 적절한 하드 마스크 재료로 형성된 실리콘 기판(3610) 상에 직접 침전된 하드 마스크 층(3615)을 도시한다. 질화물 하드 마스크들은 예를 들면 능동 영역 하드 마스크 에칭과 디드 전도체(deed conductor) 하드 마스크 에칭을 포함한다. 도 36A는 또한 포토마스크 패턴 층(3625) 아래에서의 항반사 코팅 층(3620)의 사용을 도시한다. 도 36B는 하드 마스크 에치 후 구조(3605)를 도시하는데 여기서 포토마스크 층(3625)의 상기 패턴은 본 발명의 실시예들을 포함하는 에치 프로세싱 챔버에서 수행되는 적절한 하드 마스크 에치 프로세스에 의해 하드 마스크 층(3615)으로 전달된다.
상기 적절한 하드 마스크 개방 프로세스 화학 물질은 수소화 플루오르화 탄소(hydrofluorocarbon) 기체, 플루오르화 탄소 기체 및 산소 포함 기체를 포함하는 기체 혼합물을 포함하는데, 여기서 상기 기체 혼합물의 전체 기체 흐름은 약 50 sccm 내지 약 200 sccm 사이에 있다. 특정한 실시예에서, 상기 수소화 플루오르화 탄소 기체는 상기 전체 기체 혼합물 흐름 속도의 약 절반 이상을 포함하고 상기 산소 포함 기체 흐름 속도는 상기 전체 기체 혼합물 흐름 속도의 약 15 퍼센트 이하를 포함한다. 또다른 특정한 실시예에서, 상기 플루오르화 탄소 기체의 흐름 속도 대 상기 수소화 플루오르화 탄소 기체의 흐름 속도의 비율은 약 1.5:1이다. 또다른 특정한 실시예에서, 상기 산소 포함 기체의 흐름 속도 대 상기 결합된 수소화 플루오르화 탄소 기체 흐름 속도와 상기 플루오르화 탄소 기체 흐름 속도의 비율은 약 5.5:1이다.
특정한 바람직한 실시예에서, 상기 수소화 플루오르화 탄소 기체는 CHF3이고, 상기 플루오르화 탄소 기체는 CF4이며, 상기 산소 포함 기체는 O2이고, 상기 프로세스 챔버 내의 압력은 약 20 mT 내지 약 80 mT 사이에서 유지되며, 상기 RF 전력은 약 500 W이다. 또다른 특정한 실시예에서, 상기 기판 지지부는 인접한 벽 또는 바람직하게는 온도 제어되는 라이너의 온도보다 섭씨 약 15도 정도 높게 유지된다.
도 37A 및 도 37B는 각각 에치 전 스페이서 구조(3700) 및 에치 후 스페이서 구조(3705)를 도시한다. 도 37A 및 도 37B는 스케일되어 도시되지 않았다. 에치 전 스페이서 구조(3700)는 실리콘 기판(3710)의 상부 상의 하층(3720) 위에 형성된 피쳐(3715)를 도시한다. 유전체 층(3725)은 상기 피쳐(3715)와 상기 하층(3720) 모두 위에 형성된다. 도 37B의 에치 후 스페이서 구조(3705)는 아래에 상세히 설명되는 적절한 스페이서 에치 프로세스를 수행한 후에 형성된다. 스페이서 에치 후 구조(3705)에서, 스페이서 피쳐(3725)는 상기 피쳐(3715)의 상부 부분을 노출시키고 상기 하층(3720)의 대부분을 제거시키도록 유전체 층(3725)을 에칭함으로써 형성된다. 대표적인 스페이서 구조에서, 피쳐(3715)는 폴리실리콘으로 형성될 수 있고 상기 하층(3720)은 실리콘 이산화물로 형성될 수 있다.
일반적으로, 스페이서 에치 프로세스들은 상기 하층(3720)에 대한 선택도에 기초한 두 개의 카테고리로 분할될 수 있다. 예를 들면, 위에서 설명된 스페이서 구조에서, 상기 스페이서 에치 프로세스는 밑에 있는 실리콘 이산화물 층에 선택적이다. 대체적으로, 유전체 층(3725)과 밑에 있는 층(3720) 모두의 제거가 바람직할 때, 상기 실리콘 기판(3710)에 선택적인 스페이서 에치 프로세스는 실리콘 기판(3710)에 도달 시 중단하기 전에 유전체 층(3725)과 밑에 있는 층(3720) 모두를 에치하기 위해 사용될 수 있다.
적절한 스페이서 에치 프로세스 화학 물질을 형성하기 위해 사용되는 상기 기체 혼합물은 수소화 플루오르화 탄소 기체, 플루오르화 탄소 기체, 산소 포함 기체 및 상기 기체 혼합물의 전체 기체 흐름을 갖는 불활성 기체를 포함하고, 상기 전체 기체 흐름은 약 50 sccm 내지 약 200 sccm이다. 특정한 실시예에서, 상기 수소화 플루오르화 탄소 기체 흐름은 상기 전체 기체 흐름의 약 40 퍼센트 이상을 포함하고 상기 산소 포함 기체는 상기 전체 기체 혼합물 흐름 속도의 약 5 퍼센트 이하를 포함한다. 또다른 특정한 실시예에서, 상기 플루오르화 탄소 기체 흐름 대 상기 수소화 플루오르화 탄소 기체 흐름의 비율은 약 2.5:1이다. 또다른 특정한 실시예에서, 상기 불활성 기체의 흐름 속도 대 상기 수소화 플루오르화 탄소 기체와 상기 플루오르화 탄소 기체의 결합된 흐름 속도는 약 1.75:1이다.
특정한 바람직한 실시예에서, 상기 플루오르화 탄소는 CF4이고, 상기 수소화 플루오르화 탄소 기체는 CHF3이며, 상기 산소 포함 기체는 O2이고, 상기 불활성 기체는 Ar이며, 상기 에치 챔버의 압력은 약 20 mT 내지 약 80 mT 사이에서 유지되고, 상기 RF 전력은 약 400 W이며, 상기 기판 지지부는 인접한 벽 또는 바람직하게는 인접한 직접 온도 제어되는 라이너보다 섭씨 약 25도 높은 온도에서 유지된다.
도 38A 및 도 38B는 이중 다마스크 피쳐들을 에칭하기 위한 대표적인 구조들을 도시한다. 도 38A는 이중 다마스크 유전체 에치 전 구조(3800)를 도시하고 도 38B는 이중 다마스크 유전체 에치 후 구조(3805)를 도시한다. 도 38A 및 도 38B는 스케일되어 도시되지 않았다.
도 38A는 예를 들어 구리 층(3810)과 같은 금속 층 안 위에서 형성된 기본적인 이중 다마스크 구조를 도시한다. 소위 트렌치 유전체 층(3830)과 수단 유전체 층(3820)의 두 유전체 층들은 아래에 보다 상세히 논의되는 바와 같이 적절한 이중 다마스크 유전체 에치 프로세스 동안 에치된다. 바닥 질화물 층(3815)은 상기 수단 유전체 층(3820)으로부터 상기 구리 층(3810)을 분리한다. 중간 질화물 층(3825)은 상기 수단 유전체 층(3820)으로부터 상기 트렌치 유전체 층(3830)을 분리한다. 어떤 이중 다마스크 에치 프로세스들에서, 상기 중간 질화물 층(3825)은 상기 트렌치 유전체 층(3830)을 에칭하기 위한 중단 층으로서 사용되고 상기 바닥 질화물 층(3815)은 상기 수단 유전체 층(3820)을 에칭하기 위한 중단 층으로서 사용된다. 도 38B는 수단 피쳐(3850)와 상호 연결 피쳐(3855)를 포함하는 이중 다마스크 에치 후 구조(3805)를 도시한다. 대개는, 상기 수단 피쳐(3850)와 상기 상호 연결 피쳐(3855)는 계속적인 금속화 프로세스에 의해 충전된다.
이중 다마스크 피쳐들을 형성하기 위해 사용되는 적어도 세 가지의 기본적인 프로세스 흐름은 다음과 같다: 자가 정렬, 트렌치 퍼스트, 및 수단 퍼스트. 다른 구조들이 사용될 수 있고 사용되지만, 일반적으로 대개의 이중 다마스크 에치 프로세스들은 도 38A의 구조(3800)와 같은 에치 전 구조로 시작하고, 도 38B에 도시된 바와 같은 수단 피쳐(3850)와 상호 연결 피쳐(3855)를 갖는 구조로 종료된다.
자가 정렬된 이중 다마스크 프로세스에서, 수단 패턴은 중간 질화물 층(3825)을 개방함으로써 먼저 에치된다. 에치 중단 층으로서 바닥 질화물 층(3815)을 사용하는 계속적인 에치 단계 동안, 상기 수단 피쳐(3850)와 상기 상호 연결 피쳐(3855) 모두가 형성된다. 결국, 상기 바닥 질화물 층(3815)은 구리 층(3810)을 노출시키도록 제거된다.
트렌치 퍼스트 이중 다마스크 프로세스에서, 상기 마스크 패턴 층(3835)은 상기 상호 연결 피쳐(3855)와 상기 수단 피쳐(3850)의 상기 상부 부분(3860)을 위한 상기 패턴을 형성한다. 상기 결과적인 중간 구조는 상호 연결(3855)과 수단 피쳐(3860)의 상기 상부 부분을 포함한다. 그 다음에 이 중간 구조는 에치 중단 층으로서 바닥 질화물 층(3815)을 사용하여 수단 피쳐(3865)의 하부 부분을 형성하기 위해 패턴되고 에치된다. 그 다음에 계속적인 에치 단계는 바닥 질화물 층(3815)을 제거하고 상기 구리 층(3810)을 노출시키기 위해 사용된다.
수단 퍼스트 이중 다마스크 프로세스에서, 수단 패턴은 상기 마스크 패턴에 의해 형성된다. 상기 수단 패턴은 상기 유전체 층들(3830)과 상기 중간 질화물 층(3825) 모두에 계속적으로 전달된다. 이 단계는 수단 구조(3850)의 상기 하부 부분(3865)을 포함하는 중간 구조를 형성한다. 다음에, 트렌치 마스크 패턴은 상기 트렌치들, 즉 상기 상호 연결 피쳐(3855)와 접점 피쳐(3860)의 상기 상부 부분을 패턴하기 위한 이런 중간 구조 위에서 형성된다. 상기 바닥 질화물 층(3815)은 상기 구리 층(3810)을 노출시키면서 계속적으로 제거된다.
상기 이중 다마스크 구조들(3800 및 3805)의 정확한 치수들은 예를 들어 이중 다마스크 프로세스 반복의 유형과 특정한 소자의 상기 설계 룰들과 같은 많은 고려사항들에 의해 변화할 것이다. 상기 특정한 설계 룰들은 상기 트렌치 피쳐(3855), 수단 피쳐(3850)의 치수들과 더욱 중요하게는 접점 영역(3865)의 상기 임계 치수를 결정한다. 본 발명의 실시예들을 포함하는 에치 프로세스 챔버들은 약 0.3 마이크론 내지 약 0.25 마이크론의 임계 치수들을 갖는 이중 다마스크 구조들과 약 0.1 마이크론 내지 약 0.2 마이크론의 임계 치수들을 갖는 구조들까지 에칭할 수 있다.
적절한 이중 다마스크 트렌치 에치 프로세스 화학 물질은 약 1:3의 플루오르 대 탄소의 비율을 갖는 플루오르화 탄소 기체와 탄소와 산소를 포함하는 기체를 포함한다. 바람직한 실시예에서, 상기 기체 혼합물의 대부분은 약 200 sccm 내지 약 400 sccm 사이에 있는 상기 기체 혼합물의 전체 흐름을 갖는, 탄소와 산소를 포함하는 기체를 포함한다. 특정한 바람직한 실시예에서, 상기 기체 혼합물의 적어도 대략 60 퍼센트는 산소와 탄소를 포함하는 기체를 포함한다. 또다른 특정한 실시예에서, 상기 플루오르화 탄소 기체의 흐름 속도와 산소와 탄소를 포함하는 기체의 흐름 속도의 비율은 약 1.67:1이다. 또다른 특정한 바람직한 실시예에서, 상기 플루오르화 탄소 기체는 C2F6이고, 상기 산소와 탄소를 포함하는 기체는 CO이며, 프로세싱 챔버의 압력은 약 100 mT 내지 약 200mT 사이에서 유지되고, 상기 프로세싱 영역 내의 자기장은 약 30 G이며, 상기 RF 전력은 약 1,500 W이다.
상기 이중 다마스크 구조가 질화물 중단 층을 포함하는 대체적인 실시예에서, 적절한 이중 다마스크 에치 프로세스 화학 물질은 약 1:2의 C:F 비율을 갖는 중합화 플루오르화 탄소, 산소 포함 기체, 및 불활성 기체를 포함하는 기체 혼합물을 포함한다. 특정한 바람직한 실시예에서, 상기 불활성 기체는 상기 전체 기체 혼합물의 약 90 퍼센트 이상을 포함하고, 상기 산소 포함 기체는 상기 전체 기체 혼합물 흐름의 약 1 퍼센트 이하를 포함한다. 또다른 특정한 바람직한 실시예에서, 상기 중합화 플루오르화 탄소 기체와 상기 산소 포함 기체의 결합된 흐름 속도 대 상기 불활성 기체 흐름의 비율이 약 20:1 내지 약 22:1이다. 특정한 바람직한 실시예에서 상기 중합화 플루오르화 탄소 기체는 C4F8이고, 상기 산소 포함 기체는 O2이며, 상기 O2흐름 속도 대 상기 C4F8흐름 속도의 비율은 약 3:1 내지 4:1이다. 특정한 바람직한 실시예에서, 상기 기체 혼합물은 C4F8, O2, 및 Ar을 포함하는데, 상기 Ar 흐름 속도는 상기 전체 기체 혼합물 흐름 속도의 약 95 퍼센트 이상이고, 상기 C4F8흐름 속도는 상기 전체 기체 혼합물 흐름 속도의 약 3 퍼센트 이상을 포함하며, 상기 챔버는 약 80 mT에 유지되고, 상기 RF 전력 레벨은 약 1,800 W이며, 프로세싱 영역 내의 상기 자기장은 약 20 G이며, 상기 기판 지지부는 인접한 벽 또는 바람직하게는 온도 제어되는 라이너의 온도보다 섭씨 약 10도 높게 유지된다.
하나의 적절한 이중 다마스크 수단 에치 화학 물질은 약 2:3의 C:F 비율을 갖는 플루오르화 기체, 및 산소 포함 기체 및 불활성 기체를 포함하는 기체 혼합물을 포함한다. 특정한 바람직한 실시예에서, 상기 에치 챔버는 약 30 mT 내지 약 80 mT 사이의 범위 내에 유지되고, 상기 전체 기체 혼합물 흐름 속도는 약 300sccm 내지 약 500 sccm이며, 상기 2:3의 C:F 비율을 갖는 플루오르화 탄소 기체와 산소 포함 기체의 결합된 흐름 속도 대 상기 불활성 기체 흐름 속도의 비율은 약 5:1 내지 약 7:1이고, 더욱 바람직하게는 약 6:1이다. 특정한 바람직한 실시예에서, 상기 2:3의 C:F 비율을 갖는 플루오르화 탄소 기체는 C4F6이고, 상기 산소 포함 기체는 O2이며, 불활성 기체는 Ar이고, 상기 C4F6은 상기 전체 기체 혼합물 흐름의 약 5% 내지 9%를 포함하고, 상기 불활성 기체 흐름은 상기 기체 혼합물 흐름의 약 80 퍼센트 이상을 포함하며, 상기 챔버는 약 50 mT에서 유지되고, 상기 RF 전력은 약 1,800 W이며, 프로세싱 영역 내의 자기장은 약 50 G이다.
또다른 대체적인 실시예에서, 2 단계 이중 다마스크 수단 에치 프로세스가 사용될 수 있다. 2 단계 이중 다마스크 수단 에치 프로세스에 적절한 화학 물질은 중합화 플루오르화 탄소 기체, 수소화 플루오르화 탄소 기체, 산소 포함 기체 및 불활성 기체를 포함하는 기체 혼합물을 포함하는데, 상기 중합화 플루오르화 탄소 기체, 상기 수소화 플루오르화 탄소 기체, 및 상기 산소 포함 기체의 결합된 기체 흐름들 대 상기 불활성 기체 흐름의 비율은 약 4:1 내지 약 6:1이고 상기 제 2 단계에서 사용된 중합화 플루오르화 탄소 기체는 상기 제 1 단계의 기체보다 더 많다. 적절한 2 단계 이중 다마스크 수단 프로세스의 특정한 바람직한 실시예에서, 상기 제 1 단계 기체 혼합물은 약 3 퍼센트 이하의 중합화 플루오르화 탄소 기체, 약 4 퍼센트 내지 약 5 퍼센트의 산소 포함 기체, 약 7 퍼센트 내지 약 9 퍼센트의 수소화 플루오르화 탄소 기체 및 약 80 퍼센트 이상의 불활성 기체를 포함하는 반면, 상기 제 2 단계는 약 4 퍼센트 이상의 중합화 플루오르화 탄소 기체, 약 4 퍼센트 내지 약 5 퍼센트의 산소 포함 기체, 약 7 퍼센트 내지 약 8 퍼센트의 수소화 플루오르화 탄소 기체 및 약 80 퍼센트 이상의 불활성 기체를 포함한다. 특정한 바람직한 실시예에서, 각 에치 단계에서의 상기 전체 기체 혼합물 흐름 속도는 약 500 sccm 내지 약 1,000 sccm이고, 상기 압력은 약 50 mT이며, 상기 RF 전력 레벨은 약 2,000 W이고, 상기 프로세싱 영역에 인가된 자기장은 약 15 G이다. 또다른 특정한 바람직한 실시예에서, 상기 중합화 플루오르화 탄소 기체는 C4F6이고, 상기 수소화 플루오르화 탄소 기체는 CHF3이며, 상기 산소 포함 기체는 O2이고 상기 불활성 기체는 Ar이다.
상기 대체적인 에치 프로세스 챔버 실시예들과 위에서 설명된 중요한 에치 프로세스들은 본 발명의 상기 높은 배출 펌프 속도와 감소된 반응성 종류 거주 시간 제어 피쳐의 관점들을 구현할 수 있다는 것이 인식되어야 한다.
상기 용어들 "아래에", "위에", "상부", "위로", "아래로", "제 1", "제 2" 및 다른 위치를 나타내는 용어들은 도면의 실시예들의 관점에서 보여진 것이고 상기 프로세싱 시스템의 상대적인 방향에 의해 변화될 수 있다.
더욱이, 특히 청구항들을 포함하는 본 명세서에서, "a" 또는 "the"와 함께 "포함하는"을 사용하는 것과, 그 변형들은 상기 참조된 항목(들) 또는 목록(들)이 적어도 열거된 항목(들) 및 목록(들)을 포함하고, 더욱이 언급되지 않은 다수의 항목(들) 또는 목록(들)을 포함할 수 있음을 의미한다.
본 발명의 내용들을 구현하는 본 발명의 실시예가 여기서 상세히 도시되고 설명되지만, 당업자라면 본 발명의 본질에서 벗어나지 않고 상기 내용들을 여전히 구현하는 다른 변화된 실시예들을 쉽게 고안할 수 있을 것이다.

Claims (23)

  1. 대기보다 낮은 압력에서 기판들의 플라즈마 에칭 프로세싱을 위한 열적으로 제어되는 반응기로서,
    (a) 기체 입구, 기체 출구 및 내부 표면을 갖는 진공 챔버;
    (b) 내부 유체 통로를 갖는, 상기 내부 표면에 인접하여 배치된 열적으로 제어되는 라이너; 그리고,
    (c) 상기 진공 챔버 내에 배치된 열적으로 제어되는 기판 지지부를 포함하는 것을 특징으로 하는 열적으로 제어되는 반응기.
  2. 제 1 항에 있어서,
    상기 열적으로 제어되는 기판 지지부가 세라믹 재료로 형성되는 것을 특징으로 하는 열적으로 제어되는 반응기.
  3. 제 2 항에 있어서,
    상기 세라믹의 고유 저항이 약 5 e10Ω-cm내지 약 5 e13Ω-cm 사이에 있는 것을 특징으로 하는 열적으로 제어되는 반응기.
  4. 제 1 항에 있어서,
    상기 열적으로 제어되는 기판 지지부가:
    (a) 세라믹 기판 지지부;
    (b) 유체 채널을 갖는 지지 판; 그리고
    (c) 상기 세라믹 기판 지지부 및 상기 지지 판 사이에 배치된 열 전도성 층을 더 포함하는 것을 특징으로 하는 열적으로 제어되는 반응기.
  5. 제 4 항에 있어서,
    상기 열 전도성 층이 감압 아크릴 접착제로 형성되는 것을 특징으로 하는 열적으로 제어되는 반응기.
  6. 제 5 항에 있어서,
    상기 감압 아크릴 접착제가 금속 또는 금속 합금을 포함하는 것을 특징으로 하는 열적으로 제어되는 반응기.
  7. 제 6 항에 있어서,
    상기 금속 합금이 2붕산염 티타늄(titanium diborate)을 포함하는 것을 특징으로 하는 열적으로 제어되는 반응기.
  8. 제 1 항에 있어서,
    플라즈마 봉입 자석 배열을 더 포함하는 것을 특징으로 하는 열적으로 제어되는 반응기.
  9. 제 1 항에 있어서,
    상기 프로세싱 볼륨과 상기 펌프 사이에 흐름 검출기를 더 포함하는 것을 특징으로 하는 열적으로 제어되는 반응기.
  10. 제 9 항에 있어서,
    상기 챔버 내부에서 형성된 플라즈마가 상기 검출기를 넘어서 상기 배출 채널로 연장하는 것을 막도록 구성된 상기 검출기에 인접하여 배치된 자석 시스템을 더 포함하는 것을 특징으로 하는 열적으로 제어되는 반응기.
  11. 자기적으로 강화된 열적으로 제어되는 플라즈마 에치 챔버 내에 배치된 기판 상의 유전체 층 상에 피쳐들을 플라즈마 에칭하는 방법으로서,
    (a) 열적으로 제어되는 플라즈마 에치 챔버의 프로세싱 영역 내에 기판을 배치하는 단계;
    (b) 상기 열적으로 제어되는 플라즈마 에치 챔버의 프로세싱 영역에 인접하여 배치된 벽의 온도를 상기 벽 상의 중합체 부산물의 접착에 도움이 되는 낮은 온도를 생성하도록 제어하는 단계;
    (c) 기판 지지부의 온도를 제어하는 단계;
    (d) 프로세싱 영역에서 압력을 유지하는 단계;
    (e) 노즐 상의 중합체 부산물의 접착을 막기 위해 상기 벽보다 높은 온도에있는 상기 노즐을 통하여 기체 혼합물을 상기 프로세싱 영역 내로 흐르게 하는 단계;
    (f) 상기 기체 혼합물로부터 플라즈마를 형성하기 위해 상기 프로세싱 영역 내로 RF 에너지를 결합하는 단계; 그리고
    (g) 상기 프로세싱 영역 내의 상기 기판을 횡단하는 자기장을 제공하는 단계를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  12. 제 11 항에 있어서,
    상기 단계 (d)가 분당 약 1,400 리터 내지 약 1,800 리터의 비율로 상기 프로세싱 영역을 배기하는 단계를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  13. 제 11 항에 있어서,
    상기 단계 (c)가 상기 프로세싱 영역에 인접하여 배치된 라이너 내에서 형성된 채널 내의 유체를 순환시킴으로써 수행되는 것을 특징으로 하는 플라즈마 에칭 방법.
  14. 제 11 항에 있어서,
    상기 단계 (f)가 RF 에너지를 용량적으로 결합하는 단계를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  15. 제 11 항에 있어서,
    상기 단계 (g)가 상기 자기장을 회전시키는 단계를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  16. 제 11 항에 있어서,
    상기 자기적으로 강화된 열적으로 제어되는 플라즈마 에치 챔버의 펌핑 고리에 횡단하는 자기장을 형성하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  17. 제 11 항에 있어서,
    상기 기체 혼합물이 플루오르화 탄소, 산소 포함 기체와 불활성 기체를 포함하고, 상기 기체 혼합물의 전체 흐름은 약 400 sccm 내지 약 500 sccm 사이에 있는 것을 특징으로 하는 플라즈마 에칭 방법.
  18. 제 11 항에 있어서,
    상기 기체 혼합물이 플루오르화 탄소, 산소 포함 기체와 불활성 기체를 포함하고, 상기 기체 혼합물의 전체 흐름은 약 300 sccm 내지 약 500 sccm 사이에 있는 것을 특징으로 하는 플라즈마 에칭 방법.
  19. 제 17 항에 있어서,
    상기 플루오르화 탄소가 상기 기체 혼합물의 전체 흐름의 약 3 퍼센트 내지 약 5 퍼센트를 포함하고, 상기 산소 포함 기체가 상기 기체 혼합물의 전체 흐름의 약 1 퍼센트 내지 약 4 퍼센트를 포함하며, 상기 불활성 기체가 상기 기체 혼합물의 90 퍼센트 이상을 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  20. 제 17 항에 있어서,
    상기 불활성 기체 흐름 대 상기 플루오르화 탄소 기체 흐름의 비율은 약 0.5이고 상기 불활성 기체 흐름 대 상기 산소 포함 기체 흐름의 비율은 약 0.03인 것을 특징으로 하는 플라즈마 에칭 방법.
  21. 제 17 항 또는 제 20 항에 있어서,
    상기 플루오르화 탄소 기체는 C4F6이고, 상기 산소 포함 기체는 O2인 것을 특징으로 하는 플라즈마 에칭 방법.
  22. 제 17 항에 있어서,
    상기 플루오르화 탄소가 상기 기체 혼합물의 전체 흐름의 약 7 퍼센트 내지 약 10 퍼센트를 포함하고, 상기 산소 포함 기체가 상기 기체 혼합물의 전체 흐름의 약 4 퍼센트 내지 약 6 퍼센트를 포함하며, 상기 불활성 기체가 상기 기체 혼합물의 80 퍼센트 이상을 포함하고, 상기 플루오르화 탄소는 6플루오르화-1, 3-부타디엔(hexafluoro-1 3-butadiene)을 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  23. 제 17 항에 있어서,
    상기 기체 혼합물이 1:3의 C:F의 비율을 갖는 제 1 플루오르화 탄소, 2:1의 C:F 비율을 갖는 제 2 플루오르화 탄소, 및 불활성 기체를 포함하고, 상기 기체 혼합물의 전체 흐름이 약 200 sccm 내지 약 300 sccm 사이에 있는 것을 특징으로 하는 플라즈마 에칭 방법.
KR1020027008559A 2000-11-01 2001-11-01 확대된 프로세스 윈도우를 갖는 유전체 에칭 챔버 KR100887014B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US70497200A 2000-11-01 2000-11-01
US09/704,972 2000-11-01
US09/704,867 US6403491B1 (en) 2000-11-01 2000-11-01 Etch method using a dielectric etch chamber with expanded process window
US09/704,867 2000-11-01

Publications (2)

Publication Number Publication Date
KR20020081240A true KR20020081240A (ko) 2002-10-26
KR100887014B1 KR100887014B1 (ko) 2009-03-04

Family

ID=27107394

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027008559A KR100887014B1 (ko) 2000-11-01 2001-11-01 확대된 프로세스 윈도우를 갖는 유전체 에칭 챔버

Country Status (5)

Country Link
EP (1) EP1334514A2 (ko)
JP (1) JP2004513516A (ko)
KR (1) KR100887014B1 (ko)
TW (1) TW588401B (ko)
WO (1) WO2002037541A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101234740B1 (ko) * 2004-02-26 2013-02-19 어플라이드 머티어리얼스, 인코포레이티드 Feol 제조를 위한 인시튜 세정 챔버
KR20210004746A (ko) * 2019-07-05 2021-01-13 세메스 주식회사 상부 모듈 온도 제어 장치 및 이를 구비하는 기판 처리 시스템
KR20230159091A (ko) * 2022-05-13 2023-11-21 세메스 주식회사 기판 처리 장치

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100468203B1 (ko) * 2002-08-16 2005-01-26 어댑티브프라즈마테크놀로지 주식회사 플라즈마 에칭시스템에 구비된 돔의 온도제어장치 및 그방법
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7140374B2 (en) 2003-03-14 2006-11-28 Lam Research Corporation System, method and apparatus for self-cleaning dry etch
US7959984B2 (en) * 2004-12-22 2011-06-14 Lam Research Corporation Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
CN101680929B (zh) * 2006-05-01 2012-10-10 瓦福默控股有限公司 集成电路探测卡分析器
US7718029B2 (en) * 2006-08-01 2010-05-18 Applied Materials, Inc. Self-passivating plasma resistant material for joining chamber components
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US20130105085A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
US20140116622A1 (en) * 2012-10-31 2014-05-01 Semes Co. Ltd. Electrostatic chuck and substrate processing apparatus
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
KR101542905B1 (ko) * 2013-04-26 2015-08-07 (주)얼라이드 테크 파인더즈 반도체 장치
US9384948B2 (en) * 2013-06-13 2016-07-05 Lam Research Corporation Hammerhead TCP coil support for high RF power conductor etch systems
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US10741425B2 (en) * 2017-02-22 2020-08-11 Lam Research Corporation Helium plug design to reduce arcing
US10381200B2 (en) * 2017-03-08 2019-08-13 Applied Materials, Inc. Plasma chamber with tandem processing regions
CN111370281B (zh) 2018-12-26 2023-04-28 中微半导体设备(上海)股份有限公司 等离子体刻蚀装置
CN111446144B (zh) * 2019-01-17 2024-04-19 东京毅力科创株式会社 静电吸附部的控制方法和等离子体处理装置
JP7370228B2 (ja) * 2019-11-22 2023-10-27 東京エレクトロン株式会社 プラズマ処理装置
US11686208B2 (en) 2020-02-06 2023-06-27 Rolls-Royce Corporation Abrasive coating for high-temperature mechanical systems
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
WO1995020838A1 (en) * 1994-01-31 1995-08-03 Applied Materials, Inc. Electrostatic chuck with conformal insulator film
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
WO1998024860A1 (fr) * 1996-12-04 1998-06-11 Nitto Denko Corporation Adhesif autocollant conducteur thermique, feuille adhesive contenant cet adhesif, et procede de fixation d'une piece electronique a un element emettant un rayonnement thermique au moyen de cet adhesif
JP3979694B2 (ja) * 1997-01-22 2007-09-19 株式会社巴川製紙所 静電チャック装置およびその製造方法
KR100290264B1 (ko) * 1997-01-22 2001-09-22 호소이 쇼지로 정전처크장치 및 그 제조방법
JPH11176920A (ja) * 1997-12-12 1999-07-02 Shin Etsu Chem Co Ltd 静電吸着装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101234740B1 (ko) * 2004-02-26 2013-02-19 어플라이드 머티어리얼스, 인코포레이티드 Feol 제조를 위한 인시튜 세정 챔버
KR20210004746A (ko) * 2019-07-05 2021-01-13 세메스 주식회사 상부 모듈 온도 제어 장치 및 이를 구비하는 기판 처리 시스템
KR20230159091A (ko) * 2022-05-13 2023-11-21 세메스 주식회사 기판 처리 장치

Also Published As

Publication number Publication date
WO2002037541A2 (en) 2002-05-10
KR100887014B1 (ko) 2009-03-04
WO2002037541A3 (en) 2002-10-10
EP1334514A2 (en) 2003-08-13
JP2004513516A (ja) 2004-04-30
TW588401B (en) 2004-05-21

Similar Documents

Publication Publication Date Title
US6403491B1 (en) Etch method using a dielectric etch chamber with expanded process window
US6797639B2 (en) Dielectric etch chamber with expanded process window
KR100887014B1 (ko) 확대된 프로세스 윈도우를 갖는 유전체 에칭 챔버
US6335293B1 (en) Systems and methods for two-sided etch of a semiconductor substrate
US10964512B2 (en) Semiconductor processing chamber multistage mixing apparatus and methods
KR100898195B1 (ko) 캐소드 라이너 및 이를 구비하는 처리 챔버
US9673059B2 (en) Method for increasing pattern density in self-aligned patterning integration schemes
KR102556016B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
US9287095B2 (en) Semiconductor system assemblies and methods of operation
US7316761B2 (en) Apparatus for uniformly etching a dielectric layer
KR20180126388A (ko) 개선된 프리커서 유동을 위한 반도체 처리 챔버
TWI484577B (zh) 適合蝕刻高深寬比特徵結構之蝕刻反應器
KR20180126391A (ko) 다수의 프리커서 유동을 위한 반도체 처리 챔버
US20040025791A1 (en) Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US11056406B2 (en) Stack of multiple deposited semiconductor layers
CN110565071A (zh) 用于等离子体过滤的系统和方法
EP0945896B1 (en) Plasma etching method
US20210151300A1 (en) Substrate processing apparatus and semiconductor device manufacturing method using the same
US20010009177A1 (en) Systems and methods for two-sided etch of a semiconductor substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130130

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140129

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee