TW588401B - Method of plasma etching features on a dielectric layer on a substrate - Google Patents

Method of plasma etching features on a dielectric layer on a substrate Download PDF

Info

Publication number
TW588401B
TW588401B TW090127206A TW90127206A TW588401B TW 588401 B TW588401 B TW 588401B TW 090127206 A TW090127206 A TW 090127206A TW 90127206 A TW90127206 A TW 90127206A TW 588401 B TW588401 B TW 588401B
Authority
TW
Taiwan
Prior art keywords
gas
chamber
flow rate
page
plasma
Prior art date
Application number
TW090127206A
Other languages
Chinese (zh)
Inventor
James D Carducci
Hamid Noorbakhsh
Evans Y Lee
Bryan Y Pu
Hongqing Shan
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/704,867 external-priority patent/US6403491B1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW588401B publication Critical patent/TW588401B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A thermally controlled plasma etch chamber with an expanded processing window and having a thermally controlled chamber liner, thermally differentiated gas inlets, a high evacuation capability, a magnetic confinement and adhesion improving surface textures is provided. The chamber configured may have internal wall surfaces conditioned according to one or several surface texturing treatments adapted to improve by-product adhesion to the conditioned surface. The expanded flow capability enables short residence time for increased process window and selectivity, chamber liner configured according to the present invention flows a fluid through the one or more fluid passages formed at least partially therein and the chamber liner may comprise a first liner, a second liner or both a first liner and a second liner. A plurality of gas nozzles or mini-distribution plates having a small thermal mass and thermally differentiated from the temperature controlled liner or liners is provided to introduce process gases into the processing chamber. A plasma confinement system is provided, that prevents plasma and by-products from entering the high volume pumping channel or exhaust system components. The substrate support includes an electrostatic chuck formed from material having a predetermined resistivity, dual zone backside cooling, and a robust electrode. The expanded process window enables high flow, low pressure gas flow operating regimes with improved gaseous species residence time control, with increased RF power levels, and increased magnetic field strength.

Description

經濟部智慧財產局員工消費合作社印製 588401 A7 _____ B7 五、發明説明() 發明領璏: 本發明大體上係關於一半導體晶圓處理設備。更特定 地,本發明係關於一種介電蝕刻處理室其具有改良的熱及 副產物管理能力,改良的氣體物質停留時間的控制,及一 較大的製程窗口,包括高流率及低操作壓力。 發明背景: 所有的半導體製程所面對一項挑戰為整個業界朝向 所小特徵結構尺寸的趨勢進而造成關鍵尺寸的快速縮 小。目前的設計規則已完成小於約〇.丨8微米的特徵結構尺 寸且小於0· 1微米的特徵結構尺寸則正在發展中。 半導體製程所面臨的另一項挑戰為朝向更小的足跡 (footprint)的元件發展的趨勢。達到更小的足跡的元件的 一個方法為垂直地建構該元件結構及在某些元件中,則將 該元件的一部分建構於該基材本身中。 這些挑戰對於能夠製造高深寬比特徵結構及具有接 近次0.1微米範圍的關鍵尺寸的製程應用產生需求。 有鑑於這些挑戰,將用來製造一電子元件的無數處理 步驟中的微粒污染降至最小程度是非常關鍵的。室構件被 加以選擇且處理於低壓環境中實施用以協助降低及控制 會在處理環境中出現及/或產生的微粒。特別重要的是,在 晶圓處理期間形成在該處理内的膜層的管理。 被沉積於該處理室内的膜層為處理室内總微粒濃度 的主要來源。在許多半導體處理應用中,膜層主要是形成 第5頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公穿) ..........r—l裝.........訂.........參 (請先閲讀背面之注意事項再填寫本頁) 588401 A7 B7 五、發明説明() 在裸露的室及處理套件構件上。 ..............裝: (請先閲讀背面之注意事項再填寫本頁) 在介電質蝕刻處理期間,某些從裸露於蝕刻物中的層 上被移除的物質從處理室中被排出。然而,某些蝕刻反應 副產物形成在裸露的室表面上及被蝕刻的結構上的沉積 物。 在室表面上的沉積物隨著處理循環的重復及額外的 晶圓被處理而變厚。當沉積物的厚度增加時,該沉積物的 内應力亦隨之升高。額外的應力會因為沉積物與室表面之 間不同的熱膨脹率而產生。傳統的蝕刻室缺乏適當的熱管 理技術用以降低沉積物與室構件之間由熱謗發的應力。最 後,該等應力會造成沉積物龜裂,並將微粒釋放至室環境 中。這些膜層微粒會撞在晶圓表面上,在該晶圓的電路結 構上產生缺陷。 # 經濟部智慧財產局員工消費合作社印製 在蝕刻結構上之沉積物形成的控制亦為一關鍵的處 理條件。在高深寬比的介電質蝕刻處理中,一薄的侧壁層 或被動層的形成是所想要的用以在被蝕刻的特徵結構的 深度加深時幫助保持側壁輪廓的控制。然而,當特徵結構 的尺寸縮小時,側壁輪廓控制變得更加困難且不能使用傳 統的電漿蝕刻室。縮小的關键尺寸更需要傳統蝕刻室無法 提供之一加大範圍之蝕刻處理化學物參數的更加細微的 控制。 因此,對於具有能夠用可達成先進的特徵結構介電質 蝕刻處理之改良的處理參數控制來提供擴大的處理能力 之介電質蝕刻處理設備存在著需求。 第6頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) A7Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 588401 A7 _____ B7 V. Description of the invention () Invention collar: The present invention relates generally to a semiconductor wafer processing equipment. More specifically, the present invention relates to a dielectric etching process chamber having improved thermal and by-product management capabilities, improved control of residence time of gaseous materials, and a larger process window, including high flow rates and low operating pressure . Background of the Invention: A challenge faced by all semiconductor processes is the industry's trend towards smaller feature sizes and the resulting rapid shrinkage of critical dimensions. The current design rules have completed feature sizes smaller than about 0.8 microns and feature sizes smaller than 0.1 microns are currently being developed. Another challenge faced by semiconductor processes is the trend towards smaller footprint devices. One way to achieve a smaller footprint component is to construct the component structure vertically and in some components, a portion of the component is built into the substrate itself. These challenges have created a need for process applications capable of manufacturing high aspect ratio features and critical dimensions in the sub-0.1 micron range. In light of these challenges, minimizing particulate contamination in the myriad processing steps used to make an electronic component is critical. Chamber components are selected and processed in a low-pressure environment to help reduce and control particulates that may appear and / or be generated in the processing environment. Of particular importance is the management of the film layers formed within the process during wafer processing. The film layer deposited in the processing chamber is the main source of the total particle concentration in the processing chamber. In many semiconductor processing applications, the film layer is mainly formed on page 5. The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X297 common wear) ......... r—l pack .... ..... Order ......... Refer to (Please read the precautions on the back before filling out this page) 588401 A7 B7 V. Description of the invention () On the exposed room and processing kit components. .............. Packing: (Please read the precautions on the back before filling out this page) During the dielectric etching process, some of the layers exposed from the etching are removed The removed material is discharged from the processing chamber. However, some by-products of the etching reaction form deposits on the exposed chamber surface and on the etched structure. The deposits on the chamber surface become thicker as the processing cycle is repeated and additional wafers are processed. As the thickness of the sediment increases, the internal stress of the sediment increases. Additional stresses can be caused by different thermal expansion rates between the deposit and the chamber surface. Traditional etch chambers lack proper thermal management techniques to reduce thermally induced stresses between the deposits and chamber components. Finally, these stresses can cause cracks in the deposits and release particles into the chamber environment. These film particles will hit the surface of the wafer and cause defects in the circuit structure of the wafer. # Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs, Consumer Cooperatives Control of deposit formation on etched structures is also a key processing condition. In high-aspect-ratio dielectric etching, the formation of a thin sidewall layer or passive layer is desirable to help maintain control of the sidewall profile as the depth of the etched feature deepens. However, when the size of the feature structure is reduced, the sidewall profile control becomes more difficult and a conventional plasma etching chamber cannot be used. The reduced critical size also requires finer control of the chemical parameters of an enlarged range that traditional etch chambers cannot provide. Therefore, there is a need for a dielectric etch processing apparatus having an improved processing parameter control capable of achieving advanced feature structure dielectric etch processing to provide expanded processing capabilities. Page 6 This paper size applies to China National Standard (CNS) A4 (210X297 mm) A7

588401 五、發明説明() 發明目的及概述: 與前技蝕刻室相關連的缺點及先進的介電材質蚀刻 處理所提出的挑戰可被本發明之一熱受控制的電裝蚀刻 室所克服,其具有一較大的製程窗口及改良的副產物管理 能力。本發明的處理室大體上為一能力地耦合的電漿源室 及,更特定地,在RIE模式及MERIE模式下操作的一能力 地耦合的室。 一依據本發明的設備的實施例包含一在次大氣壓力 下用於電漿蝕刻處理基之熱受控制的反應器,其包含:一 真空室其具有一氣體入口,一氣體出口及一内表面;一敖 受控制的襯裡其被設置在與該内表面相鄰,該熱受控制的 襯裡具有一部流體路徑;一熱受控制的基材支撐件其被設 置在該真空室内;及該氣體入口的溫度與該熱受控制的襯 裡是不同的。 依據本發明的設備的另一實施例包含一熱受控制的 反應器用來在次大氣壓力下電漿蝕刻處理基材,該設備包 含:一真空室其具有一氣體入口,一氣體出口及一内表 面;一熱受控制的襯裡其被設置在與該内表面相鄰;一熱 受控制的基材支撐件其被設置在該真空室内;及一真空幫 浦系統其具有至少1600公升/分鐘的容量。 依據本發明的設備的另一實施例為一熱受控制的反 應器用來在次大氣壓力下電漿蝕刻處理基材,其包含:一 真空至其具有一處理體積’ 一蓋子,一壁,一氣體入口’ 一亂體由口其被設置在該處理體積内,該壁具有一内表 第7頁 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公 .........."裝........訂.........# (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 588401 A7 B7 五、發明説明() (請先閲讀背面之注意事項再填寫本頁) 面;一熱受控制的襯裡其被設置在與該内表面相鄰,該熱 受控制的襯裡具有一部流體路徑;一熱受控制的基材支撐 件其被設置在該處理體積内,該熱受控制的基材支撐件具 有多個溫度控制區。 依據本發明的設備的另一實施例為一熱受控制的反 應器用來在次大氣壓力下電漿蝕刻處理基材,其包含:一 真空室其包含一室内部;一氣體入口用來提供一氣體至該 室内部中;一電漿激勵電源其耦合至該真空室用以將該室 内部内的氣體的一部分激勵為一電漿;一排氣通道將該室 内部_合至一排氣繁浦並提供氣體流路於該室内部與該 排氣幫浦之間;一基材支撐件其被設置在該室内部之内; 一熱受控制的襯裡其被設置在該室内部之内;該熱受控制 的襯裡具有--禮形成的流體通道;一擒板其被設置在該 排氣通道内用以在介於該室内部與該排氣幫浦之間的排 氣通道内氣流中造成紊流;及一磁鐵系統其被設置在與該 擋板相鄰處》 經濟部智慧財產局員工消費合作社印製 依據本發明的設備的另一實施例為一熱受控制的電 漿處理室,其包含:一真空室其包含一室内部;一氣體入 口用來提供一氣體至該室内部中;一電漿激勵電源其耦合 至該真空室用以將該室内部内的氣體的一部分激勵為一 電漿’·一排氣通道將該室内部耦合至一排氣幫浦並提供氣 禮流路於該室内部與該排氣幫浦之間,該排氣通道包含: 一入口埠其耦合至該室内部;一出口部其與該真空幫浦相 聯通;一壁介於該入口埠與該出口埠之間且包括一突出部 第8頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) 588401 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明() 延伸至該排氣通道内;一熱受控制的襯裡,其被設置在該 室内部之内;該熱受控制的襯裡具有一一體形成的流體通 道;一擋板其被設置在該排氣通道内用以在介於該室内部 與該排氣幫浦之間的排氣通道内氣流中造成紊流;及一磁 鐵系統其被設置在與該擋板相鄰處。 依據本發明的一蝕刻方法的一個實施例為一種將特 徵結構電漿蝕刻於一被故置在一熱受控制的電漿蝕刻室 内的基材的一氧化物層上的方法,該方法包含:將一基材 放置在一熱受控制的電漿蝕刻室内的一處理區中;控制一 與該熱受控制的電漿蝕刻室内的處理區相鄰的壁的溫 度;控制一基材支撐件的溫度;保持一在該處理區内的壓 力;將一氣體組成流經一熱差異化的喷嘴並進入到該處理 區中;將一 RF能量耦合至該處理區中用以從該氣體組成形 成一電漿;及提供一磁場穿過一抽泵環形套筒與該處理區 聯通。 依據本發明的一蝕刻方法的另一個實施例為一種將 特徵結構電漿蚀刻於一被放置在一被磁性地加強之熱受 控制的電漿蝕刻室内的基材的一氧化物層上的方法,該方 法包含:將一基材放置在一熱受控制的電漿蝕刻室内的一 處理區中;控制一與該熱受控制的電漿蝕刻室内的處理區 相鄰的壁的溫度;控制一基材支搏件的溫度;保持一在該 處理區内的壓力;將一氣體組成流經一熱差異化的嘴嘴並 進入到該處理區中;將一 RF能量耦合至該處理區中用以從 該氣體組成形成一電漿;及提供一磁場於該處理區内並穿 第9頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)~" --—~ ^---------、可--------- (請先閲讀背面之注意事項再填寫本頁) 588401 A7 _ B7 _ 五、發明説明() 過該基材。 (請先閲讀背面之注意事項再填寫本頁) 圖式簡單說明: 本發明的教導可藉由閱讀以下參照了附圖之詳細說 明而被容易地暸解,其中: 第1圖為一平行板半導體晶圓處理系統的剖面示意圖; 第2圖為一半導體晶圓處理系統的剖面示意圖,其顯示依 據本發明的一上及下襯裡的實施例; 第3A圖為一具有第2圖的第一襯裡之蓋子組件的平面圖; 第3B圖為另一蓋子組件的平面圖; 第4圖為第3A或第3B圖中之蓋子組件的部分分解的剖面 pg * 圃, 第5圖為第2圖中之第二襯裡.的平面圖; 第6圖為沿著第5圖中的線5-5所取的剖面圖; 苐7a-7f圖為一氣體入口的不同實施例; 第8圖為對應於第2圖之室頂内部表面的平面圖; 第9圖為本發明的一個別的迷你氣體分佈板的平面圖,其 具有有角度的氣體入口用以提供一較佳的氣體嘴 灑渦流圖案; 經 濟 部 智 慧 財 產 局 員 X 消 費 合 作 社 印 製 第10圖為對應於第9圖之剖面圖; 第11圖為對應於第4圖之另一噴灑圖案; 第12圖為對應於第2圖之一部分放大的剖面圖; 第13及14圖分別為一板子的頂視及剖面圖,該板子已被形 成由方形的突出體所構成的構造; 第10頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公楚) 588401 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明( 第15圖為第14圖的另一實例,其中方形凹陷的側邊被形成 為有角度; 第1 6及1 7圖分別為另一實施例的頂視及剖面圖,其中凹陷 部分為半球形的形狀; 第1 8及1 9圖分別為由一系列的在一圓柱形侧璧襯裡上的 圓周溝槽所構成的結構的立體及剖面圖; 第20圖一同時具有圓周溝槽及縱向溝槽的圆拄形襯裡的 立髏囷; 第21圖為一具有一排氣歧管的電漿蝕刻室的平面圖,該排 氣歧管具有一環狀U形磁鐵系統其被埋設在一依據 本發明的環形的突出部内; 第22圖為第21圖中之該磁鐵系統及環形突出部之細部; 第23圖為一具有徑向地面向外的極性之環狀^形磁鐵系統 的立體圖; 第2 4圖為一磁鐵系統的立體圖,相對於第2 3圖的實施例, 其磁鐵及磁極片被互換; 第2 5圖為一具有徑向地面向内的極性之環狀u形磁鐵系統 的立體圖; 第26圖圖為一磁鐵系統的立體圖,相對於第25圖的實施 例,其磁鐵及磁極片被互換; 第2 7圖為一排氣系統的詳細平面圖,其具有兩個分別被埋 設在兩個依據本發明之環形突出部内的環形磁 鐵; 第28囷為在一電容地耦合且磁性地被加強的反應性離子 第11頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) ..........·…象裝.........訂.........· f請先閲讀背面之注意事項再填寫本頁} 經濟部智慧財產局員工消費合作社印製 588401 A7 _B7 五、發明説明() 蚀刻(MERIE)處理系統中之本發明的另一實施例的 部分剖面示意圖; 第29圖為在一平行板蝕刻處理系統内之本發明的另一實 施例的部分剖面示意圖; 第30圖為在一由旋轉的磁場所產生之電容地耦合且磁性 地被加強的反應性離子蝕刻(MERIE)處理系統中之 本發明的另一實施例的部分剖面示意圖; 第31圖為在一具有一 RF驅動的電感件之蝕刻處理系統内 的本發明的另一實施例的部分剖面示意圖; 第32圖為具有一室襯裡之另一半導體晶圓處理系統的剖 面示意圖,其具有一蓮蓬頭氣體分佈幸統及一電感 線圈; 第3 3 A及3 3 B圖為一代表性自我對準接點特徵結構的剖面 圃, 第34A及34B圖為一代表性高深寬比接點特徵特徵結構的 剖面圖; 第35A及35B圖為一代表性介層孔特徵結構的剖面囷; 第36A及36B圖為一代表性罩幕開孔特徵結構的剖面圖; 第37A及37B圖為一間隔件特徵結構的剖面圖;及 第38A及38B圖為一代表性雙鑲嵌特徵結構的剖面囷。 為了要便於瞭解起見,相同的標號被用來表示在不同 囷示中之相同的構件588401 V. Description of the invention () Purpose and summary of the invention: The disadvantages associated with the prior art etching chamber and the challenges posed by the advanced dielectric material etching process can be overcome by one of the invention's thermally controlled electrical etching chambers. It has a larger process window and improved by-product management capabilities. The processing chamber of the present invention is generally a capable coupled plasma source chamber and, more particularly, a capable coupled chamber operating in RIE mode and MERIE mode. An embodiment of a device according to the present invention includes a thermally controlled reactor for plasma etching treatment at sub-atmospheric pressure, including: a vacuum chamber having a gas inlet, a gas outlet, and an inner surface ; A thermally controlled lining is disposed adjacent to the inner surface, the thermally controlled lining has a fluid path; a thermally controlled substrate support is disposed in the vacuum chamber; and the gas The temperature of the inlet is different from this thermally controlled lining. Another embodiment of the apparatus according to the present invention includes a thermally controlled reactor for plasma etching of substrates at sub-atmospheric pressure. The apparatus includes: a vacuum chamber having a gas inlet, a gas outlet and an inner A surface; a thermally controlled liner disposed adjacent to the inner surface; a thermally controlled substrate support disposed within the vacuum chamber; and a vacuum pumping system having at least 1600 liters / minute capacity. Another embodiment of the apparatus according to the present invention is a thermally controlled reactor for plasma-etching a substrate under sub-atmospheric pressure, which includes: a vacuum to have a processing volume ', a cover, a wall, a The gas inlet is a chaotic body which is set in the processing volume. The wall has an internal table. Page 7 The paper size is applicable to China National Standard (CNS) A4 specifications (210x297 male ...) . " Equipment ........ Order ......... # (Please read the notes on the back before filling out this page) Printed by the Intellectual Property Bureau Employee Consumer Cooperative of the Ministry of Economic Affairs 588401 A7 B7 5 2. Description of the invention () (Please read the precautions on the back before filling this page); a thermally controlled lining is placed adjacent to the inner surface, and the thermally controlled lining has a fluid path; A thermally controlled substrate support is disposed within the processing volume, the thermally controlled substrate support having a plurality of temperature control zones. Another embodiment of a device according to the invention is a thermally controlled reaction The device is used for plasma etching treatment of substrates under sub-atmospheric pressure, and includes: a true The empty chamber includes an interior; a gas inlet for supplying a gas into the interior of the chamber; a plasma excitation power source coupled to the vacuum chamber for exciting a part of the gas in the interior of the chamber into a plasma; The exhaust passage combines the interior of the room with an exhaust manifold and provides a gas flow path between the interior and the exhaust pump; a substrate support is disposed inside the interior; a heat A controlled lining is disposed inside the interior of the chamber; the thermally controlled lining has fluid passages formed by the eli; a trap plate is disposed in the exhaust passage for intervening between the interior of the chamber and Turbulence is caused in the air flow in the exhaust channel between the exhaust pumps; and a magnet system is arranged adjacent to the baffle. Another embodiment is a thermally controlled plasma processing chamber, comprising: a vacuum chamber including an interior; a gas inlet for supplying a gas to the interior of the chamber; and a plasma excitation power source coupled to the The vacuum chamber is used to A part of the gas is excited as a plasma '. An exhaust channel couples the interior of the room to an exhaust pump and provides a gas flow path between the interior and the exhaust pump. The exhaust channel includes: An inlet port is coupled to the interior of the room; an outlet portion is connected to the vacuum pump; a wall is between the inlet port and the outlet port and includes a protrusion. Page 8 This paper applies Chinese national standards (CNS) A4 specification (210X 297 mm) 588401 Printed by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of the invention () Extends into the exhaust channel; a heat-controlled lining is set in the Inside the room; the thermally-controlled lining has an integrally formed fluid passage; a baffle is provided in the exhaust passage to discharge air between the interior of the room and the exhaust pump Turbulence is caused in the air flow in the air channel; and a magnet system is disposed adjacent to the baffle. An embodiment of an etching method according to the present invention is a method for plasma etching a characteristic structure on an oxide layer of a substrate that is placed in a thermally controlled plasma etching chamber. The method includes: Placing a substrate in a processing area in a thermally controlled plasma etching chamber; controlling the temperature of a wall adjacent to the processing area in the thermally controlled plasma etching chamber; controlling the temperature of a substrate support Temperature; maintaining a pressure in the processing zone; flowing a gas composition through a thermally differentiated nozzle and entering the processing zone; coupling an RF energy into the processing zone to form a gas composition from the gas composition A plasma; and providing a magnetic field to pass through a pumping pump sleeve to communicate with the processing area. Another embodiment of an etching method according to the present invention is a method for etching a characteristic structure plasma onto an oxide layer of a substrate placed in a magnetically controlled thermally controlled plasma etching chamber. The method includes: placing a substrate in a processing area in a thermally controlled plasma etching chamber; controlling a temperature of a wall adjacent to the processing area in the thermally controlled plasma etching chamber; and controlling a The temperature of the substrate beater; maintaining a pressure in the processing zone; flowing a gas composition through a thermally differentiated mouthpiece and entering the processing zone; coupling an RF energy into the processing zone to A plasma is formed from the gas composition; and a magnetic field is provided in the processing area and passed through page 9. The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) ~ " --- ~ ^- -------- 、 Can --------- (Please read the precautions on the back before filling this page) 588401 A7 _ B7 _ V. Description of the invention () Pass the substrate. (Please read the precautions on the back before filling this page) Brief description of the drawings: The teaching of the present invention can be easily understood by reading the following detailed description with reference to the drawings, where: Figure 1 is a parallel plate semiconductor A schematic cross-sectional view of a wafer processing system; FIG. 2 is a schematic cross-sectional view of a semiconductor wafer processing system showing an embodiment of an upper and lower liner according to the present invention; FIG. 3A is a first liner having FIG. 2 A plan view of the lid assembly; FIG. 3B is a plan view of another lid assembly; FIG. 4 is a partially exploded cross-section pg * of the lid assembly in FIG. 3A or 3B, and FIG. The plan view of the second lining. Figure 6 is a sectional view taken along line 5-5 in Figure 5; Figures 7a-7f are different embodiments of a gas inlet; Figure 8 is corresponding to Figure 2 Plan view of the inner surface of the roof of the room; Figure 9 is a plan view of another mini gas distribution plate of the present invention, which has an angled gas inlet to provide a better gas nozzle sprinkle vortex pattern; Member of the Intellectual Property Bureau of the Ministry of Economic Affairs X Consumption Figure 10 printed by the studio is a sectional view corresponding to Figure 9; Figure 11 is another spray pattern corresponding to Figure 4; Figure 12 is an enlarged sectional view corresponding to a part of Figure 2; Figure 13 Figures 14 and 14 are top and cross-sectional views of a board, which has been formed into a structure composed of square protrusions; page 10 This paper applies the Chinese National Standard (CNS) A4 specification (210X297 Gongchu) 588401 A7 B7 Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. 5. Description of the invention (Figure 15 is another example of Figure 14, in which the sides of the square depression are formed at an angle; Figures 16 and 17 are respectively A top view and a cross-sectional view of another embodiment, in which the recessed portion is a hemispherical shape; Figs. 18 and 19 are respectively a structure composed of a series of circumferential grooves on a cylindrical side lining A perspective view and a sectional view; FIG. 20 is a stand-up scull with a circular cymbal lining having both circumferential grooves and longitudinal grooves; FIG. 21 is a plan view of a plasma etching chamber having an exhaust manifold, the exhaust The manifold has a ring-shaped U-shaped magnet system which is buried in a Inside the annular protrusion according to the present invention; FIG. 22 is a detail of the magnet system and the annular protrusion in FIG. 21; and FIG. 23 is a perspective view of a ring-shaped ^ -shaped magnet system with a radial outward polarity. Figure 24 is a perspective view of a magnet system. Compared with the embodiment of Figure 23, the magnet and the pole piece are interchanged. Figure 25 is a ring-shaped u-shaped magnet with a radially inwardly facing polarity. A perspective view of the system; FIG. 26 is a perspective view of a magnet system. Compared to the embodiment of FIG. 25, the magnets and pole pieces are interchanged. FIG. 27 is a detailed plan view of an exhaust system, which has two parts. A ring magnet buried in two ring-shaped protrusions according to the present invention; page 28 囷 is a reactive ion which is capacitively coupled and magnetically strengthened. Page 11 This paper applies Chinese National Standard (CNS) A4 specifications ( (210X297 mm) .......... · ... Elephant costume ......... Order ......... f Please read the notes on the back before filling in this page } Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 588401 A7 _B7 V. Description of the invention () Etching FIG. 29 is a schematic partial cross-sectional view of another embodiment of the present invention in a parallel plate etching processing system; FIG. 30 is a schematic cross-sectional view of another embodiment of the present invention in a processing system; A partially cross-sectional schematic view of another embodiment of the present invention in a capacitively coupled and magnetically enhanced reactive ion etching (MERIE) processing system generated by a rotating magnetic field; FIG. 31 is an RF-driven Partial cross-sectional view of another embodiment of the present invention in the etching processing system of an inductor; FIG. 32 is a cross-sectional view of another semiconductor wafer processing system with a chamber lining, which has a shower head gas distribution system and a Inductive coils; Figures 3 3 A and 3 3 B are cross-sections of a representative self-aligned contact characteristic structure; Figures 34A and 34B are cross-sectional views of a representative high-aspect-ratio characteristic characteristic structure; Section 35A Figures 35B and 35B are cross-sections of a representative mesoporous feature structure; Figures 36A and 36B are cross-sectional views of a representative mask opening feature structure; Figures 37A and 37B are feature spacers. Sectional view; 38A and 38B and the first picture shows a representative cross-sectional granary dual damascene feature. For ease of understanding, the same reference numerals are used to indicate the same components in different displays.

第12T 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 588401 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明() 圖號對照說明: 10 基材 100 處理室 50 處理系統 105 氣體面板 110 排氣系統 140 控制器 109 幫浦 121 流體源 150 RF源 107 冷卻系統 108 底壁 102 蓋子組件 110 室體積 112 處理體積(處理 124 基材支撐件 114 排氣體積 104 室襯裡 134 第一襯裡 118 第二襯裡 106,108 壁 52 電漿限制機構 139 細缝閥 53 機械臂 139 細縫閥開口 70 襯裡門 72 氣動馬達 55 靜電夹頭 155 升降銷組件 160a,160b 升降銷 170 氣動升降機構 105 電極 151 阻抗配接電路 150 RF產生器 161 背板 163 入口 107 冷卻氣體源 107i,107o 流量控制器 350 噴嘴 8 節流閥 142 記憶體 146 支援電路 138 排氣埠 116 孔 202 蓋子 342 凸緣 3 10 中央區 第13頁 .........裝........訂.........# (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 588401 A7 B7 五、發明説明() 經濟部智慧財產局員工消費合作社印製 3 12 圓盤形頂面 3 16 底面 318 圓筒形襯裡壁 320 内表面 322 流體路徑 208 盲孔 210 插銷 326 凸起部 324 孔 328 中心孔 322A 第一流體路徑 3 22B 第二流體路徑 334 流體供應管路 123 導管 119 流體路徑 502 底座區 504 内壁 506 外壁 508 内表面 520 排氣埠 510 凸起部 516 電漿限制機構 518 突起物 522 節流凸柱 524 環形流孔 526 基材存取埠 312 頂面 336 中央凹部 338 穩流室 340 中心孔 344 路徑 212 氣體餽通件 348 孔 3 5 0a,3 50b,3 50c,350d,3 50e,3 50f 喷嘴 717 安裝部 715 氣體輸送部 710 凸緣 724 中央路徑 712 凹陷 702 接觸表面 704 匹配表面 715 氣體輸送部 716 裸露的表面 714 路徑 728 近端 718 遠端 第14頁 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 588401 A7 ____Β7 五、發明説明() 經濟部智慧財產局員工消費合作社印製 722 侧邊 726 輔助路徑 727 出口 220 迷你氣體分佈板 22 5 氣體注入孔 310 中央區 316 上襯裡表面(室頂) 230 歧管 300 凸塊 60 花纹 1605 花紋 1805 圓周溝槽 1810 縱向溝槽 36,38 襯裡 40 環形凸緣 42 孔 5 1 6,522 氣體流偏向器 30 排氣歧管 50 磁鐵系統 _ 52 第一磁鐵 53 第二磁鐵 54 磁極件 56 北極 57 南極 58 磁場線 62 上環形件 63 下環形件 64 圓筒形件 51 磁鐵系統 68 上磁鐵 69 下磁鐵 2800 蝕刻處理系統 2850 MERIE 室 28 1 0-28 1 6 電磁鐵 2830-2836 電源供應器 2900 蝕刻處理系統 2950 蝕刻處理室 2915 阻抗配接電路 2920 平行板 2910 RF產生器 3000 蚀刻處理系統 3050 磁性強化的蝕刻室 3010 磁場產生機構 3100 蝕刻處理系統 3150 蝕刻室 3110 第二RF產生器 3105 阻抗配接電路 3115 天線 (請先閲讀背面之注意事項再填寫本頁) 裝- 訂· 第15T 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) 588401 A7 B7 五、發明説明() 經濟部智慧財產局員工消費合作社印製 3200 蝕刻處理系統 3250 蝕刻室 3204 第二RF產生器 3206 阻抗配接電路 3208 蓋子組件 3212 蓮蓬頭 3300 蝕刻前的自我對準接點結構 3305 蝕刻後的自我對準接點結構 3310 基材 3315 字線 3316 氧化物層 3317 WSiJ 3318 多晶矽層 3320 襯裡層 3325 位元線區(接點區) 333 0 介電層 3335 圖案層(罩幕層) 3340 接點區 3345 肩部 3400 蝕刻前高深寬 3405 蝕刻後高深寬比結構 3422 介電層厚度 3425 罩幕層 3420 介電層 3415 停止層 3410 基材 3426 特徵結構寬度 3430 高深寬比特徵 3500 蝕刻前金屬介層孔結構 3 505 蝕刻後金屬介層孔結構 3510 底下金屬層 3515 阻障層 3520 介電層 3 525 抗反射塗層 3 5 3 0 罩幕層 3 53 5 接點介層孔 3600 蝕刻前硬質罩幕蝕刻結構 3605 蝕刻後硬質罩幕蚀刻結構 3610 基材 3615 硬質罩幕層 3620 抗反射塗層 3625 光阻圖案層 第16頁 (請先閲讀背面之注意事項再填寫本頁) 裝. 訂. 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 588401 A7 B7 五、發明説明( 3700 蝕刻前間隔件結構 3705 蚀刻後間隔件結構 3710 基材 3715 特徵結構 3720 底層 3725 介電層 3 800 蚀刻前雙鑲嵌介電質蚀刻結構 3 805 蝕刻後雙鑲嵌介電質蝕刻結構 3810 銅層 3 820 介層孔介電層 3830 溝渠介電層 3825 中間的氮化物層 3815 氮化物層 3 850 介層孔特徵結構 3 855 互連線特徵結構 3 83 5 罩幕圖案層 3860 上部 3865 下部 ..........豪f — I (請先閲讀背面之注意事項再填寫本頁) 發明詳細說明: I ·舉例性的處理系統 第1圖顯示在一用於處理一基材10,如一半導體晶 圓,的舉例性處理室100内之本發明的改良式處理設備的 一實施例。本發明將於下文中被說明,最初係參照使用於 第1圖的舉例性處理系統50内的實施例。然而,應被瞭解 的是,本發明的說明可應用至其它的室結構上,如在下文 中參照第26至32圖所描述的室結構2800至3200。本發明的 實施例在被建構來作為氧化物及介電質蝕刻處理的電装 蝕刻室中是特別有利的。 本發明的一實施例被示於第1圖中的處理系統5 〇内。 處理系統50包含一處理室100,一氣體面板1〇5,一電腦控 制器1 40,一熱交換器或溫度受控制流體源1 2 1,一 Rf源 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 訂 經濟部智慧財產局員工消費合作社印製 588401 A7 B7 五、發明説明() 150,一幫浦109,一排氣系統Π0及一冷卻氣體系統107。 (請先閲讀背面之注意事項再填寫本頁) 該處理室1〇〇包括一圓周侧壁1〇6 ’ 一底壁108及一蓋 子組件102,它們共同界定一室體積1 10。一基材支撐件124 被設置在底步108上用來支撐該基材1〇。通常’該室體積 110被分割為一處理體積112(該室的上部區域)及一抽泵體 積114(該室的下部區域)。室襯裡1〇4(被示為一第一襯裡 134及一第二襯裡1 18)被設置在該壁106,108及蓋子102相 鄰處。在下文中被詳細地說明的一實施例中,室襯裡1 〇4 包括一電漿限制機構52用來將電漿限制在處理體積112 中。 處理室100被提供一細缝閥139用存取埠用來將基材 從一共用的負載鎖定或輸送區送入該處理區112内。一機 械臂53 (在第1圖中以虚線表示)被用來將基材送入/送出處 理區1 1 2。一細缝閥門(未示出)提供該細縫閥開口 1 3 9 —真 空密封。一襯裡門70可藉由一如第1圖中所示的氣動馬達 72而被垂直地作動用來將該室襯裡104上與該細縫閥開口 1 3 9相鄰的開孔蓋住。 經濟部智慧財產局員工消費合作社印製 基材支撐件124可使用靜電力或機械力來確保基材於 處理期間保持在定位。如果使用靜電力的話,基材支撐件 1 24則包括靜電夾頭5 5 ^ —升降銷組件1 5 5包含升降銷 160a,160b其藉由一氣動升降機構170而被升高穿過在靜 電夾頭55上的穿孔。該機械臂53將基材置於升降銷160a, 160b上且該氣動升降機構17〇將基材10降低至該靜電夾頭 55的承接表面上。在基材1〇被置於靜電夾頭55上之後且在 第18頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 588401 A7 B7 五、發明説明() 實施一處理之前,一埋設在該靜電夾頭55内的電極1〇5相 對於該基材10被電子地偏壓用以靜電地夾持該基材1〇。 在完成該處理之後,該氣動升降機構170將升降銷160 舉離該靜電夾頭55的承接表面,讓基材10可從機械壁53上 被取走。在將升降銷160a,160b被升起之前,基材1〇藉由 將夾持該基材10之殘餘的電荷消除而從該靜電夹頭55上 被電子地解耦合或解夾持^ 在第1圖所示的實施例中,靜電夾頭55是由一介電質 所形成,該介電質將該電極105包覆起來並將其與基材10 電子地隔開來。最好是,該介電質為一陶瓷材質,如 AI2O3’ AIN’ BN’ Si’ Si〇2,Si3N4,Ti〇2,Zr〇2,codierite, 富鋁紅柱石,或它們的混合物及化合物。在一實施例中該 靜電夾頭5 5是由一具有一電阻值之高導熱性陶瓷材質所* 形成,其中該電阻值被加以選擇用以在基材被保持的溫度 範圍内具有最佳的性能。例如,在基材溫度被保持在約· 20 °C至約50 °C範圍内的基材而言,其靜電夾頭的電阻值範 圍是在約5e1()Q-cm至約5el3Q-cm之間。 一被設置在基材支撐件124内的電極1〇5將RF能量耦 合至處理體積1 12内。來自於RF源150的RF能量經由阻抗配 接電路151而被耦合至電極1〇5。電極1〇5可由一導電材 質,如金屬,像是鋁,銅,鉬或它們的混合物,製成。通 常電極105具有一堅強的結構其可耦合來自於!^源15〇約 5000瓦特的RF能量。經由電極1〇5耦合之實際的rf功率量 隨著在該蝕刻室100内實施之特定的處理而改變。 第19頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公愛) " (請先閲讀背面之注意事項再填寫本頁) 裝· 、可· 經濟部智慧財產局員工消費合作社印製 58840112T This paper size applies Chinese National Standard (CNS) A4 specifications (210X 297 mm) (Please read the notes on the back before filling out this page) 588401 A7 B7 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs () Drawing number comparison description: 10 substrate 100 processing chamber 50 processing system 105 gas panel 110 exhaust system 140 controller 109 pump 121 fluid source 150 RF source 107 cooling system 108 bottom wall 102 cover assembly 110 chamber volume 112 processing volume (Treatment 124 Substrate support 114 Exhaust volume 104 Chamber lining 134 First lining 118 Second lining 106, 108 Wall 52 Plasma restricting mechanism 139 Slit valve 53 Robot arm 139 Slit valve opening 70 Lining door 72 Air motor 55 Electrostatic clamp Head 155 Lifting pin assembly 160a, 160b Lifting pin 170 Pneumatic lifting mechanism 105 Electrode 151 Impedance matching circuit 150 RF generator 161 Back plate 163 Inlet 107 Cooling gas source 107i, 107o Flow controller 350 Nozzle 8 Throttle valve 142 Memory 146 Support circuit 138 Exhaust port 116 Hole 202 Cover 342 Flange 3 10 Central area Page 13 ......... install ... ... Order ......... # (Please read the notes on the back before filling in this page) This paper size is applicable to China National Standard (CNS) A4 (210X297 mm) 588401 A7 B7 V. Invention Description () Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economy 3 12 Disk-shaped top surface 3 16 Bottom surface 318 Cylinder-shaped lining wall 320 Inner surface 322 Fluid path 208 Blind hole 210 Latch 326 Boss 324 Hole 328 Center hole 322A First fluid path 3 22B Second fluid path 334 Fluid supply line 123 Conduit 119 Fluid path 502 Base area 504 Inner wall 506 Outer wall 508 Inner surface 520 Exhaust port 510 Protrusion 516 Plasma restriction mechanism 518 Protrusion 522 Throttle projection Post 524 Annular flow hole 526 Substrate access port 312 Top surface 336 Center recess 338 Stabilizing chamber 340 Center hole 344 Path 212 Gas feedthrough 348 Hole 3 5 0a, 3 50b, 3 50c, 350d, 3 50e, 3 50f Nozzle 717 Mounting part 715 Gas delivery part 710 Flange 724 Central path 712 Depression 702 Contact surface 704 Matching surface 715 Gas delivery part 716 Bare surface 714 Path 728 Proximity 718 Far end 14th (Please read the precautions on the back before filling this page) This paper size applies Chinese National Standard (CNS) A4 specification (210X297 mm) 588401 A7 ____ Β7 V. Description of the invention Side 726 Auxiliary path 727 Outlet 220 Mini gas distribution plate 22 5 Gas injection hole 310 Central area 316 Upper lining surface (chamber top) 230 Manifold 300 Bump 60 Pattern 1605 Pattern 1805 Circumferential groove 1810 Longitudinal groove 36, 38 Lining 40 annular flange 42 hole 5 1 6,522 gas flow deflector 30 exhaust manifold 50 magnet system_ 52 first magnet 53 second magnet 54 magnetic pole piece 56 north pole 57 south pole 58 magnetic field line 62 upper ring piece 63 lower ring piece 64 round Cylinder 51 Magnet system 68 Upper magnet 69 Lower magnet 2800 Etching processing system 2850 MERIE chamber 28 1 0-28 1 6 Electromagnet 2830-2836 Power supply 2900 Etching processing system 2950 Etching processing chamber 2915 Impedance mating circuit 2920 Parallel plate 2910 RF generator 3000 Etching processing system 3050 Magnetically enhanced etching chamber 3010 Magnetic field generating mechanism 3100 Etching place System 3150 Etching chamber 3110 Second RF generator 3105 Impedance matching circuit 3115 Antenna (Please read the precautions on the back before filling out this page) Binding-15T This paper size applies to China National Standard (CNS) A4 specifications (210X (297 mm) 588401 A7 B7 V. Description of the invention () Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs, Consumer Cooperative, 3200, Etching Processing System 3250, Etching Chamber 3204, Second RF Generator 3206, Impedance Mating Circuit 3208, Lid 3232, Shower Head 3300 Self-aligned contact structure 3305 Self-aligned contact structure after etching 3310 Substrate 3315 Word line 3316 Oxide layer 3317 WSiJ 3318 Polycrystalline silicon layer 3320 Backing layer 3325 Bit line area (contact area) 333 0 Dielectric layer 3335 Pattern layer (mask layer) 3340 contact area 3345 shoulder 3400 aspect ratio before etching 3405 aspect ratio structure after etching 3422 dielectric layer thickness 3425 mask layer 3420 dielectric layer 3415 stop layer 3410 substrate 3426 characteristic structure width 3430 High aspect ratio features 3500 Metal via hole structure before etching 3 505 Metal via hole structure after etching 3510 Bottom metal 3515 Barrier layer 3520 Dielectric layer 3 525 Anti-reflective coating 3 5 3 0 Mask layer 3 53 5 Contact via 3600 Hard mask etching structure before etching 3605 Hard mask etching structure after etching 3610 Substrate 3615 Hard Cover layer 3620 Anti-reflective coating 3625 Photoresist pattern layer Page 16 (Please read the precautions on the back before filling out this page) Binding. Order. This paper size applies to China National Standard (CNS) A4 (210X297 mm) 588401 A7 B7 V. Description of the invention (3700 spacer structure before etching 3705 spacer structure after etching 3710 substrate 3715 characteristic structure 3720 bottom layer 3725 dielectric layer 3 800 dual mosaic dielectric etching structure before etching 3 805 dual mosaic dielectric after etching Electrical etching structure 3810 Copper layer 3 820 Dielectric hole dielectric layer 3830 Trench dielectric layer 3825 Middle nitride layer 3815 Nitride layer 3 850 Via hole characteristic structure 3 855 Interconnect line characteristic structure 3 83 5 Mask pattern Layer 3860 Upper 3865 Lower ... Hao f — I (Please read the notes on the back before filling out this page) Detailed description of the invention: I · Exemplary processing system Figure 1 shows one use Modified embodiment of a processing apparatus 100 according to the present invention is processed within a substrate 10, such as a circular semiconductor wafer, the process chamber illustrative embodiment. The invention will be described below, initially with reference to an embodiment within the exemplary processing system 50 used in FIG. However, it should be understood that the description of the present invention can be applied to other chamber structures, such as the chamber structures 2800 to 3200 described below with reference to FIGS. 26 to 32. Embodiments of the present invention are particularly advantageous in electrical equipment etching chambers configured as oxide and dielectric etching processes. An embodiment of the present invention is shown in the processing system 50 in FIG. The processing system 50 includes a processing chamber 100, a gas panel 105, a computer controller 1 40, a heat exchanger or temperature-controlled fluid source 1 21, and an Rf source. The paper dimensions are applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) Order printed by the Intellectual Property Bureau of the Ministry of Economic Affairs and the Consumer Cooperatives printed 588401 A7 B7 V. Description of the invention () 150, a pump 109, an exhaust system Π0 and a cooling gas system 107. (Please read the precautions on the back before filling out this page.) The processing chamber 100 includes a peripheral side wall 106 ', a bottom wall 108 and a cover subassembly 102, which together define a chamber volume of 10. A substrate support 124 is disposed on the bottom step 108 to support the substrate 10. Generally, the chamber volume 110 is divided into a processing volume 112 (the upper region of the chamber) and a pumping volume 114 (the lower region of the chamber). A chamber lining 104 (shown as a first lining 134 and a second lining 118) is provided adjacent the walls 106, 108 and the lid 102. In an embodiment described in detail below, the chamber liner 104 includes a plasma limiting mechanism 52 for limiting the plasma to the processing volume 112. The processing chamber 100 is provided with an access port for a slit valve 139 for feeding substrates into the processing zone 112 from a common load lock or transfer zone. A robot arm 53 (indicated by a dotted line in Fig. 1) is used to feed the substrate into / out of the processing area 1 1 2. A slit valve (not shown) provides the slit valve opening 1 3 9-vacuum seal. A lining door 70 can be vertically actuated by a pneumatic motor 72 as shown in Fig. 1 to cover the opening of the chamber lining 104 adjacent to the slit valve opening 1 3 9. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economics The substrate support 124 may use electrostatic or mechanical forces to ensure that the substrate remains in place during processing. If electrostatic force is used, the substrate support 1 24 includes an electrostatic chuck 5 5 ^ —the lifting pin assembly 1 5 5 includes a lifting pin 160a, 160b which is lifted through the electrostatic clamp by a pneumatic lifting mechanism 170 Perforation on head 55. The robot arm 53 places the substrate on the lifting pins 160a, 160b and the pneumatic lifting mechanism 170 lowers the substrate 10 to the receiving surface of the electrostatic chuck 55. After the substrate 10 is placed on the electrostatic chuck 55 and the Chinese paper standard (CNS) A4 (210X297 mm) is applied to this paper on page 18, 588401 A7 B7 V. Description of the invention () Before implementing a treatment, An electrode 105 embedded in the electrostatic chuck 55 is electronically biased relative to the substrate 10 to electrostatically clamp the substrate 10. After the process is completed, the pneumatic lifting mechanism 170 lifts the lifting pin 160 away from the receiving surface of the electrostatic chuck 55 so that the substrate 10 can be removed from the mechanical wall 53. Before the lifting pins 160a, 160b are raised, the substrate 10 is electronically decoupled or de-clamped from the electrostatic chuck 55 by eliminating the residual charge holding the substrate 10 In the embodiment shown in FIG. 1, the electrostatic chuck 55 is formed of a dielectric which covers the electrode 105 and is electrically separated from the substrate 10. Preferably, the dielectric is a ceramic material, such as AI2O3 'AIN' BN 'Si' Si02, Si3N4, Ti02, Zr02, codierite, mullite, or a mixture and compound thereof. In one embodiment, the electrostatic chuck 55 is formed of a highly thermally conductive ceramic material with a resistance value, wherein the resistance value is selected to have the best temperature within the temperature range at which the substrate is maintained. performance. For example, for a substrate whose substrate temperature is maintained in the range of about 20 ° C to about 50 ° C, the resistance value of the electrostatic chuck is in the range of about 5e1 () Q-cm to about 5el3Q-cm. between. An electrode 105 disposed in the substrate support 124 couples RF energy into the processing volume 112. RF energy from the RF source 150 is coupled to the electrode 105 via an impedance matching circuit 151. The electrode 105 may be made of a conductive material such as a metal such as aluminum, copper, molybdenum, or a mixture thereof. Usually the electrode 105 has a strong structure which can be coupled from! ^ Source 150 RF power of about 5000 watts. The actual amount of rf power coupled via the electrode 105 varies with the particular process performed in the etch chamber 100. Page 19 This paper size applies to China National Standard (CNS) A4 specifications (210X297 public love) " (Please read the precautions on the back before filling this page) 588401

一背板161被設置在與該靜電夾頭55相鄰處。該背板 161具有内部冷卻通道經由入口 163供應來自於熱交換器 12 1之溫度受控制的流體。該溫度受控制的流體,如乙二 醇及去離子水的混合物,循環通過在冷卻板中的通道。最 好是,靜電夾頭55被附著於該背板161上用以讓從該靜電 夾頭5 5至背板冷卻通道及至該溫度受控制的流體的熱傳 導最大化。 在本發明的另一態樣中,背板1 6 1藉由具有高導熱性 的材質製成的一結合層而被結合至該靜電夹頭55。該結合 層可包含一金屬如链,銅,雜,鈥,鑛或它們的合金。該 結合層可在不使用螺栓下將靜電夾頭55固定於冷卻板161 上且因而降低在靜電夾頭55上的機械應力。而且,該結合 層具有均質的成分其可提供更為均勻的熱傳速率,並降低 在冷卻板161與靜電夾頭55之間的界面上所產生之熱阻抗 差異。 最好是,該結合層是有延展性且柔順的用以提供一可 吸收因為靜電夾頭5 5與冷卻板1 6 1之間的熱膨脹差異所產 生的熱應力的界面而不會對靜電夾頭55產生傷害。雖然一 結合的接點可提供均勻的熱傳遞率,但對於一結合的接點 而言很難能夠承受因為不同的材質,如靜電夹頭55及冷卻 板1 6 1,之不同的熱膨脹係數所產生的熱應力。結合層的 一個例子為由一有延展性及柔順的材質所製成,其可撓曲 且可吸收由靜電夾頭55及冷卻板161之不同的熱膨脹係數 所產生的熱應力。一適當的結合材質包含一高結合強度, 第20頁 本紙張尺錢财國國家標準(CNS)A4規格(210X297公愛) ""—一 (請先閱讀背面之注意事項再填寫本頁) 裝· 經濟部智慧財產局員工消費合作社印製 588401 A7 ___ B7__ 五、發明説明() 壓力感應的丙烯酸黏劑,其被加入二硼化鈦且被施用於一 延伸開的鋁載體上。填充物,延展的金屬及壓花的表面強 化了該結合的柔順性及熱性能。 基材10的溫度係藉由穩定靜電夾頭55的溫度及從冷 卻氣體源107提供一冷卻氣體,如氦氣,至由基材1〇及形 成在靜電夾頭55的承接表面上的溝槽所構成的通道來加 以控制的。該冷卻氣體有助於基材1〇與靜電夾頭55之間的 熱傳遞。介於基材10的背側與靜電夾頭55的承接表面之間 的空間最好是被分割成兩個區域,即一内區及一外區。兩 個流量控制器107〇及1〇7丨分別被用來提供送至外區及内區 之獨JL的冷卻氣體流控制。典型地,所想要的冷卻氣禮流 量是用壓力,托爾(Torr),來測量的。 控制器107〇及l〇7i讓内及外區可被保持在相同的壓力 或不同的壓力^調整在内及外區中的壓力可導致基材的中 心與邊緣的一祖應的溫度調整。因此,藉由調整在内及外 區的壓力,在整個基材10上的溫度分佈即可被加以控制。 整個基材10上的溫度可被調整用以順應一特定蝕刻處理 之特殊的溫度需求。例如,在整個基材上的溫度從中心到 邊緣可以是一致的,邊緣的溫度比中心的溫度高,或中心 的溫度高於邊緣的溫度等不同的態樣。 在電漿處理期間,基材1〇被該室内的電漿所加熱且該 雙區域的冷卻氣體控制被用來調整基材溫度。典型地,基 材10被保持在一約-2〇t至約50°C的溫度範圍内,而較佳 的操作範圍則是在約1 5°C至約20°C之間。該内及外冷卻氣 第21頁 本紙張尺度賴巾S國家標準(CNS)A4規格⑽X297公爱) ' ' (請先閲讀背面之注意事項再填寫本頁) 裝- 經濟部智慧財產局員工消費合作社印製 588401 A7 B7 _ 五、發明説明() 體區亦可被操作用以產生一熱梯度於該基材10上。例如, 在内區及外區的冷卻氣體壓力可被調整使得在基材中心 的溫度高於或低於在基材邊緣的溫度β此外,在内區及外 區的冷卻氣體壓力可被調整使得從基材10的中心至基材 1 0的邊緣的溫度差約5 °C或在中心與邊緣之間的溫度保持 大致相同。 基材支撐件124的構件,即冷卻板161,靜電夾頭55, 雙區背側冷卻氣體及電極1 05等彼此配合操作用以將該室 100内實施的電漿處理作業期間所產生的熱給移走。因此 熱管理及溫度控制特徵的關係,而可使用高RF功率及高磁 場進行較長時間處理的處理作業(即對於使用磁性加強的 處理的室而言),因為基材10的溫度可被有效地控制,即 使是在結合高於2500瓦的RF功率及高於100G的磁場的處 理中亦然。蝕刻室100的此溫度控制及熱管理能力可藉由 描述於本說明書在第II節""溫度受控制的室襯裡"中之襯 裡118及134的直接溫度控制特徵來進一步加強。 氣體面板105包括處理氣鳢供應及在電腦控制器140 的控制下提供處理氣體至處理室100之流量控制閥。來自 於氣體面板105的處理氣體藉由管路103穿過蓋子組件1〇2 而被提供至多個氣體入口或喷嘴350。多個喷嘴350被分佈 於整個蓋子組件102上用以提供處理氣體至處理體積 112,這將於本說明書在第III節"熱差異化的氣體供應系統 ’·中加以詳細說明。 在操作中,一半導體基材1〇被置於該基材支撐件124 第22頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝· 、言 經濟部智慧財產局員工消費合作社印製 588401 A7 ____B7 五、發明説明() (請先閲讀背面之注意事項再填寫本頁) 上且氣體組成從氣體面板1〇5經由喷嘴350供應至該處理 室100中用以在處理體積112内形成一所想要的氣體組 成。該氣體組成藉由施用來自於RF產生器150的RF功率至 阻抗配接電路151及至電子1〇5而被激勵成該處理室1〇〇内 的電漿。由氣體組成所形成的電漿與該溫度受控制的蓋子 組件102及襯裡104的表面相接觸。 經濟部智慧財產局員工消費合作社印製 在處理室100内的壓力係使用一位在室體積n〇與一 真空繁浦1 09之間的節流閥8來加以控制。在一較佳的實施 例中,幫浦109提供一大於每秒丨000公升的抽泵能力,最 妤是介於每秒1400至2000公升之間,更佳的是每秒1600公 升。幫浦1 09可以是單一高能力真空幫浦或一真空幫浦與 一渦輪幫浦的組合。在控制器1 40的控制下,幫浦1 〇9及節 流閥8彼此協作用以提供一有利的加大的壓力及氣體流率 之電漿蚀刻處理。在一較佳的實施例中,該電漿蚀刻室為 一熱受控制的蝕刻室其能夠實施在低壓力-高總氣體流率 下之磁性強加強的反應性離子蝕刻(MERIE)及反應性離子 蝕刻(RIE)蝕刻處理,如大於3 50sccm的總氣鱧流預及低於 80mT的室壓力。最好是,一依據本發明的處理室能夠在約 1000seem的總流率下讓室壓力低於約5〇Mt。 具有本發明的實施例之電漿蝕刻室能夠實施低壓-低 流率的介電質蝕刻處理,如間隔物蝕刻及罩幕開孔蚀刻, 其通常是在壓力介於1 OmT至約80mT之間及總氣禮流率在 約40sccm至約150sccm下實施的。具有本發明的實施例之 電漿蝕刻室能夠實施高壓-高流率的介電質蝕刻處理,如 第23貫 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 588401 A7 _____B7____ 五、發明説明() 通常在壓力介於150mT至300mT之間及總氣體流率在約 350sccm至約700sccm下實施的C4F8 & C2F6基的蝕刻處 理。具有本發明的實施例之電漿蝕刻室能夠實施高總氣體 流率-低室壓力的蝕刻處理,如通常在壓力介於10mT至 120mT之間及總氣體流率在約600sccm至約900sccm下實 施的C4F6及CH2F3自我對準及高深寬比的蝕刻處理。 此外,具有本發明的實施例之電漿蝕刻室能夠實施不 同處理態樣的蝕刻處理,例如一具有總氣體流率在約 1 2 0sccm至約400sccm及室麼力介於70mT至120mT之間的 蝕刻處理;一具有總氣體流率在約lOOsccm至約450sccm及 室壓力介於20mT至70mT之間的蚀刻處理;及一具有總氣 體流率在約300sccm至約800sccm及室壓力介於20mT至 7 0mT之間的蝕刻處理。下面第乂11節π室製程窗口及代表性 的關鍵介電質蝕刻處理,’將提供更多由具有本發明的實施 例之電漿蝕刻室所實施之改良的氧化物及介電質蝕刻製 程窗口的細節。 一包含了一中央處理單元(CPU)144,一記憶體142, 及CPU 144的支源電路146之控制器140被耦合至處理室1〇〇 的不同構件用以便於控制該室。為了便於如上文所述地控 制該室,CPU 144可以是使用在工業設備中用來控制不同 的室構件中的一般用途電腦處理器或甚至是在一使用到 電腦控制的室構件之處理系統中的其它處理器。記憶體 142被耦合至CPU 144。記憶體142或電腦可讀取媒體’可以 是目前可獲得之記憶體,如遠端的或現地的隨機動態存取 第24頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再場寫本頁) 裝· 訂· 經濟部智慧財產局員工消費合作社印製 588401 A7 B7 __ i、發明説明() 記憶體(RAM),唯讀記憶體(ROM),軟碟機,硬碟機,或 任何其它形式的數位儲存裝置。該支援電路146被搞合至 該CPU 144用以用傳統的方式來支援該處理器。支援電路 1 46包括快取記憶體,電源供應器,時脈電路,輸入/輸出 電路及子系統等等。一處理,如蝕刻處理,通常是備儲存 在記憶體142中,典型地為一軟體程式。該軟體程式亦可 被一第二CPU(未敕出)儲存及/或執行,該第二CPU係位在 由CPU144所控制的硬體所在處的遠端。 該軟體程式執行一處理,如蝕刻處理,用以操作該室 100來實施該處理的步驟。當該軟體程式被該CPU144執行 時,該軟體程式將該一般用途的但腦轉變為一特殊的處理 電腦(控制器)140其控制著該室的操作用以實施該處理的 步驟。雖然本發明的實施例是以軟體程式的形式來加以說 明,但在本文中所提到的某些或所有的方法步驟亦可用硬 體來實施或由軟體控制器來實施。因此,本發明可被體現 為軟體並由一電腦系統來執行,可被體現於硬體中成為一 特用積禮電路或其它形式的態樣,或是軟體與硬禮的組 合0 經濟部智慧財產局員工消費合作社印製 π·溫度受控制的室襯裡 使用於一蚀刻處理系統,如處理系統50,中之溫度受 控制的室構件,如一室襯裡104及蓋子組件1〇2 ,可藉由參 照第2-6囷而被更清楚地瞭解。本發明的實施例亦提供控 制室構件的溫度的方法,用以顯著地改善形成在這些室構 第25頁 588401 A7 B7 五、發明説明() 件上之沉積物的黏附。 第2圖為具有一室襯裡104之本發明的一蝕刻室1〇〇的 一實施例的剖面圖。該蝕刻室100被建構成一平行板蝕刻 反應器。通常,該室襯裡104包含一第一襯裡134,一第二 襯裡118’或兩個第一襯裡134或兩個第二襯裡118。至少 一路徑被設置於每一室襯裡1〇4内至少部分地位在該室觀 裡中且具有一入口及一出口讓一流體從一溫度受控制的 流體供應系統’如一熱交換器1 2 1,流經該路徑。為了要 便於說明本發明的襯裡,本發明的襯裡的一實施例將被描 述為具有一第一襯理134及一第二襯裡118。熟悉此技藝者 將可瞭解的是,一單一件式,可取下的襯裡亦可被製造並 用來取代上襯理U4及下襯裡118。通樣可被瞭解的是,大 小不同的上襯理134及下襯裡118亦可被使用且本文中所 示的實施例只是用來幫助說明本發明而已。現將說明上觀 理134及下襯裡1 18。 室100大致上包括一環狀側壁106,一底壁1〇8,及一 蓋子組件102,它們一起界定一室體積11〇。通常,該室禮 積110被分割成一處理體積112(該室的上部區域)及一抽泵 體積114(該室的下部區域)。 底壁108具有一抽泵淳138,過多的處理氣禮或在處理 期間所產生揮發性物質可經由該埠從該室中被一真空背 浦1 09排出至排氣系統11 0。底壁1 08額外地具有兩個孔 116(只有一個被示於第2囷中)其提供從該室100的外部到 該第二概裡118的途徑。A back plate 161 is disposed adjacent to the electrostatic chuck 55. The back plate 161 has an internal cooling passage and supplies a temperature-controlled fluid from the heat exchanger 121 through an inlet 163. This temperature-controlled fluid, such as a mixture of ethylene glycol and deionized water, circulates through the channels in the cooling plate. Preferably, an electrostatic chuck 55 is attached to the back plate 161 to maximize the heat transfer from the electrostatic chuck 55 to the back plate cooling channel and to the temperature-controlled fluid. In another aspect of the present invention, the back plate 1 6 1 is bonded to the electrostatic chuck 55 by a bonding layer made of a material having high thermal conductivity. The bonding layer may include a metal such as a chain, copper, hetero, ore, or an alloy thereof. This bonding layer can fix the electrostatic chuck 55 to the cooling plate 161 without using bolts and thus reduce the mechanical stress on the electrostatic chuck 55. Moreover, the bonding layer has a homogeneous composition which can provide a more uniform heat transfer rate and reduce the difference in thermal impedance generated at the interface between the cooling plate 161 and the electrostatic chuck 55. Preferably, the bonding layer is ductile and compliant to provide an interface that can absorb the thermal stress generated by the thermal expansion difference between the electrostatic chuck 55 and the cooling plate 1 61 without interfering with the electrostatic clamping. Head 55 deals damage. Although a combined contact can provide a uniform heat transfer rate, it is difficult for a combined contact to withstand different thermal expansion coefficients due to different materials, such as the electrostatic chuck 55 and the cooling plate 1 6 1. Generated thermal stress. An example of the bonding layer is made of a ductile and compliant material, which is flexible and can absorb the thermal stress caused by the different thermal expansion coefficients of the electrostatic chuck 55 and the cooling plate 161. A suitable bonding material includes a high bonding strength, page 20 of this paper rule. National Standard (CNS) A4 specification (210X297 public love) " "-a (Please read the precautions on the back before filling this page ) Printing · Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 588401 A7 ___ B7__ 5. Description of the invention () Pressure-sensitive acrylic adhesive, which is added with titanium diboride and applied to an extended aluminum support. Fillers, stretched metal and embossed surfaces enhance the flexibility and thermal properties of the bond. The temperature of the substrate 10 is stabilized by the temperature of the electrostatic chuck 55 and a cooling gas such as helium is supplied from the cooling gas source 107 to the substrate 10 and the groove formed on the receiving surface of the electrostatic chuck 55. The formed channels are controlled. This cooling gas facilitates heat transfer between the substrate 10 and the electrostatic chuck 55. The space between the back side of the substrate 10 and the receiving surface of the electrostatic chuck 55 is preferably divided into two regions, an inner region and an outer region. Two flow controllers 1070 and 107 are used to provide independent cooling gas flow control to the outer and inner zones, respectively. Typically, the desired cooling air flow is measured using pressure, Torr. The controllers 107 and 107i allow the inner and outer regions to be maintained at the same pressure or different pressures. Adjusting the pressures in the inner and outer regions can result in the ancestral temperature adjustment of the center and edges of the substrate. Therefore, by adjusting the pressure in the inner and outer regions, the temperature distribution over the entire substrate 10 can be controlled. The temperature across the substrate 10 can be adjusted to meet the specific temperature requirements of a particular etching process. For example, the temperature on the entire substrate may be consistent from the center to the edge. The temperature of the edge is higher than the temperature of the center, or the temperature of the center is higher than the temperature of the edge. During the plasma treatment, the substrate 10 is heated by the plasma in the chamber and the dual-zone cooling gas control is used to adjust the substrate temperature. Typically, the substrate 10 is maintained within a temperature range of about -20 to about 50 ° C, and a preferred operating range is between about 15 ° C and about 20 ° C. The internal and external cooling air page 21 This paper standard Lai S S National Standard (CNS) A4 size ⑽ X297 public love) '' (Please read the precautions on the back before filling this page) Equipment-Consumption by the Intellectual Property Bureau of the Ministry of Economic Affairs Cooperative printed 588401 A7 B7 _ 5. Description of the invention () The body region can also be operated to generate a thermal gradient on the substrate 10. For example, the cooling gas pressure in the inner and outer regions may be adjusted such that the temperature at the center of the substrate is higher or lower than the temperature β at the edge of the substrate. In addition, the cooling gas pressure in the inner and outer regions may be adjusted such that The temperature difference from the center of the substrate 10 to the edge of the substrate 10 is about 5 ° C or the temperature between the center and the edge remains approximately the same. The components of the substrate support 124, that is, the cooling plate 161, the electrostatic chuck 55, the dual-zone backside cooling gas, and the electrode 105 are operated in cooperation with each other to generate heat generated during the plasma processing operation performed in the chamber 100. Give away. Therefore, due to the relationship between thermal management and temperature control characteristics, high RF power and high magnetic fields can be used for long-term processing (ie, for magnetically enhanced processing chambers), because the temperature of the substrate 10 can be effectively Ground control, even in processing combining RF power above 2500 watts and magnetic fields above 100G. This temperature control and thermal management capability of the etching chamber 100 can be further enhanced by the direct temperature control features of the liners 118 and 134 described in Section II " " " Temperature Controlled Chamber Lining " in this specification. The gas panel 105 includes a flow control valve for processing gas supply and supplying processing gas to the processing chamber 100 under the control of the computer controller 140. The processing gas from the gas panel 105 is supplied to a plurality of gas inlets or nozzles 350 through a cover assembly 102 through a line 103. A plurality of nozzles 350 are distributed throughout the lid assembly 102 to provide process gas to the process volume 112, which will be described in detail in section III " Thermally Differentiated Gas Supply System 'of this specification. In operation, a semiconductor substrate 10 is placed on the substrate support 124 page 22. The paper size is applicable to China National Standard (CNS) A4 (210X297 mm) (Please read the precautions on the back before filling in this (Page) Printed and printed by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 588401 A7 ____B7 V. Description of the invention () (Please read the precautions on the back before filling this page) and the gas composition is from the gas panel 105 through the nozzle 350 is supplied to the processing chamber 100 to form a desired gas composition in the processing volume 112. The gas composition is excited into a plasma in the processing chamber 100 by applying RF power from the RF generator 150 to the impedance matching circuit 151 and to the electrons 105. The plasma formed by the gas is in contact with the surfaces of the temperature-controlled lid assembly 102 and the liner 104. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. The pressure in the processing chamber 100 is controlled using a throttle valve 8 between the chamber volume no and a vacuum vacuum pump. In a preferred embodiment, pump 109 provides a pumping capacity of more than 1,000 liters per second, at most between 1400 and 2000 liters per second, and more preferably 1600 liters per second. Pump 1 09 can be a single high-capacity vacuum pump or a combination of a vacuum pump and a turbo pump. Under the control of the controller 140, the pump 10 and the throttle valve 8 cooperate with each other to provide a favorable plasma etching process with an increased pressure and gas flow rate. In a preferred embodiment, the plasma etching chamber is a thermally controlled etching chamber capable of carrying out magnetically enhanced reactive ion etching (MERIE) and reactivity under low pressure and high total gas flow rate. Ion etching (RIE) etching processes, such as a total gas flow greater than 3 50 sccm, and a chamber pressure lower than 80 mT. Preferably, a processing chamber according to the present invention is capable of bringing the chamber pressure below about 50 Mt at a total flow rate of about 1000 seem. The plasma etching chamber having the embodiment of the present invention can perform a low-pressure-low-flow-rate dielectric etching process, such as spacer etching and mask opening etching, which is usually at a pressure between 1 OmT and about 80mT. And the total air flow rate is implemented at about 40 sccm to about 150 sccm. The plasma etching chamber provided with the embodiment of the present invention can perform a high-pressure-high-flow-rate dielectric etching treatment, such as the 23rd paper standard applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) 588401 A7 _____B7____ 5 Description of the invention () C4F8 & C2F6 based etching treatment is usually performed at a pressure between 150mT to 300mT and a total gas flow rate of about 350sccm to about 700sccm. The plasma etching chamber having the embodiment of the present invention can perform an etching process with a high total gas flow rate and a low chamber pressure, such as generally performed at a pressure between 10 mT to 120 mT and a total gas flow rate at about 600 sccm to about 900 sccm. C4F6 and CH2F3 are self-aligned and etched with high aspect ratio. In addition, the plasma etching chamber with the embodiment of the present invention can perform etching treatments in different processing states, for example, an electrode having a total gas flow rate of about 120 sccm to about 400 sccm and a chamber force of 70 mT to 120 mT. Etching process; an etching process having a total gas flow rate of about 100 sccm to about 450 sccm and a chamber pressure of 20 mT to 70 mT; and an etching process having a total gas flow rate of about 300 sccm to about 800 sccm and a chamber pressure of 20 mT to 7 0mT etching process. The following section 11: π chamber process window and representative key dielectric etching process, will provide more improved oxide and dielectric etching processes implemented by the plasma etching chamber with the embodiment of the present invention. Window details. A controller 140 including a central processing unit (CPU) 144, a memory 142, and a branch source circuit 146 of the CPU 144 is coupled to different components of the processing chamber 100 to facilitate control of the chamber. To facilitate the control of the room as described above, the CPU 144 may be a general-purpose computer processor used in industrial equipment to control different room components or even a processing system that uses computer-controlled room components. Other processors. The memory 142 is coupled to the CPU 144. Memory 142 or computer-readable media 'may be currently available memory, such as remote or local random dynamic access. Page 24 This paper applies the Chinese National Standard (CNS) A4 specification (210X297 mm). (Please read the notes on the back before writing this page.) Binding, printing, and printing by the Intellectual Property Bureau of the Ministry of Economic Affairs, printed by 588401 A7 B7 __ i. Description of the invention () Memory (RAM), read-only memory (ROM ), Floppy drive, hard drive, or any other form of digital storage device. The support circuit 146 is coupled to the CPU 144 to support the processor in a conventional manner. Support circuits 1 46 include cache memory, power supplies, clock circuits, input / output circuits and subsystems, and more. A process, such as an etching process, is usually stored in the memory 142, typically a software program. The software program can also be stored and / or executed by a second CPU (not shown), which is located remotely from where the hardware controlled by the CPU 144 is located. The software program performs a process, such as an etching process, to operate the chamber 100 to implement the steps of the process. When the software program is executed by the CPU 144, the software program converts the general-purpose brain into a special processing computer (controller) 140 which controls the operation of the chamber to perform the steps of the processing. Although the embodiments of the present invention are described in the form of a software program, some or all of the method steps mentioned in this document can also be implemented by hardware or by a software controller. Therefore, the present invention can be embodied as software and executed by a computer system, and can be embodied in hardware as a special gift circuit or other forms, or a combination of software and hard gifts. The π · temperature-controlled room lining printed by the employee's cooperative of the property bureau is used in an etching treatment system, such as the processing system 50, and the temperature-controlled room components, such as a room lining 104 and a lid assembly 102, can be used by Refer to 2-6 囷 for a clearer understanding. Embodiments of the present invention also provide a method for controlling the temperature of chamber components to significantly improve the formation of deposits on these chamber structures. Page 25 588401 A7 B7 V. Description of the Invention () The adhesion of deposits on the pieces. Figure 2 is a cross-sectional view of an embodiment of an etching chamber 100 of the present invention having a chamber liner 104. The etching chamber 100 is constructed as a parallel plate etching reactor. Typically, the chamber liner 104 includes a first liner 134, a second liner 118 ', or two first liners 134 or two second liners 118. At least one path is provided in each of the chamber linings 104 at least partially in the chamber and has an inlet and an outlet for a fluid from a temperature-controlled fluid supply system, such as a heat exchanger 1 2 1 Flowing through the path. To facilitate the description of the liner of the present invention, an embodiment of the liner of the present invention will be described as having a first liner 134 and a second liner 118. Those skilled in the art will appreciate that a single piece, removable liner can also be manufactured and used to replace the upper lining U4 and the lower lining 118. In general, it can be understood that upper linings 134 and lower linings 118 of different sizes can also be used and the embodiments shown herein are only used to help illustrate the present invention. The upper view 134 and the lower lining 118 will now be described. The chamber 100 generally includes an annular side wall 106, a bottom wall 108, and a lid assembly 102, which together define a chamber volume 110. Typically, the chamber volume 110 is divided into a processing volume 112 (the upper region of the chamber) and a pumping volume 114 (the lower region of the chamber). The bottom wall 108 has a pump 138. Excessive treatment gas or volatile substances generated during the treatment can be discharged from the chamber to the exhaust system 110 by a vacuum pump 1 09 through the port. The bottom wall 108 additionally has two holes 116 (only one is shown in section 2) which provides access from the outside of the chamber 100 to the second temple 118.

第26T 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) ............φ-^- - (請先閲讀背面之注意事項再填寫本頁) 訂. 經濟部智慧財產局員工消费合作社印製 588401 A7 B7 五、發明説明() 蓋子組件102的實施例被詳細地示於第3A,3B圖的平 面圖及第4圖的剖面圖中。在示於第4圖的一實施例中,蓋 子組件102包含該第一襯裡134及一蓋子202。第一觀裡134 具有一朝外延伸的凸緣342其置於該側壁1 〇6的頂端《蓋子 組件1 02的不同構件被適當地建構用以提供在需要的地方 提供一氣體密封用以確保該處理體積112的真空完整性。 例如,蓋子組件102可在蓋子202被蓋在定位上時被大致向 下偏動,當蓋子組件102被安裝在該處理室1〇〇中時其施加 一向下的力量於該第二襯裡118上。 仍參照第4圖,第一襯裡134是由一導熱材質所製成, 如陽極化的鋁,不銹鋼,陶瓷或其它相容的材質。第一襯 裡1 3 4可輕易地被取下以進行清潔並可在會於處理期間發 生沉積處提供一可取下的表面β第一襯裡134包含一中央 區310其具有一圓盤形頂面312,及一底面316。該圓盤形 頂面312具有一周邊314其連接至該朝外延伸的凸緣3 42。 從底面316延伸出的是一圓柱形襯裡壁318。底面316及襯 裡壁318具有曝露在處理體積112中的内表面316。如將於 底下的第IV節中詳細說明的, 一流體路徑322被設置於中央區310内。該流體路徑 3 22可用數個傳統的方式來形成,如在鑄造期間形成流體 路徑322。翻到第3Α囷,形成流體路徑322的另一種方法為 鑽數個交又的盲孔208,其中每一孔208用一螺栓210來加 以密封,藉以形成流體路徑322。 回到第4圖,兩個凸起部326 (只有一個示於第4囷中) 第27Χ 本紙張尺度適用中國國家標準(CNS)A4規格(210Χ 297公釐) Γ請先閲讀背面之注意事項再填寫本頁) 裳. -訂· 經濟部智慧財產局員工消費合作社印製 588401 A7 B7 五、發明説明() 從該中央區310的表面312突出。每一凸起部326具有一中 心孔3 2 8其經由各自的孔3 2 4而流體地耦合至該流體路徑 322 « 流體路徑322接收來自於熱交換器或冷媒源ι21的流 體。與所有曝露於電漿中的表面一樣地,第一襯裡134被 該電漿蝕刻室内所實施的電漿處理所加熱。流體藉由將熱 從第一襯裡134導至流體内來調節該第一襯裡134的溫 度。當流體從流體源1 2 1循環經過該第一襯裡1 3 4時,從該 第一襯裡134被移走的熱量被控制,因此可讓第一襯裡134 被保持在一預設的溫度。 可以是液體及/或氣體流體之流體被流經該流體路徑 322用以提供第一襯裡134的溫度控制《該流體最好是一液 體,如去離子水及/或乙二醇。其它流體,如液態或氣態氮 或二氯二氟代甲烷,亦可被使用。或者,第一襯裡134可 使用加熱的流體加以均勻地加熱。 熟悉此技藝者能夠利用本文所揭示的教導想出其它 結構的流髏路徑。例如,如第3Β圖中所示的,一蓋子組件 202可包含一第一流體路徑322Α及一第二流體路徑322Β。 該第一及第二流鳢路徑322A及322B可分享一共用的入口 33 0i及一共用的出口 33 0〇,如第3B圖所示。额外的入口及 出口亦可被使用。該第一及第二流體路徑322A及322B在一 M二管路徑"的結構中為兩個。额外的管路徑可交替地被使 用。 回到第3 Α圖及第4囷,快速連接式流體耦合件被用來 第28貫 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝- 、^1· 經濟部智慧財產局員工消費合作社印製 588401 A7 _______ B7 五、發明説明() 流體地連接一第一流體供應121及該第一襯裡134用以便 於將該第一襯理134快速地從該室1〇〇取下並加以更換。典 型地,一具有一公管螺紋的快速連接件被螺轉入在該凸起 部3 34的中心孔328内的母螺紋中。匹配的耦合件322被附 著於一流體供應121的終端。該流體共應管334將路徑322 耦合至流體供應121。此結構的一項優點為在第一襯裡134 的更換期間,該流體供應管334可在不需使用工具下被拆 下。然而,將該第一襯裡13 4耦合至該流體供應管334的其 它機構(例如,管螺紋,筒夾連接件及類此者)都可被使用。 快速連接件是可在市場上購得且通常是根據檗的大小(螺 紋形式及流量)及在一特定的晶圓處理設備或實驗室中所 使用的廠牌(為了維修庫存的目的)來加以選擇。 回到第4圖,襯裡壁318被作成可在最小裕度下滑移於 側壁1 06内的大小。該襯裡壁3 1 8的高度可改變,且在沒有 第二襯裡時,延伸至室底部108。通常,如果第一襯裡134 及第二襯裡1 1 8兩者都被使用的話(如第2圖所示),則襯裡 被比例化用以嵌設於室1 00的内部中用以提供所需的壓擦 力量,而在該蓋子組件102被夾箝於定位上時將該第二襯 裡118密封於室底部1〇8上。 該襯裡壁318可额外地包含數個用於不同用途之其它 的埠。此等其它的埠的一個例子為基材存取埠用以與該細 缝閥開孔對準。 回到第2圖,現將說明第二襯裡11 8 ^第二襯裡i丨8被 設置於室100内用以包圍該基材支撐件124並形成一可被 第29頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝· 、ij· 經濟部智慧財產局員工消費合作社印製 588401 經濟部智慧財產局員工消費合作社印製 Α7 Β7 五、發明説明() 輕易地取下及清潔的沉積區域。 該第二襯裡11 8具有一流體路徑丨i 9,其内的流體是從 流體源121利用導管123來提供的❹如第一襯裡134的操作 一般,該流體藉由將熱從第二襯裡118導入該流體而將熱 帶走,並藉以調節第二襯裡118的溫度。當流體從該流醴 源121循環經過第二襯裡118時,從第二襯裡118上被帶走 的熱量受到控制,因可讓該第二襯裡118被保持在一預設 的溫度。 第5及6圖更詳細地顯示第二襯裡us。第二襯裡118是 由一導熱材質所製成,如陽極化鋁,不銹鋼,或其它相容 物質。第二襯裡118包含一底座區502其連接一内壁5 04及 一外壁506。該底座區502的内表面508,内壁504及外壁506 曝露於該抽泵體積114中。如在第IV節,,室表面改變用以改 善黏附性π中詳細說明的且參照室於第8圖及第13-20圖的 實施例,内表面508可被建構成藉由降低沉積膜層的表面 張力而來改善沉積膜層的黏附性。 該基座區502包含一流體路徑119。該流體路徑119可 用傳統的方法來形成,如在說明第一襯裡1 3 4時所提到的 方法。在一實施例中,該流體路徑119大致為圓形,万開 始及結束於與一排氣埠520相鄰處,該排氣埠被設置成穿 過該第二襯裡118。 每一流體路徑119終止於一凸起部510,該凸起部510 從該基座502的一外表面延伸出。該凸起部510與該底壁 108相交接且確保該該第二襯裡118在該室100内之適當的 第30頁 本紙張尺度適用中國國家標準(CNS)A4規格(210Χ 297公釐) .........卜--裝.........訂.........#· (請先閲讀背面之注意事項再填寫本頁) 588401 A7 B7 五、發明説明() (請先閲讀背面之注意事項再填寫本頁) 方向(即,所有埠都對準為了要方便快速更換該第二襯 裡118,快速連接式流體耦合件被使用於第二襯裡Π8與一 導管1 23之間,該導管流體地耦合該路徑1 1 9及該流體源 121。内壁504為圓柱形且被作成可在最小裕度下滑移於該 基材支撐件124上的大小。該内壁504非必要地包含一電漿 限制機構5 1 6。該電漿限制機構5 1 6可以是一 5被設置於一 突起物518内的限制磁鐵516,該突起物被形成於該内壁 504中且面向外壁506。該突起物518位在該内壁5 04上遠離 該基座處使得該電漿限制磁鐵516在該第二襯裡118被安 裝時是位在該基材支撐件124底下。該電漿限制磁鐵516可 以是一磁鐵。本發明的特徵所在之該電漿限制磁鐵的其它 實施例被詳細地說明於底下”電漿限制"乙節中(第2 1至27 •圖)。 經濟部智慧財產局員工消費合作社印製 外壁506大致為圓柱形且被作成可與該等室壁ι〇6 一 起界定一最小的間隙的大小。外壁506可改變,特別是如 果一第一襯裡134亦如上所述地被使用的話。外壁506额外 地包含該排氣埠520其與該抽泵埠138對準。該排氣埠520 可部分地包圍該側壁108的一部分。該排氣埠520提供在該 抽泵體積U4内之氣體至該節流閥8及真空幫浦! 09的流體 途徑。 外壁506可非必要地包括一節流凸柱522其延伸至該 抽泵禮積114内。該節流凸柱522位在與該内壁504的突出 物5 1 8接近處用以產生一環形流孔524用來控制從處理禮 積1 1 2流至抽泵體積Π 4的氣流。外壁506可額外地包含數 第31頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公爱) 588401 A7No. 26T This paper size applies to China National Standard (CNS) A4 (210X297 mm) ............ φ-^--(Please read the precautions on the back before filling this page) Order Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 588401 A7 B7 V. Description of the Invention () The embodiment of the cover assembly 102 is shown in detail in the plan views of FIGS. 3A and 3B and in the cross-sectional view of FIG. 4. In an embodiment shown in FIG. 4, the cover assembly 102 includes the first liner 134 and a cover 202. The first view 134 has an outwardly extending flange 342 placed on top of the side wall 106. The different components of the lid assembly 102 are suitably constructed to provide a gas seal where needed to ensure The vacuum integrity of the processing volume 112. For example, the lid assembly 102 may be biased substantially downward when the lid 202 is placed in position, and when the lid assembly 102 is installed in the processing chamber 100, it exerts a downward force on the second liner 118. . Still referring to FIG. 4, the first lining 134 is made of a thermally conductive material, such as anodized aluminum, stainless steel, ceramic, or other compatible materials. The first lining 1 3 4 can be easily removed for cleaning and can provide a removable surface where deposition will occur during processing. The first lining 134 includes a central area 310 having a disc-shaped top surface 312 , And a bottom surface 316. The disc-shaped top surface 312 has a periphery 314 which is connected to the outwardly extending flange 34. Extending from the bottom surface 316 is a cylindrical lining wall 318. The bottom surface 316 and the lining wall 318 have an inner surface 316 exposed in the processing volume 112. As will be explained in detail in section IV below, a fluid path 322 is provided within the central area 310. The fluid path 322 can be formed in a number of conventional ways, such as forming the fluid path 322 during casting. Turning to 3A 囷, another method of forming the fluid path 322 is to drill a plurality of overlapping blind holes 208, wherein each hole 208 is sealed with a bolt 210 to form the fluid path 322. Returning to Figure 4, two raised portions 326 (only one is shown in Section 4). 27XX This paper size applies the Chinese National Standard (CNS) A4 specification (210X 297 mm). Γ Please read the notes on the back first (Fill in this page again.) Sang. -Order · Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 588401 A7 B7 V. Description of the invention () Protrudes from the surface 312 of the central area 310. Each raised portion 326 has a central hole 3 2 8 which is fluidly coupled to the fluid path 322 through a respective hole 3 2 4. The fluid path 322 receives a fluid from a heat exchanger or a refrigerant source 21. As with all surfaces exposed to the plasma, the first liner 134 is heated by the plasma treatment performed in the plasma etching chamber. The fluid adjusts the temperature of the first liner 134 by directing heat from the first liner 134 into the fluid. When a fluid is circulated from the fluid source 1 2 1 through the first liner 1 3 4, the heat removed from the first liner 134 is controlled, so that the first liner 134 can be maintained at a preset temperature. A fluid, which may be a liquid and / or gaseous fluid, is passed through the fluid path 322 to provide temperature control of the first liner 134. The fluid is preferably a liquid, such as deionized water and / or glycol. Other fluids, such as liquid or gaseous nitrogen or dichlorodifluoromethane, can also be used. Alternatively, the first liner 134 may be uniformly heated using a heated fluid. Those skilled in the art will be able to use the teachings disclosed in this article to come up with crisscross paths for other structures. For example, as shown in FIG. 3B, a cover assembly 202 may include a first fluid path 322A and a second fluid path 322B. The first and second flow paths 322A and 322B can share a common entrance 33 0i and a common exit 33 00, as shown in FIG. 3B. Additional entrances and exits can also be used. The first and second fluid paths 322A and 322B are two in a structure of a two-tube path. Additional tube paths can be used alternately. Returning to Figure 3A and Figure 4A, the quick-connecting fluid coupling is used for the 28th paper size to apply the Chinese National Standard (CNS) A4 specification (210X297 mm) (Please read the precautions on the back before filling (This page)-^ 1 · Printed by the Intellectual Property Bureau Employees ’Cooperative of the Ministry of Economic Affairs 588401 A7 _______ B7 V. Description of the invention () A first fluid supply 121 and the first lining 134 are fluidly connected to facilitate the first A liner 134 is quickly removed from the chamber 100 and replaced. Typically, a quick-connect member having a male pipe thread is screwed into a female thread in a central hole 328 of the raised portion 34. A mating coupling 322 is attached to the end of a fluid supply 121. The fluid responsive tube 334 couples the path 322 to the fluid supply 121. One advantage of this structure is that the fluid supply tube 334 can be removed without the use of tools during the replacement of the first liner 134. However, other mechanisms (e.g., pipe threads, collet connections, and the like) that couple the first liner 134 to the fluid supply tube 334 may be used. Quick-connects are available on the market and are usually based on the size of the grate (thread form and flow rate) and the brand used in a particular wafer processing facility or laboratory (for maintenance inventory purposes) select. Returning to Fig. 4, the lining wall 318 is made to have a size capable of sliding down inside the side wall 106 with a minimum margin. The height of the lining wall 3 1 8 can be varied and extends to the bottom 108 of the chamber without a second lining. Generally, if both the first lining 134 and the second lining 1 1 8 are used (as shown in Figure 2), the lining is scaled to fit in the interior of the chamber 100 to provide the required And the second liner 118 is sealed to the chamber bottom 108 when the cover assembly 102 is clamped in position. The lining wall 318 may additionally include several other ports for different uses. An example of these other ports is a substrate access port to align with the slit valve opening. Returning to FIG. 2, the second lining 11 8 ^ is provided in the chamber 100 to surround the substrate support 124 and form a sheet which can be used on page 29 of this paper. Standard (CNS) A4 specification (210X297 mm) (Please read the precautions on the back before filling out this page). ·· ij · Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs and Consumer Cooperatives 588401 Α7 Β7 5. Description of the invention () The deposition area can be easily removed and cleaned. The second lining 11 8 has a fluid path i 9 in which the fluid is provided from the fluid source 121 using the conduit 123, as in the operation of the first lining 134, and the fluid is heated by removing heat from the second lining 118 The fluid is introduced to remove the heat and thereby adjust the temperature of the second liner 118. When the fluid is circulated from the flow source 121 through the second liner 118, the heat taken away from the second liner 118 is controlled because the second liner 118 can be maintained at a preset temperature. Figures 5 and 6 show the second liner us in more detail. The second liner 118 is made of a thermally conductive material, such as anodized aluminum, stainless steel, or other compatible materials. The second lining 118 includes a base region 502 connected to an inner wall 504 and an outer wall 506. The inner surface 508, the inner wall 504 and the outer wall 506 of the base area 502 are exposed in the pumping volume 114. As in Section IV, the chamber surface is changed to improve the adhesion π, and the chamber is illustrated in Figures 8 and 13-20, the inner surface 508 can be constructed by reducing the deposited film To improve the adhesion of the deposited film. The base region 502 includes a fluid path 119. The fluid path 119 can be formed by a conventional method, such as the method mentioned in the description of the first liner 134. In one embodiment, the fluid path 119 is substantially circular and starts and ends adjacent to an exhaust port 520 that is configured to pass through the second liner 118. Each fluid path 119 terminates in a protrusion 510 that extends from an outer surface of the base 502. The raised portion 510 meets the bottom wall 108 and ensures that the proper size of the second lining 118 in the chamber 100 is page 30. This paper size applies to the Chinese National Standard (CNS) A4 specification (210 × 297 mm). ........ Bu--install ......... Order ......... # · (Please read the notes on the back before filling this page) 588401 A7 B7 5 Description of the invention () (Please read the precautions on the back before filling out this page) Direction (ie, all ports are aligned In order to facilitate the rapid replacement of the second liner 118, a quick-connect fluid coupling is used in the second liner Between Π8 and a conduit 1 23, the conduit is fluidly coupled to the path 1 1 9 and the fluid source 121. The inner wall 504 is cylindrical and is made to slide down on the substrate support 124 with a minimum margin. The size of the inner wall 504 does not necessarily include a plasma restricting mechanism 5 1 6. The plasma restricting mechanism 5 1 6 may be a restricting magnet 516 provided in a protrusion 518 formed on the protrusion The inner wall 504 faces the outer wall 506. The protrusion 518 is located on the inner wall 504 away from the base so that the plasma restricting magnet 516 is on the second liner. 118 is installed under the substrate support 124. The plasma-limiting magnet 516 may be a magnet. Other features of the present invention, the plasma-limiting magnet are described in detail below "plasma" Restrictions " Section B (Figures 2 1 to 27 • Picture). The printed outer wall 506 of the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs is approximately cylindrical and is made to define a minimum gap with these room walls. The outer wall 506 can be changed, especially if a first liner 134 is also used as described above. The outer wall 506 additionally includes the exhaust port 520 which is aligned with the pumping port 138. The exhaust port 520 It may partially enclose a part of the side wall 108. The exhaust port 520 provides a fluid path for gas in the pumping volume U4 to the throttle valve 8 and vacuum pump! 09. The outer wall 506 may optionally include a throttle The protruding post 522 extends into the pumping product 114. The throttling protruding post 522 is located close to the protrusion 5 1 8 of the inner wall 504 to generate an annular flow hole 524 for controlling the processing of the product 1 Air flow from 1 2 to pump volume Π 4. Outer wall 506 can additionally include the number page 31 This paper size applies to Chinese National Standard (CNS) A4 specification (210X 297 public love) 588401 A7

五、發明説明() — — — — — — — — 雪 — I — I I (請先閲讀背面之注意事項再填寫本頁) 個用於不同目的的數個皡。此等其它埠的一個例子為一基 材攢取埠5 2 6其與側壁1 0 6内的一細缝開孔1 3 9對齊。翻到 第28圖,其顯示襯裡118的另一實施例,外壁506並不包括 一節流突柱5 22且只有突出物518延伸至該抽泵髏積114 内。 一依據本發明之溫度受控制的襯裡的操作可參照第2 圖所示加以說明。在操作時,第一襯裡134及第二襯裡1 18 的溫度係藉由讓流體從該流體源1 2 1流經在襯裡1 1 8及1 3 4 内的路徑119及322來加以控制的。該流體藉由讓熱從襯裡 118及134傳遞至流體而來調節襯裡134及118的溫度。來自 於流體源1 2 1的流體在溫度及流率上皆受到控制,因此可 控制從襯裡118及134被移走的熱量,且可讓襯裡118及134 被保持在一預設的溫度。在一舉例性的實施例中,一使用 者提供襯裡壁溫度的一設定點至該控制器140中且該控制 器140調整從該熱交換器121流出之流體輸出的數量及溫 度用以保持在該使用者所輸入的設定點。 經濟部智慧財產局員工消費合作社印製 因為襯裡118及134的溫度係用在路徑119及3 22内的 流體加以預先地控制且較不依賴與室壁106的傳導,所以 襯裡118及134可在許多不同的電漿蝕刻處理條件下,如加 大的RF功率及較高的磁場,保持一大致均勻,可控制的溫 度。因此,藉由控制室襯裡1 04的溫度,被沉積於室襯裡 104上的物質數量可獲得較佳的控制且在被沉積的物質内 之應力可被最小化,藉以改善被沉積物質的黏著性。因為 該等溫度被控制的襯裡能夠改善副產物的黏著性,所以一V. Description of the invention () — — — — — — — — — Snow — I — I I (Please read the notes on the back before filling out this page) Several 皡 for different purposes. An example of these other ports is a base material access port 5 2 6 which is aligned with a thin slit opening 1 3 9 in the side wall 10 6. Turning to FIG. 28, which shows another embodiment of the lining 118, the outer wall 506 does not include a throttle stud 522 and only the protrusion 518 extends into the pump pump area 114. The operation of a temperature-controlled liner according to the present invention can be explained with reference to FIG. In operation, the temperatures of the first lining 134 and the second lining 1 18 are controlled by passing fluid from the fluid source 12 21 through paths 119 and 322 within the linings 1 1 8 and 1 3 4. The fluid regulates the temperature of the liners 134 and 118 by transferring heat from the liners 118 and 134 to the fluid. The fluid from the fluid source 1 2 1 is controlled in temperature and flow rate, so the heat removed from the liners 118 and 134 can be controlled, and the liners 118 and 134 can be maintained at a preset temperature. In an exemplary embodiment, a user provides a set point of the temperature of the lining wall to the controller 140 and the controller 140 adjusts the quantity and temperature of the fluid output from the heat exchanger 121 to maintain the The set point entered by the user. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. Because the temperature of the linings 118 and 134 is controlled in advance by the fluid in the paths 119 and 32, and is less dependent on the conduction with the chamber wall 106, the linings 118 and 134 can Many different plasma etching conditions, such as increased RF power and higher magnetic fields, maintain a roughly uniform, controllable temperature. Therefore, by controlling the temperature of the chamber lining 104, the amount of material deposited on the chamber lining 104 can be better controlled and the stress in the deposited material can be minimized, thereby improving the adhesion of the deposited material. . Because such temperature-controlled liners can improve the adhesion of by-products,

第32T 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 588401 A732T This paper size is applicable to China National Standard (CNS) A4 (210X297 mm) 588401 A7

五、發明説明() (請先閱讀背面之注意事項再填寫本頁) 較範圍的處理氣體組成,包括沉積物形成化學物如在氧化 物及介電質蝕刻處理中遭遇度的化學物,可被較有信心地 被使用。製程工程師在改變蝕刻氣體組成上具有較大自由 度,因為這些氣體組成所形成的副產物所造成的污染威脅 較小,因為本發明的襯裡具有改良的黏著性。以此方式, 具有本發明的實施例之蝕刻室的製程窗口被加大用以包 括一較大範圍之可用的蝕刻氣體組成。 III·熱差異化的氣體供應系統 經濟部智慧財產局員工消費合作社印製 回到第4圖,本發明的氣體分佈系統的一實施例將被 討論。第一襯裡134的上表面3丨2包含一中央凹部336。該 中央凹部336被蓋子202所覆蓋,界定一穩流室33 8其至少 部分地介於蓋子202與中央凹部336之間。蓋子202额外地 具有一中心孔340其可讓流體流從路徑334流入一氣體固 定在蓋子202上的氣體餽通件212。氣體餽通件212被密封 於該蓋子202上用以防止氣漏。氣體餽通件212通常被耦合 至側壁1 06内的流體路徑用以調整從氣體源(未示出)被送 至該穩流室338的氣體的溫度。或者,氣體餽通件212可直 接耦合至該氣體源。 在一實施例中,多個孔348被至少部分地設置於該中 央凹部336中。孔348通常是位在該第一襯裡134的中心周 圍的一極陣列中,雖然其它的位置亦可被使用。每一孔348 與一噴嘴350a相嵌合。喷嘴350a有助於將處理氣體及其它 氣體從該穩流室338散佈至該室100的處理體積112中。喷 第33頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 588401 A7 B7 五、發明説明() 嘴3 50a通常是由一非導電材質,如石英,矽碳化物,矽, 氮化鋁,氧化鋁,Y203,硼碳化物,或類此者所製成。 II 11111·面 I I (請先閲讀背面之注意事項再填寫本頁) 第7 A-7F圖顯示可有利地將循環回該室内的氣體流最 小化。雖然標號350,350a被使用,但應被瞭解的是其它 的噴嘴350b至3 50f亦可被使用。翻到第7A圖。在示於第7A 圖的喷嘴的一實施例中,喷嘴35 0a包括一安裝部7 17及一 氣體輸送部715其與室體積11〇相聯通。該安裝部717具有 一凸緣710其從喷嘴35 0a的周邊延伸出典型地朝向喷嘴 350a曝露在穩流室3 3 8中的一侧。喷嘴350a額外地包含一 中央路徑724其流體地耦合該穩流室33 8及該室體積1 10。 該中央路徑724通常是被設置成與該喷嘴3 50a的中心線同 軸。非必要地,額外的路徑可被用來流體地耦合該穩流室 338及該室體積110。此外,一喷嘴的氣體輸送部可與第一 襯裡134齊平,例如,在第7A圖中的噴嘴3 50a及第7B圖中 的350b所示的。或者,一喷嘴的氣體輸送部可延伸超過第 一襯裡134,例如,在第7C圖中的喷嘴35 0c及第7D圖中的 350d,第7E圖中的喷嘴350d及第7F囷中的3 50f所示的》 經濟部智慧財產局員工消費合作社印製 回到第7A圖,凸緣710與一設在第一襯裡134上的凹部 712相匹配。通常,該凸緣710的一接觸表面702及該凹部 712的匹配表面704具有一表面加工其平坦度約為1米爾 (mil)或更小,這可在該接觸表面702與匹配表面7〇4之間提 供最小的氣漏❶氣體輸送部715之一曝露的表面716可具有 一平滑的或一有花紋的表面。 第7B囷顯示一喷嘴的另一實施例,噴嘴30 5b,其大致 第34貫 本紙張尺度適用中國國家標準(CNS)A4規格(21〇X297公釐) 588401 A7 ___ B7_ 五、發明説明() 上與噴嘴350a相同,除了中央路徑724是非必要的。噴嘴 350具有一或多個路徑714其提供該穩流室338與該室體積 1 1 0之間的流體流通。通常,路徑7丨4與該喷嘴3 〇 5的中心 線成一角度。非必要地,安裝部7 1 7可延伸至該穩流室3 3 8 内。 第7C圖顯示一喷嘴的另一實施例,喷嘴3〇5c,其包含 該安裝部717及該氣體輸送部73 5。該氣鳢輸送部具有一靠 近該安裝部717的近端72 8及一相反的遠端71 8其突伸入該 室體積110内。該近端728與第一襯裡134之曝露在室體積 110中之表面共平面或相切齊。氣體輸送部73 5可具有一平 滑的或一有花紋的表面加工。一中央路徑720從該安裝部 71 7曝露於該穩流室338的一側722延伸至少部分穿過噴嘴 3 5 0c。一或多個第二路徑726流體地耦合該中央路徑720與 該室體積110。 通常,每一第二路徑726在該氣體輸送部735的外部上 的一出口 727係位在與該氣體輸送部73 5的近端728離至少 "DIST”的距離的位置處。此外,第二路徑726係相對於該 近端728夾一 0角。在實施例中,DIST大於約0.25英吋及 0角是在約1 5度至約3 5度的範圍之間。 第7D圖顯示一喷嘴的另一實施例,噴嘴305d,其大致 上與噴嘴350c相速。然而,喷嘴350d额外地包含一中央路 徑724其沿著該噴嘴3 50c的中心線延伸,讓該穩流室33 8直 接與該室體積110聯通。 第7E圖顯示一噴嘴的另一實施例,噴嘴3〇5e,其大致 第35頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝- ,訂· 經濟部智慧財產局員工消費合作社印製 588401 五 經濟部智慧財產局員Η消費合作社印製 A7 --------____ 發明説明() 上與喷嘴350d相似。然而,喷嘴350e只提供該中央路徑724 與該穩流室338與該室體積11〇之間。 第7F圖顯示一嘴嘴的另一實施例,嘴嘴MV,其大致 上與噴嘴350c相似。然而,喷嘴350f具有一安裝部717及一 氣體輸送部732其相對於安裝部717為傾斜的。喷嘴350a-3 5 Of其運作比傳統的喷嘴更為潔淨(即,處理副產物累積量 較少)’因為其靠近電漿,所以讓喷嘴較熱且不利於反應 副產物的沉積。因為喷嘴的氣體輸送結構讓該室内的氣體 回流最小化,所以被朝向該室的上部區域(即蓋子區域)吸 回之反應副產物的量即可被降低。 以上所述之喷嘴的共同點為它們都具有低的熱質量 且都沒有設冷卻機制。因此,它們的溫度在處理期間會升 高至一高於蓋子及襯裡的溫度,而讓喷嘴與蓋子及襯裡之 間有熱差異。這有助於降低在喷嘴上之聚合物的沉積。非 必要地,為了要確保聚合物會沉積在喷嘴上,則藉由喷砂 或化學處理而在它們表面上提供粗糙度。 氣體分佈系統的其它實施例被示於第8-13圖中。在第 8-13圖中,具有數個氣體注入孔225的迷你氣體分佈板220 被提供於襯裡134的中央區310中以取代喷嘴350並流體地 耦合該穩流室338及該室體積110。與喷嘴350相同地,迷 你氣體分佈板220面向該電漿的面積受到限制,使得:(1) 該面積被包含於一區域内,在該區域中入口的附近之被注 入的氣體形成的紊流可防止或阻礙聚合物的累積’及(2) 迷你氣體分佈板的大小或熱質量夠低,以允許該板之快速 第36頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝- 訂. 588401 A7 B7 五、發明説明() 的電漿加熱。為t要加強橫跨該迷你氣體分佈板220的面 積的氣體紊流,在每一迷你氣體分佈板220中的氣體注入 孔225相對於該板面向該室内部的表面成一角度。最好 是,氣體注入孔被弩壓角度使得來自於相鄰孔之氣體注入 流彼此交又或一起形成一旋渦系。在迷你氣體分佈板220 配置的另一實施例中,迷你氣體分佈板220從上襯裡表面 316稍微朝外延伸出用以強化其電漿加熱並強化氣體注入 紊流。最好是,該迷你氣體分佈板220每一個都是整個室 頂3 1 6的一小部分。 每一迷你氣體分佈板22 0都是由一半金屬材質,如 矽,或一介電質,如二氧化矽(石英)或藍寶石,或非導電 材質或與該處理室1〇〇内所實施的處理相容的材質所製 成。最好是,該迷你氣體分佈板220與溫度受控制的襯裡 134是熱隔絕的,使得它們能夠輕易地被該室内的電漿所 加熱。每一迷你氣禮分佈板220相對於室頂為夠小的,具 有一夠小的熱質量,因此能夠在電漿被點燃時快速地被電 漿所加熱。(例如,第一襯裡134具有一範圍在9英吋至14 英吋的範圍内之直徑’而迷你氣醴分佈板220則具有約 0.25-0.5英寸之間的被曝露的直徑。)其結果為,電漿將每 一迷你氣體分佈板220加熱至一足以防止任合聚合物累積 於其上的高溫。此優點在於每一迷你氣禮分佈板220的氣 體入口 22 5與喷嘴3 50的入口相同,可被保持無聚合物存 在。 最好是,每一迷你氣禮分佈板2 2 0的直徑夠小使得迷 第37頁 本紙張尺度適用中國國家標準(CNS)A4規格(210Χ 297公釐) II 雇 — _lllcli — I I (請先閲讀背面之注意事項再填寫本頁) 訂· 經濟部智慧財產局員工消費合作社印製 588401 經濟部智慧財產局員工消費合作社印製 A7 B7 發明説明() 你氣體分佈板220的整個底面220a被包在從入口 225喷出 的處理氣體的氣流紊流的一個區域内。因此,每一迷你氣 體分佈板22 0具有一介於〇·25至0.5英吋之間之曝露的直 徑。此區域具有足夠的氣體紊流用來阻擋或防止在表面 220a上之聚合物的累積1 參照第9及10圖,在底面22 0a附近的氣體紊流藉由從 該迷你氣體分佈板220的數個氣體入口 225導入一交叉的 氣體喷灑路徑而被加強。第9及10圖的實施例提供一旋渦 系(由第9圖的箭頭所標示^這是藉由相對於該迷你氣體分 佈板220的外表面220a以一角度A來鑽每一氣體入口 22 5來 達成的。最妤是,角度A的在約20度至約30度的範圍之内。 在示於第11圖的另一實施例中,該等氣體入口 225的氣體 噴灑路徑被導向其它的入口用以加強該氣體紊流。此替代 的喷灑模式以箭頭示於第11圖中。 該迷你氣體分佈板220的出口表面220a延伸稍微低於 該室頂的表面底下一段距離d,如第12圖所示,可進一步 防止聚合物累積於該迷你氣體分佈板220上。該距離d最妤 是介於約0.02英吋至约0.03英吋之間或為該迷你氣體分佈 板220的厚度的幾分之一。第12圖的放大剖面圖顯示出一 舉例性的結構,其中氣體入口 225為有角度的孔整個穿過 該迷你氣體分佈板220。處理氣體藉由一形成於該室頂316 上之共用的歧管230而被供應至企體入口 225。該水冷的室 頂3 16的一水套240亦被示於第12圖中。最好是,一熱隔絕 層250(其可為氮化鋁)被置於該迷你氣體分佈板220與該室 第38耳 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 装- ♦ 588401 A7 B7 五、發明説明() 頂3 16之間。 在控制下的聚合物沉積是所想要的實施例中,如氧化 物蝕刻處理中,第一襯裡丨34被保持在夠低的溫度下使得 聚合物累積在第一襯裡134之曝露出來的表面上成為一非 常硬的膜層,其實際上不會剝落或在室内部產生污染。熱 差異化的迷你氣體分佈板220及噴嘴350被驗漿加熱至一 足以防止聚合物累積於其上的高溫。因此,氣體入口 225 可被保持沒有聚合物。該迷你氣體分佈板220及噴嘴350的 小尺寸讓電漿能夠將它們充分地加熱至一高於聚合物沉 積溫度之上的溫度。小尺寸亦允許在小表面220a上的氣體 入口的集中用以提供足夠的氣體紊流來進一步防止聚合 物累積於表面220a,入口 225或噴嘴350上。氣體紊流可藉 由對來自於該迷你氣體分佈板220的每一氣體入口 225的 氣體提供一交又的或旋渦的式的喷氣路徑,且讓出口表面 220a低於室頂316而被加強。 噴嘴的尺寸最小化的另一項優點為,因為噴嘴尺寸相 對於溫度受控制的蓋子而言很小,所以形成於處理體積内 的電漿很可能會接觸到該溫度受控制的蓋子表面,藉以改 善副產物黏附於蓋子的特性。所有前述的特徵的組合可防 止在該迷你氣體分佈板220或喷嘴上的任合部分上之任何 聚合物之可觀察到的累積。 第8囷顯示一實施例其具有四個迷你氣體分佈板220 被安裝在第一襯裡134的四個被對稱地間隔開來的位置上 且位在晶圓10的周邊之上。第8圖亦顯示多個形成在該室 第39頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝· 訂· 經濟部智慧財產局員工消費合作社印製 588401 五、發明説明( 頂表面上之半球形凸塊。這些凸塊的高度約〇·5至約i.5mm 及彼此間隔約lmm。凸塊3〇〇為室表面花紋的另—實施 (請先閱讀背面之注意事項再填寫本頁) 例其在下一節"室表面變化以改善黏性"中將被詳細說 明。當然’在其它的實施例中額外的迷你氣體分佈板220 或喷嘴350可被提供,或它們的配置可被修改成與第$及8 圖所的不同。 IV ·室表面變化以改善黏性 本發明的另一項優點在於使用室表面拓撲來來改善 /儿積在至表面上的副產物的黏性。例如,在傳統的氧化物 特徵結構的氟碳基的電漿蝕刻中,形成聚合物的副產物是 很平‘的°參照罘2圖,副產物沉積會發生在兩個襯理 118,134及蓋子1〇2曝露於電漿148中的表面上。在沉積物 累積到一定的厚度時,沉積物將開始從蓋子及室襯裡上剥 落,而污染到製造中的半導體元件β 經濟部智慧財產局員工消費合作社印製 一般咸認,本發明的此一特徵進一步改善反應副產物 或沉積於該處理室内曝露於處理氣體中的表面上之其它 物質的黏性,讓該室可在下一次表面清潔之前有較長的操 作時間。甚者,此改良的副產物黏性可提高擴大的處理氣 體組成的使用’包括那些具有較高的副產物形成速率者。 詳了之’室的内部表面,如溫度受控制的襯裡及蓋子,被 建構成具有一表面輪廓或”花紋"其具有拓撲的特徵結構 (即,交錯的凸起及凹陷,峰與谷)它們的寬度,間隔,及 高度尺寸係介於100微米(0.1mm)至l〇〇mm之間,且最好是 第4Ό頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公愛) 588401 Α7 Β7 五'發明説明() 在500微米(〇.5mm)至8000微米(gmm)的範圍之内。相對 的,以傳統的噴砂所處理的平均表面粗糙度約4至6微米 (〇 · 1 5至0 · 23米爾),其至少比本發明的特徵結構小1 6倍。 表面的’’拓撲特徵結構”或"水平面特徵結構"意指一區 域其水平面偏離平均表面平面。一拓撲的特徵結構可以是 一外凸的突起或一内凹的凹陷。一特徵結構的"高度,,為峰 到谷之間的水平面偏離量。如果該特徵結構為一内凹的凹 陷的話,該特徵結構的π高度,,為該凹陷的深度。 一般咸認,本發明的表面花紋因以下至少兩項理由而 可改善被沉積物質的黏性。其中一項理由為垂直輪廓(垂 直於平均表面平面的輪廓)增加在被沉積膜層内在垂直於 該表面之方向上的擠壓力,因而可抵抗膜層導因於熱膨脹 及收縮所造成的膜層亀裂。第二個理由為一有花紋的表面 比平坦的表面具有一更大的表面積供物質結合。 表面積的增加與凹陷的深度或突起的高度成正比。雖 然增加高度可增加表面積藉以改善被沉積物質的黏性,但 高度超過某一特定的數值將變得不利。首先,一過高的高 度會讓該化紋表面的清洗很困難。其次,如果該花纹表面 是薄的,可取下的室蓋子或襯裡而非一相對厚的室壁的 話,則一過高的高度將話降低該蓋子或襯裡的強度及剛 性,使其更易受到意外損傷。 本發明的花紋可被施用於該處理室的任何構件的表 面上。("構件"一詞係指在該室内的的任何物件)該花蚊最 好是被施用於曝露在該室内的處理氣體下且在該晶圓之 .........….-裝: (請先閲讀背面之注意事項再填寫本頁) 訂· 經濟部智慧財產局員工消費合作社印製V. Description of the invention () (Please read the notes on the back before filling out this page) A wider range of processing gas composition, including deposit formation chemicals such as those encountered in oxide and dielectric etching processes, may Used more confidently. Process engineers have a greater degree of freedom in changing the composition of the etch gas, as the threat of contamination caused by the by-products formed by these gas compositions is less, and the liner of the present invention has improved adhesion. In this manner, the process window of an etch chamber having an embodiment of the present invention is enlarged to include a larger range of usable etch gas compositions. III. Thermally Differentiated Gas Supply System Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs Back to FIG. 4, an embodiment of the gas distribution system of the present invention will be discussed. The upper surface 321 of the first liner 134 includes a central recess 336. The central recess 336 is covered by the cover 202 and defines a steady flow chamber 338 which is at least partially interposed between the cover 202 and the central recess 336. The cover 202 additionally has a central hole 340 which allows fluid flow from the path 334 to a gas feedthrough 212 where the gas is fixed to the cover 202. A gas feedthrough 212 is sealed to the cover 202 to prevent air leakage. The gas feedthrough 212 is generally coupled to a fluid path within the side wall 106 to adjust the temperature of the gas sent from the gas source (not shown) to the steady flow chamber 338. Alternatively, the gas feedthrough 212 may be directly coupled to the gas source. In one embodiment, a plurality of holes 348 are at least partially disposed in the central recess 336. The holes 348 are generally located in a pole array around the center of the first liner 134, although other locations may be used. Each hole 348 is fitted into a nozzle 350a. The nozzle 350a helps disperse process gas and other gases from the steady flow chamber 338 into the processing volume 112 of the chamber 100. Sprayed on page 33. This paper size is applicable to Chinese National Standard (CNS) A4 (210X297 mm) 588401 A7 B7 V. Description of the invention () The mouth 3 50a is usually made of a non-conductive material, such as quartz, silicon carbide, silicon, Made of aluminum nitride, aluminum oxide, Y203, boron carbide, or the like. II 11111 · Side I I (Please read the notes on the back before filling out this page) Figures 7 A-7F show that it is advantageous to minimize the gas flow back to the room. Although reference numerals 350, 350a are used, it should be understood that other nozzles 350b to 350f can also be used. Turn to Figure 7A. In one embodiment of the nozzle shown in FIG. 7A, the nozzle 350a includes a mounting portion 717 and a gas conveying portion 715 which communicates with the chamber volume 110. The mounting portion 717 has a flange 710 that extends from the periphery of the nozzle 350a to the side of the nozzle 350a that is exposed in the steady flow chamber 338. The nozzle 350a additionally includes a central path 724 which fluidly couples the steady flow chamber 33 8 and the chamber volume 1 10. The central path 724 is generally disposed coaxially with the center line of the nozzle 350a. Optionally, additional paths may be used to fluidly couple the steady flow chamber 338 and the chamber volume 110. In addition, the gas delivery portion of a nozzle may be flush with the first liner 134, for example, as shown by the nozzle 350a in Fig. 7A and 350b in Fig. 7B. Alternatively, the gas conveying portion of a nozzle may extend beyond the first lining 134, for example, nozzle 35 0c in FIG. 7C and 350d in FIG. 7D, nozzle 350d in FIG. 7E and 3 50f in 7F 囷The Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economics shown back to Figure 7A. The flange 710 matches a recess 712 provided on the first lining 134. Generally, a contact surface 702 of the flange 710 and a matching surface 704 of the recess 712 have a surface processing with a flatness of about 1 mil or less. This can be achieved between the contact surface 702 and the matching surface 704. The exposed surface 716 of one of the gas delivery portions 715 providing the smallest air leakage therebetween may have a smooth or a patterned surface. Section 7B 囷 shows another embodiment of a nozzle, nozzle 30 5b, which is approximately the 34th paper size applicable to the Chinese National Standard (CNS) A4 specification (21 × 297 mm) 588401 A7 ___ B7_ V. Description of the invention () It is the same as the nozzle 350a except that the central path 724 is unnecessary. The nozzle 350 has one or more paths 714 which provide fluid flow between the steady flow chamber 338 and the chamber volume 110. Normally, the path 71-4 is at an angle to the center line of the nozzle 305. Optionally, the mounting portion 7 1 7 may extend into the constant flow chamber 3 3 8. Fig. 7C shows another embodiment of a nozzle, the nozzle 305c, which includes the mounting portion 717 and the gas delivery portion 735. The airway delivery portion has a proximal end 72 8 near the mounting portion 717 and an opposite distal end 71 8 which protrudes into the chamber volume 110. The proximal end 728 is coplanar or tangent to the surface of the first liner 134 exposed in the chamber volume 110. The gas conveying portion 735 may have a smooth or patterned surface finish. A central path 720 extends at least partially through the nozzle 3 5 0c from a side 722 of the mounting portion 7 1 exposed to the steady flow chamber 338. One or more second paths 726 fluidly couple the central path 720 with the chamber volume 110. Generally, an outlet 727 of each second path 726 on the outside of the gas transporting section 735 is located at a distance of at least " DIST " from the proximal end 728 of the gas transporting section 735. In addition, the first The two paths 726 are at an angle of 0 relative to the proximal end 728. In an embodiment, the DIST is greater than about 0.25 inches and the 0 angle is in a range of about 15 degrees to about 35 degrees. Figure 7D shows a Another embodiment of the nozzle, the nozzle 305d, is approximately at the same speed as the nozzle 350c. However, the nozzle 350d additionally includes a central path 724 that extends along the centerline of the nozzle 350c, allowing the steady flow chamber 33 8 to directly Connected to the chamber volume 110. Figure 7E shows another embodiment of a nozzle, nozzle 305e, which is approximately page 35. This paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) (Please read first Note on the back, please fill out this page again.) Packing-, order · Printed by the Consumer Property Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs, printed 588401 Five members of the Intellectual Property Bureau of the Ministry of Economic Affairs, printed by the Consumer Cooperatives A7 --------____ Description of invention () Is similar to nozzle 350d. However, nozzle 350e only provides this The path 724 is between the steady flow chamber 338 and the chamber volume 110. Fig. 7F shows another embodiment of a nozzle, the nozzle MV, which is substantially similar to the nozzle 350c. However, the nozzle 350f has a mounting portion 717 and a gas conveying section 732 are inclined relative to the mounting section 717. The nozzles 350a-3 5 Of operate more cleanly than conventional nozzles (ie, have less accumulated by-products of processing) because of their proximity to the plasma, So making the nozzle hot and not conducive to the deposition of reaction by-products. Because the nozzle's gas transport structure minimizes the gas backflow in the chamber, the amount of reaction by-products sucked back toward the upper area of the chamber (ie, the lid area) The nozzles described above have in common that they both have a low thermal mass and are not provided with a cooling mechanism. Therefore, their temperature will rise to a temperature higher than the temperature of the lid and the liner during processing, and Allow a thermal difference between the nozzle and the lid and liner. This helps reduce polymer deposition on the nozzle. Unnecessarily, to ensure that the polymer will deposit on the nozzle, use sandblasting or chemical Processed to provide roughness on their surfaces. Other embodiments of the gas distribution system are shown in Figures 8-13. In Figures 8-13, a mini gas distribution plate 220 with several gas injection holes 225 is provided In the central area 310 of the lining 134 to replace the nozzle 350 and fluidly couple the steady flow chamber 338 and the chamber volume 110. As with the nozzle 350, the area of the mini gas distribution plate 220 facing the plasma is limited such that: ( 1) The area is contained in an area where turbulence caused by the injected gas near the entrance prevents or hinders the accumulation of polymer 'and (2) the size or thermal mass of the mini gas distributor is sufficient Low to allow the board to be fast. Page 36 This paper size applies Chinese National Standard (CNS) A4 specifications (210X297 mm) (Please read the precautions on the back before filling this page) Binding-Binding. 588401 A7 B7 V. Description of the invention () Plasma heating. To enhance gas turbulence across the area of the mini gas distribution plates 220, the gas injection holes 225 in each mini gas distribution plate 220 are at an angle with respect to the surface of the plate facing the interior of the chamber. Preferably, the gas injection holes are pressurized at an angle such that gas injection flows from adjacent holes intersect each other or form a vortex system together. In another embodiment of the mini gas distribution plate 220 configuration, the mini gas distribution plate 220 extends slightly outward from the upper lining surface 316 to enhance its plasma heating and enhance gas injection turbulence. Preferably, each of the mini gas distribution plates 220 is a small part of the entire roof 3 1 6. Each mini gas distribution plate 220 is made of half metal material, such as silicon, or a dielectric material, such as silicon dioxide (quartz) or sapphire, or a non-conductive material or implemented in the processing chamber 100. Made of compatible materials. Preferably, the mini gas distribution plates 220 are thermally isolated from the temperature-controlled liner 134 so that they can be easily heated by the plasma in the room. Each mini air gift distribution plate 220 is small enough with respect to the roof of the room, and has a thermal mass small enough to be quickly heated by the plasma when the plasma is ignited. (For example, the first liner 134 has a diameter in the range of 9 inches to 14 inches, and the mini air radon distribution plate 220 has an exposed diameter between about 0.25-0.5 inches.) The result is The plasma heats each mini gas distribution plate 220 to a temperature high enough to prevent any polymer from accumulating thereon. This has the advantage that the gas inlet 22 5 of each mini air gift distribution plate 220 is the same as the inlet of the nozzle 3 50 and can be kept free of polymer. Preferably, the diameter of each mini-gift distribution board 2 2 0 is small enough that the paper size on page 37 applies to the Chinese National Standard (CNS) A4 specification (210 × 297 mm) II Hire — _lllcli — II (please first (Please read the notes on the back and fill in this page.) Order · Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs' Consumer Cooperatives 588401 Printed by the Ministry of Economic Affairs of the Intellectual Property Bureau's Consumer Cooperatives A7 B7 Description of Invention () The entire bottom surface 220a of your gas distribution board 220 is covered Within a region of a turbulent flow of the process gas ejected from the inlet 225. Therefore, each mini gas distribution plate 220 has an exposed diameter between 0.25 and 0.5 inches. This area has sufficient gas turbulence to block or prevent the accumulation of polymer on the surface 220a. 1 Referring to Figures 9 and 10, the gas turbulence near the bottom surface 22 0a passes through several of the mini gas distribution plates 220. The gas inlet 225 is reinforced by introducing an intersecting gas spray path. The embodiments of FIGS. 9 and 10 provide a vortex system (indicated by the arrow in FIG. 9 ^ This is to drill each gas inlet 22 at an angle A relative to the outer surface 220a of the mini gas distribution plate 220 5 The most important thing is that the angle A is in the range of about 20 degrees to about 30 degrees. In another embodiment shown in FIG. 11, the gas spray paths of the gas inlets 225 are directed to other The inlet is used to enhance the turbulence of the gas. This alternative spray pattern is shown by arrows in Figure 11. The outlet surface 220a of the mini gas distribution plate 220 extends slightly below the surface of the top of the chamber by a distance d, as in As shown in Figure 12, it is possible to further prevent the polymer from accumulating on the mini gas distribution plate 220. The distance d is at most between about 0.02 inches to about 0.03 inches or is the thickness of the mini gas distribution plate 220 A fraction. The enlarged sectional view of FIG. 12 shows an exemplary structure in which the gas inlet 225 is an angled hole and passes through the mini gas distribution plate 220 completely. The processing gas is formed by a chamber top 316 The common manifold 230 is supplied to the enterprise Body inlet 225. A water jacket 240 of the water-cooled roof 3 16 is also shown in Figure 12. Preferably, a thermal insulation layer 250 (which may be aluminum nitride) is placed on the mini gas distribution plate 220 and the 38th ear of this room The paper size applies to the Chinese National Standard (CNS) A4 specification (210X297 mm) Pack-588401 A7 B7 V. Description of the invention () Top 3 to 16. The polymer deposition under control is In the desired embodiment, such as in an oxide etching process, the first liner 34 is kept at a sufficiently low temperature so that the polymer accumulates on the exposed surface of the first liner 134 into a very hard film layer. It does not actually peel off or cause pollution inside the room. The heat-differentiated mini gas distribution plate 220 and the nozzle 350 are heated by the inspection slurry to a temperature high enough to prevent the polymer from accumulating thereon. Therefore, the gas inlet 225 can be Keep free of polymer. The small size of the mini gas distribution plate 220 and the nozzle 350 allows the plasma to sufficiently heat them to a temperature above the polymer deposition temperature. The small size also allows gas on the small surface 220a Centralized entrance Provide sufficient gas turbulence to further prevent polymer buildup on surface 220a, inlet 225, or nozzle 350. Gas turbulence can be achieved by providing gas to each gas inlet 225 from the mini gas distribution plate 220 Or swirling jet path, and the outlet surface 220a is strengthened below the top of the chamber 316. Another advantage of minimizing the size of the nozzle is that because the size of the nozzle is small compared to the temperature-controlled cover, Therefore, the plasma formed in the processing volume is likely to contact the temperature-controlled surface of the lid, thereby improving the characteristics of the by-product adhesion to the lid. The combination of all the foregoing features prevents observable accumulation of any polymer on the mini gas distribution plate 220 or any part of the nozzle. FIG. 8A shows an embodiment in which four mini gas distribution plates 220 are installed at four symmetrically spaced positions of the first liner 134 and above the periphery of the wafer 10. Figure 8 also shows a number of pages formed on this page. The paper size is applicable to Chinese National Standard (CNS) A4 (210X297 mm) (please read the precautions on the back before filling this page). Printed by the Intellectual Property Bureau employee consumer cooperative 588401 V. Description of the invention (Hemispherical bumps on the top surface. The height of these bumps is about 0.5 to about i.5mm and the distance between them is about 1mm. The bump 300 is a room Another implementation of the surface pattern (please read the precautions on the back before filling this page) For example, it will be explained in detail in the next section "Changing the surface of the chamber to improve the viscosity". Of course, 'extra' in other embodiments Mini gas distribution plates 220 or nozzles 350 may be provided, or their configurations may be modified from those shown in Figures $ and 8. IV. Chamber surface changes to improve viscosity Another advantage of the present invention is the use of a chamber surface Topology to improve the adhesion of by-products to the surface. For example, in traditional fluorocarbon-based plasma etching of oxide characteristic structures, the formation of polymer by-products is very flat. '° Reference 罘2 picture, byproduct Shen It will occur on the surfaces of the two linings 118, 134 and the lid 102 exposed to the plasma 148. When the deposits have accumulated to a certain thickness, the deposits will begin to peel off from the lid and the chamber lining, and contaminate it. Semiconductor components in manufacture β Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs. This feature of the invention further improves the adhesion of reaction byproducts or other substances deposited on the surface of the processing chamber exposed to the processing gas. This allows the chamber to have a longer operating time before the next surface cleaning. In addition, this improved by-product viscosity increases the use of an enlarged process gas composition, including those with higher by-product formation rates. The internal surfaces of the 'chamber', such as temperature-controlled linings and lids, are constructed to have a surface profile or "pattern" which has topological features (ie, staggered protrusions and depressions, peaks and valleys) Their width, interval, and height dimensions are between 100 microns (0.1mm) and 100mm, and it is best to be on the 4th page. The paper dimensions apply to Chinese national standards. (CNS) A4 specification (210X297 public love) 588401 A7 B7 Five 'invention description () in the range of 500 microns (0.5mm) to 8000 microns (gmm). In contrast, the average surface treated by traditional sandblasting The roughness is about 4 to 6 microns (0.15 to 0.23 mil), which is at least 16 times smaller than the characteristic structure of the present invention. The "topological characteristic structure" of the surface or "horizontal characteristic structure" means The horizontal plane of a region deviates from the average surface plane. The characteristic structure of a topology can be a convex protrusion or a concave depression. The "height of a characteristic structure" is the horizontal plane deviation from peak to valley. If the characteristic structure is a concave depression, the π height of the characteristic structure is the depth of the depression. It is generally recognized that the surface texture of the present invention can improve the viscosity of a deposited substance for at least two reasons. One of the reasons is that the vertical profile (the profile perpendicular to the average surface plane) increases the squeezing force in the deposited film layer in a direction perpendicular to the surface, so it can resist the film caused by thermal expansion and contraction. Layers are cleft. The second reason is that a patterned surface has a larger surface area for material binding than a flat surface. The increase in surface area is proportional to the depth of the depression or the height of the protrusion. Although increasing the height can increase the surface area to improve the viscosity of the deposited material, it will become unfavorable if the height exceeds a certain value. First, an excessively high height can make cleaning the textured surface difficult. Secondly, if the pattern surface is thin and the removable cover or lining is not a relatively thick wall, an excessively high height will reduce the strength and rigidity of the cover or lining, making it more vulnerable to accident damage. The pattern of the present invention can be applied to the surface of any member of the processing chamber. (The term " component " refers to anything in the room.) The flower mosquito is preferably applied to the processing gas exposed in the room and on the wafer ... … .-Pack: (Please read the precautions on the back before filling out this page) Order · Printed by the Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs

588401 A7588401 A7

五、發明説明() 上或附近之任何大的表面上0需要被提供本發明的花紋的 室表面典型地為室頂的下表面(即,室蓋i 〇2的内表面)及襯 裡1 34及1 1 8。因為室頂係位在被處理的晶圓的正上方,所 以任何從室頂的表面剥落的微粒都將落到該晶圓上因而 造成在該晶圓上的缺陷。因為室側壁或襯裡非常靠近晶圓 的周邊,所以從側壁或襯裡剥落下來的吾粒亦很有可能會 落到晶圓上。將花紋提供於位在晶圓底下的室構件的表面 上是較不重要的’因為從這些表面上剝落下來的微粒不太 可能會落在晶圓上。 在該室頂及側壁襯裡之裸露的表面上的凹陷及凸起 的不同形狀及尺寸都被加以測試。與平滑的,未經過處理 的表面或用喷砂處理過的表面比較起來,所有被測試的形 狀對於被沉積物質的黏性都有顯著的改善。 配合第4圖來觀看,第13及14圖分別為一襯裡134的下 表面316的一部分的頂視及剖面圖,該襯裡具有一花紋6〇 其是由一 2維陣列的方形凸起所構成。為了說明起見,孔 348及喷嘴350被省去。凸起具有高度Η,寬度W及介於相 鄰凸起間的間隔S。第15囷顯示一花紋60a,其中拓撲特徵 結構為該表面上的方形凹陷而非凸起,及其中方形凹陷的 侧邊被形成為相對於介於凹陷之間的水平面傾斜0角,使 得每一凹陷被形成為一具有一平底而非一尖底的倒四邊 形角錐。第16及17圖為另一花紋1 605的頂視及剖面圖,其 中凹陷為圓角或半球形的形狀。 第1 8及1 9圖分別為一花紋的立體及剖面圖,其是由一 第42頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) — — — — — — — — — — — — I I I (請先閲讀背面之注意事項再填寫本頁) 訂· 經濟部智慧財產局員工消費合作社印製 588401 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明( 襯裡118的多個圓周溝槽1805所構成的。 第20圖為一襯裡118的立體圖,其具有圓周溝槽1805 及縱向溝槽1 8 1 0 β 雖然每一拓撲特徵結構被說明為一凸起或凹陷,但亦 可將介於凹陷之間的區域認定為表面上的凸起。換言之, 可隨意地將凹陷或凸起設計作為拓撲特徵結構。因此,參 照第17圖的例子,介於凹陷或凸起之間的間距S最好是與 寬度W相同。更佳地,間距S與寬度W應相差2倍或更小。 相似地,高度最好是與寬度及間距的大小相同,及更佳地 為在其它兩尺寸的2倍以下° 在任一實施例中,吾人期待被沉積膜層對有花紋的表 面的黏性可被最大化,如果在有花紋的表面上沒有尖銳的 角落的話,因為尖銳的角落通常會提高在膜層内的應力。 因此,拓撲特徵結構的邊緣應具有圓角的角落其曲率半徑 應與微粒的高度相等。最好是,其曲率半徑的範圍是介於 130微米(0.13mm)至約500微米(0.5mm)之間。 測試結果-控制組 吾人藉由使用電漿蚀刻室來實施一用來蚀刻在碎晶 圓上的二氧化矽膜層的電漿處理來測試本發明,其中—包 含了 及C0的傳統氟化破蚀刻氣禮被使用。為了控制, 該處理室具有一氮化鋁陶瓷室頂及一陽極化的鋁侧壁觀 裡’這兩者都是平滑的(即’並沒有表面花紋來改善黏附 性)。 第43頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) — — — — — — — 患 — II — I I I (請先閲讀背面之注意事項再填寫本頁> 訂· 588401 A7 B7 五、發明説明( 蚀刻處理產生氟化碳反應副產物其在該室頂及側壁 的裸露出來的内表面上形成聚合物膜層。吾人發現因為傳 統的平滑室頂及侧壁襯裡的關係,所以被沉積於表面上的 聚合物在聚合物膜層達到0.6至〇.65inm的厚度時即開始剥 落。剝落開始發生的厚度係隨著處理參數而異β 例1 -在氮化銘室頂上的角錐凹陷 吾人用0·5英吋(13mm)厚的氮化鋁陶瓷製造一圓盤狀 的室頂’其中吾人將該室頂的下圓形表面(曝露於室内部 中的表面)分為四個象限並分別在每一象限形成四個不同 的表面花紋。第一象限是平滑的,及第二象限是用碳化矽 顆粒加以喷砂處理。 第二及第四象限則具有第15圖所示的角錐花紋6〇α , 其中第四象限有接受喷砂處理而第三象限則無。角錐結構 特徵的尺寸為:角度0=45度,高度H = 〇.6mm,宽度 W=1.5mm,間距S = 0.6mm。吾人計算第三象限的表面積因 角錐花紋的關係而比第一象限多30〇/〇。 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 象限 表1-例1 角錐花紋 喷砂處理 1、 無 無 2 無 有 3 有 無 4 有 有 第Μ頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) -------- 588401 A7 B7 五、發明説明() 吾人認為如第14圖所示的方形四陷或凸起60的囷案 對於實際受測試的角錐形凹陷而言是較隹的,因為方形特 徵結構具有較大的表面積。如稍早所述的’吾人認為表面 輪廓的表面積最大化是有利的,用以將被沉積於其上的物 質的黏附性最大化。 吾人將該室頂安裝於傳統的電漿蝕刻室中並實施與 在控制組中相同的蝕刻處理。吾人發現到該室頂的第三象 限展現出最佳的聚合物黏附性。與平滑的第一象限相較, 吾人在沉積於第三象限上的物質開始剝落之前能夠處理 比第一象限多2.5倍的晶圓。在此時,被沉積於該第三象限 上的聚合物層的厚度為1·2παχη,其比能夠沉積在一傳統平 滑的或經喷砂處理的表面上的最大聚合物層厚度多85%。 因為傳統上係使用喷砂處理來改善被沉積物質的黏 附性,吾人很驚訝地發現用喷砂處理來具有角錐花紋的表 面反而會減損其黏附性。詳言之,吾人在沉積1 2mm的聚 合物於該蓋子上之後暫停測試,發現在第四象限有少量的 屑片存在,而在第三象限中則完全沒有。吾人推測喷砂處 理會在室頂的標面上產生尖銳的角落而這會提高在聚合 物膜層中之應力,因而增加膜層龜裂的可能性。 例2-在在氮化鋁室頂上不同的角錐凹陷 一第一氮化链室頂(其禮分佈板)如例1般地被製造。四 個象限被形成具有不同尺寸的角錐形花紋,如表1中所 列。在第一象限中,角錐的尺寸與例1中的第三象限相同。 第沾頁 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公爱) (請先閲讀背面之注意事项再填寫本頁) -訂· 經濟部智慧財產局員工消費合作社印製 588401V. Description of the invention () On any large surface on or near 0, the surface of the chamber that needs to be provided with the pattern of the present invention is typically the lower surface of the roof (ie, the inner surface of the chamber cover i 02) and the lining 1 34 And 1 1 8. Because the top of the chamber is located directly above the wafer being processed, any particles that are peeled off from the surface of the top of the chamber will fall on the wafer and cause defects on the wafer. Since the sidewall or lining of the chamber is very close to the periphery of the wafer, the granules peeled off from the sidewall or lining are also likely to fall on the wafer. It is less important to provide a pattern on the surface of the chamber member located under the wafer 'because particles peeled off from these surfaces are less likely to land on the wafer. Different shapes and sizes of depressions and protrusions on the exposed surfaces of the roof and side walls of the chamber were tested. Compared to smooth, untreated or sandblasted surfaces, all tested shapes showed a significant improvement in the viscosity of the deposited material. Seeing in conjunction with Figure 4, Figures 13 and 14 are top and sectional views of a portion of the lower surface 316 of a liner 134, which has a pattern 60, which is composed of a two-dimensional array of square protrusions . For the sake of illustration, the holes 348 and the nozzle 350 are omitted. The protrusions have a height Η, a width W, and a space S between adjacent protrusions. Fig. 15A shows a pattern 60a, in which the topological characteristic structure is a square depression instead of a protrusion on the surface, and the sides of the square depression are formed to be inclined at an angle of 0 relative to the horizontal plane between the depressions, so that each The depression is formed as an inverted quadrangular pyramid having a flat bottom instead of a pointed bottom. Figures 16 and 17 are top and sectional views of another pattern 1 605, in which the depressions are rounded or hemispherical. Figures 18 and 19 are three-dimensional and cross-sectional views of a pattern, respectively. They are based on page 42. The paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm). — — — — — — — — — — — — III (Please read the notes on the back before filling out this page) Order · Printed by the Consumers 'Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 588401 A7 B7 Printed by the Employees' Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A plurality of circumferential grooves 1805. Figure 20 is a perspective view of a lining 118, which has a circumferential groove 1805 and a longitudinal groove 1 8 1 0 β Although each topological feature is illustrated as a protrusion or depression, However, the area between the depressions can also be regarded as a protrusion on the surface. In other words, the depression or protrusion can be designed as a topological feature. Therefore, referring to the example in FIG. The interval S between them is preferably the same as the width W. More preferably, the interval S and the width W should differ by 2 times or less. Similarly, the height is preferably the same as the width and the interval, and more preferably At the other two feet Below 2 times of °° In any embodiment, we expect that the adhesion of the deposited film layer to the patterned surface can be maximized, if there are no sharp corners on the patterned surface, because sharp corners usually improve The stress in the film layer. Therefore, the edges of the topological features should have rounded corners and the radius of curvature should be equal to the height of the particles. Preferably, the range of the radius of curvature is between 130 microns (0.13mm) to about 500 micrometers (0.5mm). Test Results-The control group tested the present invention by using a plasma etching chamber to perform a plasma treatment to etch the silicon dioxide film layer on the broken wafer, where- The conventional fluorinated etch etching process including CO and CO is used. For control, the processing chamber has an aluminum nitride ceramic roof and an anodized aluminum side wall. 'Both are smooth (ie,' There is no surface pattern to improve the adhesion). Page 43 This paper size applies Chinese National Standard (CNS) A4 specification (210X297 mm) — — — — — — — Suffering — II — III (Please read the precautions on the back first Fill out this page again> Order · 588401 A7 B7 V. Description of the invention (The etching process produces a by-product of carbon fluoride reaction, which forms a polymer film layer on the exposed inner surface of the top and side walls of the chamber. I find that because of the traditional The relationship between the top of the chamber and the side wall is smooth, so the polymer deposited on the surface begins to peel when the polymer film reaches a thickness of 0.6 to 0.65 inm. The thickness at which the peeling begins to occur varies with the treatment parameters β Example 1-Pyramid depression on the top of nitrided chamber. I made a disk-shaped chamber roof from 0.5 inch (13mm) aluminum nitride ceramics, where I exposed the lower round surface of the chamber roof (exposed The surface in the interior) is divided into four quadrants and four different surface patterns are formed in each quadrant. The first quadrant is smooth, and the second quadrant is sandblasted with silicon carbide particles. The second and fourth quadrants have a pyramidal pattern 60a as shown in FIG. 15, where the fourth quadrant is subjected to sandblasting and the third quadrant is not. The dimensions of the pyramid structure feature are: angle 0 = 45 degrees, height H = 0.6mm, width W = 1.5mm, and pitch S = 0.6mm. We calculate that the surface area of the third quadrant is 30/0 more than that of the first quadrant due to the pyramidal pattern. (Please read the precautions on the back before filling out this page) Quadrant Table Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 1-Example 1 Sandblasting with pyramidal pattern 1, No No 2 No Yes 3 Yes No 4 Yes Yes Page M The paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) -------- 588401 A7 B7 V. Description of the invention () I believe that the square four depressions or protrusions 60 as shown in Figure 14 The case is more rampant for the pyramidal depressions actually tested, because the square feature has a larger surface area. As mentioned earlier, we believe that it is advantageous to maximize the surface area of the surface profile to maximize the adhesion of the substance deposited thereon. I installed the top of the chamber in a conventional plasma etching chamber and performed the same etching process as in the control group. I found that the third quadrant at the top of the chamber showed the best polymer adhesion. Compared with the smooth first quadrant, we can process 2.5 times more wafers than the first quadrant before the material deposited on the third quadrant begins to peel off. At this time, the thickness of the polymer layer deposited on the third quadrant was 1.2 παχη, which was 85% greater than the maximum polymer layer thickness that could be deposited on a conventional smooth or sandblasted surface. Because sandblasting has traditionally been used to improve the adhesion of deposited substances, I was surprised to find that the surface with pyramidal pattern by sandblasting actually reduced its adhesion. In detail, I suspended the test after depositing a polymer of 12 mm on the lid, and found that a small amount of debris was present in the fourth quadrant and completely absent in the third quadrant. We speculate that the sandblasting treatment will produce sharp corners on the standard surface of the chamber roof, which will increase the stress in the polymer film layer, thereby increasing the possibility of film cracking. Example 2-Different pyramidal depressions on the top of the aluminum nitride chamber. A first nitride chain chamber top (their distribution plate) was fabricated as in Example 1. The four quadrants are formed into pyramidal patterns with different sizes, as listed in Table 1. In the first quadrant, the size of the pyramid is the same as the third quadrant in Example 1. Page Stained This paper size applies the Chinese National Standard (CNS) A4 specification (210x297 public love) (Please read the precautions on the back before filling out this page)-Order · Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs and Consumer Cooperatives 588401

A B 五、發明説明() (請先閲讀背面之注意事項再填寫本頁) 在其它三個象限中,角錐凹陷的高度Η被增加至1.1 mm。 在象限3及4中,角錐壁相對於水平表面的角度0則被降低 至30度。在象限2及4中,寬度W及間距S則被增加為2.5mm 及1.0mm ^所有四個象限都表現出沒有聚合物沉積的屑片 存在。 表2-例2 象限 角度0 高度Η 寬度w 間距S 1 45度 0.6mm 1.5mm 0.6mm 2 45度 1 · 1 mm 2.5mm 1.0mm Λ J 30度 1.1mm 1. 5 mm 0.6mm 4 30度 1.1mm 2.5mm 1.0mm 例3 -在氮化鋁室頂上的本球形凹陷 經濟部智慧財產局員工消費合作社印製 吾人製造一 0·5英吋厚(13mm)的氧化鋁(礬體)陶瓷板 的室頂。礬土的導熱性比氮化鋁低許多,但其具有易於加 工的優點。吾人藉由在礬土上鑽出一陣列的半球形孔或具 有一弧形剖面的孔來形成第16及17圖中所示的凹陷圖 案,其中孔徑W為4mm及介於相鄰孔的周邊之間的間距S 為lmm。吾人測試兩種原型即孔深度分別為imm與2mm 者。這兩種原型皆表現出沒有聚合物沉積物存在。 例4-在陽極化銘上的方形四起 第13及14囷顯示一其上設置有一陣列的方形凸起之 第幼頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 588401 A7 ____B7___ 五、發明説明() (請先閲讀背面之注意事項再填寫本頁) 鋁室頂。雖然剖面顯示室頂3 1 6為實心的,但相同的特徵 結構或凸起亦可被設在具有氣體入口 3 50或迷你氣體分佈 板220的室頂上。該鋁在被加工以後被陽極化。在一原型 中,凸起具有1mm的寬度W,1.5mm的高度Η及3mm的間距 S。在一第二原型中,凸起具有2mm的寬度W,2mm的高度 Η及5mm的間距S »這兩種原型皆表現出沒有聚合物沉積物 存在。 在第二原型中,吾人亦測試在氣體分佈板中的氣體入 口孔。不同於均句地分佈在該板的表面上之傳統的氣體入 口孔陣列,吾人只裝設了 1 1個石英圓盤(未示出)於該板 中,其中每一石英圓盤的直徑為1 0mm且包括1 1個直徑為 0.6mm的氣體入口孔。 例5-在陽極化鋁上的溝槽 經濟部智慧財產局員工消費合作社印製 第18及19圖分別為一由陽極化链所構成的一圓柱形 側壁襯裡118的立體及剖面圖,吾人使用一車床在其上加 工了一系列的圓周溝槽1 805。每一溝槽的寬度為imm,深 度為1mm,及相鄰溝槽間沿著該圓柱形襯裡的軸被間隔開 3 mm。該鋁在被加工以後被陽極化。。 第20圖為一類似的圓柱形襯裡的立體囷,其具有於上 一段所述之溝槽相同的寬到,深度,及間距之圓周溝槽 1 805及縱向溝槽ι810 β這兩種原型皆表現出沒有聚合物沉 積物存在。然而,第20圖的實施例被認為可提供較佳的黏 附性’因為其表面積大於第18及19囷所示的實施例的表面 第4*7頁 588401 A7 ------ B7 _ 五、發明説明() 積。 第18,19及20圖所示的實施例的優點為在鋁上加工溝 槽比上述其它的加工方法都便宜。 雖然以上是以地一襯裡134及第二襯裡118上的不同 花紋來加以說明及舉例,但應被瞭解的是,本文中所述的 花紋可被施用於襯裡134及11 8兩者上。在令一實施例中, 襯裡134可具有不同於襯裡118的表面處理。在一特殊的實 施例中,襯裡134可具有花紋1 605,而襯裡118則具有圓周 溝槽花紋1 805。 V·電漿限制 本發明之副產物管理特徵的另一態樣為使用一電漿 限制系統來將電漿容納於該處理區U2内。將電漿容納於 處理區112内有助於防止副產物累積於抽泵體積114中。降 低或消除在抽泵體積1 1 4内之副產物的累積可降低副產物 沉積會發生且損害幫浦1 0 9的可能性。本發明的電漿限制 特徵可藉由參照第21圖而被更詳細地瞭解。 第21囷為第1圖中之蚀刻室1〇〇的部分放大視圖。為了 清楚起件’蓋子102被取下。一真空幫浦ι〇9將氣醴從該處 理體積112經由環狀排氣歧管及圓柱形排氣通道^排 出,用以將該室内的組氣體壓力降低至一適合該室内將被 實施的電衆處理的恩力水平。一節流閥8被安裝於該抽泵 體積114内。該節流閥8藉由控制在該排氣通道丨38内的氣 流阻抗,藉以控制在該排氣通道内的壓降而如所需要地調 第48頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝. 訂- 經濟部智慧財產局員工消費合作社印製 588401 A7 B7 五、發明説明( 節該室内的氣禮壓力。 雖然被顯示為分開的襯裡,但襯裡3 6及3 8可被結合為 一單一的襯裡,如上述的襯裡118。應被瞭解的是,本文 中所述有關於襯裡36及38的電漿限制特徵都適用於襯裡 118上。應被進一步瞭解的是,襯裡36及38配備有内導管, 如襯裡18的導管119,用來循環溫度受控制的流體,如參 照第6圖的襯裡118及143所述者。 内襯裡38及外襯裡36的下半部分別作為該環形抽泵 體積114的内及外壁。在内襯裡38的底部上的環形凸緣40 包括與排氣通道138對準的弧形孔42以允許廢氣從環形排 氣歧管流經凸緣孔42然後經過圓柱形排氣埠1 3 8而到達節 流閥8及幫浦109。 該所舉的室的排氣通道包括一環形排氣歧管及一圓 柱形排氣通道。該環形排氣歧管與室内部同軸且延件於該 室内部的所有或大部分方位角周圍。該圓柱形排氣通道被 耦合至在一方位角位置上的該排氣歧管。某些傳統的電裝 室包括一環形的排氣歧管直接耦合至排氣幫浦而沒有任 合中間的排氣通道。其它的傳統電漿室則只使用一排氣通 道將幫浦搞合至室内部,該排氣通道並沒有延伸於該室内 部的所有方位角。在此專利說明書中,”排氣通道"或"排氣 路徑”一詞函蓋一環形排氣歧管或一排氣通道,或這兩者 的組合。 排氣通道及用來限制電漿的磁鐵 第49頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝. 、?τ· 經濟部智慧財產局員工消費合作社印製 588401 A7 B7 五、發明説明() (請先閲讀背面之注意事項再填寫本頁} 示於第2 1 -23圖中之本發明的一舉例性的實施例應用 了兩項特歡(一氣流偏向器5 22,516及一磁鐵系統5〇)它們 協力的作業用以防止在室内部中的電漿本體到達排氣繁 浦。除了在下文中詳述的有利功效之外,此結構有助於提 供高排氣能力同時避免聚合物沉積於該幫浦系統中亦 即,本發明的室的一項特徵為用來降低氣體分子的存在時 間的高流率排氣能力。然而,為了維修的原因,將電衆加 以限制或限制以防止其到達該室的排氣區域是較佳的。以 下所述的結構有助於達到此目的。 詳言之,該排氣歧管30的内部包括至少一偏向器 5 22,516,其將至少一大部分廢氣橫向地偏轉,不讓所有 的廢氣以一未受阻擋的直線路徑的方式流入該排氣歧管(" 橫向”一詞係指垂直於氣體在沒有偏向器時會流經的路徑 的方向而言) 經濟部智慧財產局員工消費合作社印製 偏向器在廢氣流中產生紊流其將會増加在氣禮中之 範應物質與該偏向器及與靠近該偏向器的排氣歧管壁的 碰撞。此碰撞可提高反應物質間之表面反應用以產生沉積 物於壁上。這可用盡廢氣中會產生沉積物的反應物質,因 而大幅地降低或消除在偏向器下游的廢氣中之反應物 質’因而可大幅地降低或消除在節流閥8或幫浦1 〇 9上的沉 積物。 偏向器亦可提高在廢氣中之帶電粒子的碰撞率用以 提升這些帶電粒子再結合,藉以降低在廢氣中之帶電粒子 的濃度。 第50頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 588401 Α7 Β7 五、發明説明() 11 應 — 11 I (請先閲讀背面之注意事項再填寫本頁) 此外,一磁鐵系統50(52-57)被放置在靠近偏向器 522,5 1 6處用以在該排氣歧管中靠近該偏向器處產生一磁 場。該磁場最好是具有一大部分的分量是被導向一方向’ 其係橫跨廢氣流經該歧管的方向。該磁場之橫向的分量將 移動的電子橫向的偏轉使得它們更可能與正離子再結 合,藉以降低在廢氣中帶電粒子的濃度。 因為偏向器及磁鐵系統這兩者都可降低在廢氣中之 帶電離子的濃度,所以這量者結合起來可大幅地降低帶電 粒子的濃度用以消除在偏向器及磁鐵系統下游的電漿。詳 言之,磁場應夠強且由該一或多個偏向器所造成的紊流應 夠大,使得磁場與偏向的综合效應可防止在該室内部的電 漿本體到達節流閥8及幫浦1 09。 與沒有使用磁場來阻擋電漿的排氣通到比較起來,磁 場的電漿限制效果可讓排氣通道更寬及/或較不彎曲。因 此,與前技完全依賴排氣歧管的弩曲性來阻擋電漿的設計 比較起來,本發明之排氣通道的壓降可被減小。 經濟部智慧財產局員工消費合作社印製 在第21-2 3囷的實施例中,偏向器包含兩個同軸,環 狀的凸出件522,5 16從歧管的壁延伸至排氣歧管3〇的氣體 路徑中。上凸出件522從外襯裡36徑向朝内地延伸及下凸 出件516則從内襯裡或陰極遮板38徑向朝内地延伸。因為 量個襯裡彼此徑向地重疊,所以它們不會讓廢氣以直線的 方式移動通過該排氣歧管,而將廢氣中的反應物質與凸出 件或排氣歧管的壁相碰撞的可能性最大話。 吾人定義”磁鐵系統"為一或多個磁鐵與〇,丨或多個可 第51頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 588401 A7 B7____ 五、發明説明() (請先閱讀背面之注意事項再填寫本頁) 磁性穿透的磁極件結合以形成一具有北極及南極的磁迴 路°在第21-23圖的實施例中,磁鐵系統50包含兩個環狀 # $ 52 ’ 53與排氣歧管30同直地被安裝且沿著歧管的軸被 間隔開來。這兩個環狀的磁鐵是相同的,除了第一磁鐵52 的北極與南極是分別在其徑向的内及外端處,而第二磁鐵 5 3的北極與南極則是分別在其徑向的外及内端處。磁鐵系 統50亦包括一圓筒形,可磁性地穿透的磁極件54其與兩個 磁鐵52’ 53同軸地被安裝用以抵靠及延伸於兩個磁鐵的徑 向内端之間,藉以完成一磁通路或”磁迴路"於兩個磁鐵之 間。 因此,磁鐵系統50的北極56為第一環狀磁鐵52的北 極’即第一磁鐵的磁極與頂抵磁極件54的磁極相對者。磁 鐵系統50的南極57為第二環狀磁鐵53的南極,即第二磁鐵 的磁極與頂抵磁極件54的磁極相對者。 經濟部智慧財產局員工消費合作社印製 磁鐵系統50最好是被安裝在下凸出件516内使得磁鐵 系統的北極與南極56,57的端部儘可能靠近在該排氣歧管 内徑向朝外突伸出之氣體路徑的窄部分。將磁鐵系統安裝 靠近該排氣歧管路徑最窄的部分可讓廢氣接受到的磁場 強度最大化。 上述該磁鐵系統的一舉例性實施例如第2 1 -23圖所示 的具有一 U形的截面,該"U"形的底部徑向朝内,及該"U" 形的該開口則徑向地朝外。詳言之,磁鐵系統的形狀為一 U形的馬蹄形磁鐵其環繞在該室的縱軸周圍。 由此U形磁鐵系統所產生的磁場囷案,其在第22圖中 第52頁 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐) 588401 A7 _B7_ 五、發明説明() 以磁場線58來代表,是所想要的因為其主要係集中在該排 氣歧管路徑内。此集中的磁場具有至少兩項優點。一項優 點為如上所述的,其可讓廢氣接受到的磁場強度最大化, 藉以在消除磁鐵下游的電漿上達到最大的功效。 該U形磁鐵系統的第二項優點為磁場強度會迅速地沿 著室的縱軸衰減,使得在工件1 〇上的磁場強度很低。為了 要讓工件1 0受到離子轟擊或靜電荷累積的傷害減至最 小,在工件10上的磁場強度是愈低愈好,最好是不大於5 高斯,更佳地係不大於3高斯。該磁鐵系統係被安裝在下 凸出件5 1 6中而非在上凸出件522中,用以將磁鐵系統儘可 能遠離工件1 0,使得在工件1 0處的磁場強度對降至最小。 第24圖顯示另一磁鐵系統60其磁鐵及磁極件相對於 第21-2 3圖的磁鐵系統而言是互換的。詳言之,下及上環 形件62,63為可磁性地穿透的磁極件而非磁鐵。圓筒形件 64為一磁鐵而非磁極件,圓筒形磁鐵在其縱軸抵靠上磁極 件62的上端處具有一北極及在其縱軸抵靠下磁極件63的 下端處具有一南極。 該排氣歧管的一可能的替代例為省掉上凸出件522, 依賴下凸出件516及磁鐵系統50來阻擋電漿。 排氣歧管的另一替代的設計為省掉下凸出件516(其 從内襯裡3 8徑向朝外地延伸出)及取代一修改過的磁鐵系 統51,如第25圖所示,其被安裝在該上凸出件522(其從該 外襯裡3 6徑向朝内地延伸)中。磁鐵系統5 1的北極與南極 56’ 57應與凸出件44的徑向内端處的氣體路徑相鄰。這可 第53頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 1*1_111111 I (請先閲讀背面之注意事項再填寫本頁) 訂· 經濟部智慧財產局員工消費合作社印製 588401 A7 B7 五、發明説明() 藉由使用與第23圖中的磁鐵52,53及磁極件54來達成,但 磁極件54則從徑向内端被移至兩個磁鐵的徑向外端,如第 2 5圖所示。 第26圖顯示另一磁鐵系統61其與第25圖的磁鐵系統 51不同之處在於磁鐵與磁極件被互換β (參見第24圖所作 的說明。) 吾人亦在一於第21圖所示的電漿室相同的電漿室中 測試示於第27囷中之排氣歧管設計。第27圖的排氣歧管包 括分別安裝在該排氣通道30上的上及下凸出件522,516中 之上及下環狀磁鐵68, 69。上磁鐵6 8在其徑向的内及外端 分別具有北極與南極。上磁鐵69在其徑向的外及内端分別 具有北極與南極。因此,上磁鐵的北與南極與下磁鐵的南 極北極相對齊。所得到的磁場,如磁場線7〇所標示者,係 高度集中在介於兩個凸出件之間的排氣歧管通道或路徑 的區域中。如在說明第21圖時已提及的,此磁場的集中可 讓廢氣接受到的磁場強度最大化及在工件1〇上的磁場最 小化》 為了要方便用介於上及下凸出件5 22, 516之間不同的 間距來測試第27囷的實施例,吾人之原型包括一環形介電 質間隔件72於該外介電質概裡36的底下。藉由使用一較厚 的間隔件72,吾人可增加上凸出件5 22的高度並藉以增加 介於兩凸出件之間的間距。對於每一受測的間隔件厚度, 吾人都使用相同的磁鐵68,69〇因此,當吾人使用一較厚 的間隔件時,同時增加該間隙及降低在該間隙中的磁場強 第54頁 本紙張尺度適用中國國家標準(CNS)A4規格(210Χ 297公釐) ...........-#-¥: (請先閲讀背面之注意事項再填寫本頁) 訂· 經濟部智慧財產局員工消費合作社印製 588401 Α7A B V. Description of the invention () (Please read the notes on the back before filling this page) In the other three quadrants, the height of the pyramidal depression 凹陷 has been increased to 1.1 mm. In quadrants 3 and 4, the angle 0 of the pyramid wall with respect to the horizontal surface is reduced to 30 degrees. In quadrants 2 and 4, the width W and spacing S were increased to 2.5 mm and 1.0 mm. All four quadrants showed no chipping of polymer deposits. Table 2-Example 2 Quadrant angle 0 Height Η Width w Pitch S 1 45 degrees 0.6mm 1.5mm 0.6mm 2 45 degrees 1 · 1 mm 2.5mm 1.0mm Λ J 30 degrees 1.1mm 1. 5 mm 0.6mm 4 30 degrees 1.1 mm 2.5mm 1.0mm Example 3-This spherical depression on the top of the aluminum nitride chamber is printed by the consumer co-operative of the Intellectual Property Bureau of the Ministry of Economic Affairs and the Consumer Cooperative to make a 0.5-inch-thick (13mm) alumina (alum) ceramic plate Room roof. Alumina has a much lower thermal conductivity than aluminum nitride, but it has the advantage of being easy to process. We drilled an array of hemispherical holes or holes with an arc-shaped cross-section to form the depression pattern shown in Figures 16 and 17, where the aperture W is 4mm and is located around the adjacent hole The interval S is lmm. I tested two prototypes, i.e., the hole depths were 2 mm and 2 mm. Both prototypes showed no polymer deposits present. Example 4-The fourteenth and fourteenth from the four squares on the anodized inscription show a young page with an array of square protrusions on it. The paper size applies to the Chinese National Standard (CNS) A4 specification (210X297 mm) 588401 A7 ____B7___ V. Description of the invention () (Please read the precautions on the back before filling this page) Aluminum chamber roof. Although the cross section shows that the chamber roof 3 1 6 is solid, the same characteristic structure or protrusion may be provided on the chamber roof having the gas inlet 350 or the mini gas distribution plate 220. The aluminum is anodized after being processed. In a prototype, the protrusions have a width W of 1 mm, a height 1.5 of 1.5 mm, and a pitch S of 3 mm. In a second prototype, the protrusions had a width W of 2 mm, a height 2 of 2 mm, and a pitch S of 5 mm. Both prototypes showed no polymer deposits. In the second prototype, we also tested the gas inlet holes in the gas distribution plate. Unlike the traditional gas inlet hole array, which is evenly distributed on the surface of the plate, we only installed 11 quartz discs (not shown) in the plate. The diameter of each quartz disc is 10 mm and includes 11 gas inlet holes with a diameter of 0.6 mm. Example 5-Grooves on anodized aluminum Printed by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs Figures 18 and 19 are three-dimensional and sectional views of a cylindrical side wall lining 118 composed of anodized chains A lathe has machined a series of circumferential grooves 1 805 thereon. Each groove has a width of imm and a depth of 1 mm, and adjacent grooves are spaced apart by 3 mm along the axis of the cylindrical liner. The aluminum is anodized after being processed. . Fig. 20 is a similar cylindrical lining three-dimensional cymbal, which has the same width, depth, and spacing of the circumferential grooves 1 805 and longitudinal grooves ι β β as the grooves described in the previous paragraph. No polymer deposits appeared. However, the embodiment of Fig. 20 is considered to provide better adhesion because its surface area is larger than that of the embodiment shown in Figs. 18 and 19 囷 Page 4 * 7 588401 A7 ------ B7 _ 5 , Invention description () product. The embodiment shown in Figs. 18, 19, and 20 has the advantage that machining grooves in aluminum is cheaper than the other machining methods described above. Although the above is illustrated and exemplified by different patterns on the ground-first lining 134 and the second lining 118, it should be understood that the patterns described herein can be applied to both the linings 134 and 118. In an embodiment, the liner 134 may have a different surface treatment than the liner 118. In a particular embodiment, the liner 134 may have a pattern 1 605 and the liner 118 may have a circumferential groove pattern 1 805. V. Plasma Restriction Another aspect of the by-product management feature of the present invention is the use of a plasma restriction system to accommodate the plasma in the processing zone U2. Containing the plasma in the processing zone 112 helps prevent by-products from accumulating in the pumping volume 114. Reducing or eliminating the accumulation of by-products in the pump volume 1 1 4 reduces the possibility that by-product deposition will occur and damage the pump 10 9. The plasma limiting feature of the present invention can be understood in more detail by referring to FIG. Fig. 21A is a partially enlarged view of the etching chamber 100 in Fig. 1. The cover 102 is removed for clarity. A vacuum pump ι09 exhausts air entrapment from the processing volume 112 through a ring-shaped exhaust manifold and a cylindrical exhaust channel ^ to reduce the pressure of the group gas in the room to a level suitable for the room to be implemented. The level of power handled by the TV crowd. A throttle valve 8 is installed in the pumping volume 114. The throttle valve 8 adjusts the pressure drop in the exhaust passage by controlling the airflow resistance in the exhaust passage 38, so as to adjust it as required on page 48. This paper size applies Chinese National Standards (CNS) A4 specification (210X297mm) (Please read the precautions on the back before filling this page). Binding. Order-Printed by the Intellectual Property Bureau Staff Consumer Cooperatives of the Ministry of Economic Affairs 588401 A7 B7 V. Description of Invention Although shown as separate linings, the linings 36 and 38 can be combined into a single lining, such as the lining 118 described above. It should be understood that there are plasma limitations on the linings 36 and 38 described herein. Features apply to liner 118. It should be further understood that liners 36 and 38 are equipped with internal conduits, such as conduits 119 of liner 18, to circulate temperature-controlled fluids, such as liners 118 and 143 with reference to Figure 6 The lower half of the inner lining 38 and the outer lining 36 serve as the inner and outer walls of the annular pumping volume 114, respectively. The annular flange 40 on the bottom of the inner lining 38 includes an arc aligned with the exhaust passage 138 Hole 42 is shaped to allow exhaust gas to drain from the ring The manifold flows through the flange hole 42 and then through the cylindrical exhaust port 138 to the throttle valve 8 and the pump 109. The exhaust passage of the lifted chamber includes an annular exhaust manifold and a cylindrical exhaust Air passage. The annular exhaust manifold is coaxial with the interior and extends around all or most of the azimuth of the interior of the chamber. The cylindrical exhaust passage is coupled to the exhaust manifold at an azimuthal position Some traditional electrical installation rooms include an annular exhaust manifold that is directly coupled to the exhaust pump without any intermediate exhaust passages. Other traditional plasma chambers use only one exhaust passage to engage the pumps. Closed to the interior of the room, the exhaust passage does not extend to all azimuths of the interior of the room. In this patent specification, the word "exhaust passage" or "exhaust path" covers an annular exhaust manifold Or an exhaust channel, or a combination of the two. Exhaust channel and the magnet used to limit the plasma. Page 49 This paper size applies to China National Standard (CNS) A4 (210X297 mm) (Please read the back (Please fill in this page for attention) Printed by the employee consumer cooperative 588401 A7 B7 V. Description of the invention () (Please read the precautions on the back before filling out this page} An exemplary embodiment of the present invention shown in Figures 2 1-23 applies two Te Huan (an air deflector 5 22,516 and a magnet system 50) work together to prevent the plasma body in the interior of the room from reaching the exhaust gas. In addition to the beneficial effects detailed below, This structure helps to provide high exhaust capacity while avoiding polymer deposition in the pumping system, ie, a feature of the chamber of the present invention is the high flow rate exhaust capacity used to reduce the lifetime of gas molecules. However, for maintenance reasons, it is preferable to limit or restrict the electrical mass to prevent it from reaching the exhaust area of the chamber. The structure described below helps to achieve this. In detail, the inside of the exhaust manifold 30 includes at least one deflector 5 22, 516, which deflects at least a large part of the exhaust gas laterally and does not allow all exhaust gas to flow into the unblocked linear path. Exhaust Manifold (" Lateral "refers to the direction perpendicular to the path through which gas will flow when there is no deflector) The printed deflector produced by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs produces turbulence in the exhaust gas flow The collision of the compliant material which will be added to the air ritual with the deflector and the wall of the exhaust manifold near the deflector. This collision can improve the surface reaction between the reactive substances to generate deposits on the wall. This can exhaust the reactive materials that can cause deposits in the exhaust gas, thereby greatly reducing or eliminating the reactive materials in the exhaust gas downstream of the deflector, and thus can greatly reduce or eliminate the throttle 8 or the pump 10 The deflector can also increase the collision rate of charged particles in the exhaust gas to increase the recombination of these charged particles, thereby reducing the concentration of charged particles in the exhaust gas. Page 50 China National Standard (CNS) A4 specification (210X297 mm) 588401 Α7 Β7 V. Description of invention () 11 shall — 11 I (Please read the precautions on the back before filling this page) In addition, a magnet system 50 (52-57 ) Is placed close to the deflector 522, 5 1 6 to generate a magnetic field in the exhaust manifold close to the deflector. The magnetic field preferably has a large part of its component to be directed in a direction. Cross the direction in which the exhaust gas flows through the manifold. The transverse component of the magnetic field deflects the moving electrons laterally, making them more likely to recombine with positive ions, thereby reducing the concentration of charged particles in the exhaust gas. Because of the deflector and magnet system Both of these can reduce the concentration of charged ions in the exhaust gas, so the combination of this quantity can greatly reduce the concentration of charged particles to eliminate the plasma downstream of the deflector and the magnet system. In particular, the magnetic field should be sufficient The turbulence caused by the one or more deflectors should be strong enough, so that the combined effect of the magnetic field and the deflection can prevent the plasma body in the interior of the chamber from reaching the throttle valve 8 and pump 10 09. and not used magnetic In order to block the passage of the exhaust gas from the plasma, in comparison, the plasma-limiting effect of the magnetic field can make the exhaust channel wider and / or less curved. Therefore, the former technology completely relies on the cross-bend of the exhaust manifold to block the electricity. Compared with the design of the slurry, the pressure drop of the exhaust passage of the present invention can be reduced. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs in the 21-2 3 囷 embodiment, the deflector includes two coaxial, ring The protruding pieces 522, 5 16 extend from the wall of the manifold into the gas path of the exhaust manifold 30. The upper protruding piece 522 extends radially inwardly from the outer lining 36 and the lower protruding piece 516 extends from the inside The lining or cathode shield 38 extends radially inward. Because the plurality of linings radially overlap each other, they will not allow the exhaust gas to move in a straight line through the exhaust manifold, and will instead react the reactive substances and the protrusions in the exhaust gas. Parts or the wall of the exhaust manifold are most likely to collide. I define "magnet system" as one or more magnets and 0, 丨 or more. Page 51 This paper size applies Chinese National Standard (CNS) A4 specifications (210X297 mm) 588401 A7 B7____ 5. Description of the invention () (Please read the precautions on the back before filling this page) The magnetically penetrating magnetic pole pieces are combined to form a magnetic circuit with north and south poles. In the embodiment of Figs. 21-23, the magnet system 50 includes two loops. # $ 52 '53 is installed flush with the exhaust manifold 30 and spaced along the axis of the manifold. The two ring magnets are the same, except that the north and south poles of the first magnet 52 are separate At its radially inner and outer ends, the north and south poles of the second magnet 53 are at its radially outer and inner ends, respectively. The magnet system 50 also includes a cylindrical shape that is magnetically penetrable The magnetic pole piece 54 is mounted coaxially with the two magnets 52 '53 to abut and extend between the radial inner ends of the two magnets, thereby completing a magnetic path or "magnetic circuit" between the two magnets. . Therefore, the north pole 56 of the magnet system 50 is the north pole of the first ring magnet 52, that is, the magnetic pole of the first magnet and the magnetic pole of the magnetic pole piece 54 which opposes each other. The south pole 57 of the magnetic system 50 is the south pole of the second ring-shaped magnet 53, i.e., the magnetic pole of the second magnet is opposite to the magnetic pole of the magnetic pole piece 54. The printed magnet system 50 of the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs is preferably installed in the lower projection 516 so that the ends of the north and south poles 56 and 57 of the magnet system are as close as possible to radially outward in the exhaust manifold. A narrow part of a protruding gas path. Mounting the magnet system near the narrowest part of the exhaust manifold maximizes the magnetic field strength received by the exhaust. An exemplary embodiment of the above magnet system has a U-shaped cross section as shown in FIGS. 2 1-23, the bottom of the " U " shape facing radially inward, and the opening of the " U " shape. Radially outward. In detail, the magnet system is a U-shaped horseshoe magnet that surrounds the longitudinal axis of the chamber. The magnetic field generated by this U-shaped magnet system is shown in Figure 22, page 52. The paper size applies the Chinese National Standard (CNS) A4 specification (210x297 mm) 588401 A7 _B7_ V. Description of the invention () Magnetic field The line 58 is representative because it is mainly concentrated in the exhaust manifold path. This concentrated magnetic field has at least two advantages. An advantage is as described above, which maximizes the magnetic field strength received by the exhaust gas, thereby achieving maximum effectiveness in eliminating the plasma downstream of the magnet. The second advantage of this U-shaped magnet system is that the magnetic field strength rapidly decays along the longitudinal axis of the chamber, so that the magnetic field strength on the workpiece 10 is very low. In order to minimize the damage to the workpiece 10 due to ion bombardment or electrostatic charge accumulation, the lower the magnetic field intensity on the workpiece 10, the better, preferably not more than 5 Gauss, and more preferably not more than 3 Gauss. The magnet system is installed in the lower projection 5 16 instead of the upper projection 522 to keep the magnet system as far away from the workpiece 10 as possible to minimize the magnetic field strength pair at the workpiece 10. Fig. 24 shows another magnet system 60 in which the magnets and magnetic pole pieces are interchangeable with respect to the magnet system of Figs. 21-23. In detail, the lower and upper ring members 62, 63 are magnetic pole pieces that can be magnetically penetrated instead of magnets. The cylindrical member 64 is a magnet instead of a magnetic pole member. The cylindrical magnet has a north pole at its upper axis abutting on the upper end of the upper magnetic pole piece 62 and a south pole at its lower axis abutting on the lower end of the lower magnetic pole piece 63. . A possible alternative to the exhaust manifold is to omit the upper protrusion 522 and rely on the lower protrusion 516 and the magnet system 50 to block the plasma. Another alternative design of the exhaust manifold is to omit the lower projection 516 (which extends radially outward from the inner lining 38) and replace a modified magnet system 51, as shown in FIG. 25, which Mounted in the upper projection 522 (which extends radially inward from the outer liner 36). The north and south poles 56'57 of the magnet system 51 should be adjacent to the gas path at the radially inner end of the projection 44. This can be used on page 53. The paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) 1 * 1_111111 I (Please read the precautions on the back before filling this page) System 588401 A7 B7 V. Explanation of the invention () It is achieved by using magnets 52, 53 and magnetic pole piece 54 as shown in FIG. 23, but the magnetic pole piece 54 is moved from the radial inner end to the radial outer side of the two magnets. End, as shown in Figure 25. Fig. 26 shows another magnet system 61 which is different from the magnet system 51 of Fig. 25 in that the magnet and the magnetic pole piece are interchanged β (see the explanation made in Fig. 24). The plasma chamber tests the exhaust manifold design shown in Section 27 (a) in the same plasma chamber. The exhaust manifold of Fig. 27 includes upper and lower annular magnets 68, 69 in upper and lower projections 522, 516 respectively mounted on the exhaust passage 30. The upper magnet 68 has north and south poles at its inner and outer ends in the radial direction, respectively. The upper magnet 69 has north and south poles at its outer and inner ends in the radial direction, respectively. Therefore, the north and south poles of the upper magnet are aligned with the north and south poles of the lower magnet. The resulting magnetic field, as indicated by the magnetic field line 70, is highly concentrated in the area of the exhaust manifold channel or path between the two protruding pieces. As mentioned in the description of Figure 21, the concentration of this magnetic field can maximize the magnetic field intensity received by the exhaust gas and minimize the magnetic field on the workpiece 10. In order to facilitate the use of the protruding part 5 between the top and the bottom To test the 27th embodiment with different distances between 22, 516, our prototype includes a ring-shaped dielectric spacer 72 under the outer dielectric layer 36. By using a thicker spacer 72, we can increase the height of the upper projection 5 22 and thereby increase the distance between the two projections. For each spacer thickness tested, we use the same magnet 68,69. Therefore, when we use a thicker spacer, increase the gap and decrease the magnetic field strength in the gap at the same time. Paper size applies to China National Standard (CNS) A4 specification (210 × 297 mm) ...........- #-¥: (Please read the precautions on the back before filling this page) Order · Ministry of Economic Affairs Printed by the Intellectual Property Bureau Employee Cooperatives 588401 Α7

五、發明説明() 度。 在這些測試中,吾人發現當介於上及下凸出件之間的 間隙為0.5英吋或更小且在間隙内的磁?毽走蚨锥珥。1〇〇或 1 5 0高斯時’電漿即可被成功地阻擋而不會進入到低凸出 件以下的地方中。吾人亦發現到,在所室的室中,在遠離 磁鐵處磁場強度衰減的夠快,使得在工件1〇上的磁場強度 低3南斯’而這被認為足以避免掉對工件所有可能造成的 傷害。然而,當吾人測試兩凸出件之間一較寬的間陳,即 在間陈中的磁場強度較小時,吾人發現電漿並未被成功地 阻擋。 吾人目前較偏好第21圖的實施例,因為與只需要將磁 鐵安裝於第21圖設計中的一凸出件内比較起來,第27圖需 將磁鐵安裝在兩個凸出件内將需要使用較多的人力。 排氣歧管的另一替代實施例將會是省掉第27圖實施 例中的一凸出件及其對應的磁鐵。吾人測試一與第27圖實 施例相同的一原型,除了上凸出件522及上磁鐵68被省掉 只留下下凸出件及磁鐵之外,如第28囷所示。雖然此原型 成功地阻擋電漿進入到下凸出件底下,但吾人發現在工件 處的磁場太強。然而,此實施例對於工件不會太容易受到 離子轟擊或靜電荷累積的傷害的半導體製程而言仍是適 用的。 偏向器5 22, 516不一定是一或多個從排氣通道的壁伸 出的凸出件,而可以是在排氣通道中可造成廢氣紊流的任 何結構。如稍早所述的,此紊流將可提高帶電粒子的再結 第55頁 本紙張尺度適用中國國家標準(CNS)A4規格(210Χ 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝· 訂· 經濟部智慧財產局員工消費合作社印製 588401 A7 B7 五、發明説明() 合,有助於消除紊流下游的電漿,且其可增加反應物質之 間的表面反應,使得反應副產物可被沉積在靠近偏相器 處,而非在節流閥8或幫浦1 〇 9上。 偏向器及磁鐵系統可被安裝在排氣通道的任何部分 上,如排氣通道32,即使是它們在較佳實施例中是被安裝 在環狀排氣歧管中。 當然,介於該磁鐵系統與該排氣通道的内部之間的任 何物質應為非磁性的,用以避免阻擋磁場到達該廢氣。如 稍早所述的,在較佳實施例中,安裝了磁鐵系統的凸出件 為陽極化的鋁β 為了要平衡在該室的方位角周圍的廢氣流率,稍微減 小該排氣歧管靠近該排氣通道的方位角的徑向寬度及稍 微增加其靠近相反方位角,即靠近180度遠離該排氣通道 的方位角,的徑向寬度是較佳的。 被示出的電漿室具有圓形的對稱性因為其是用來處 理一單一,圓形的半導體晶圓。在具有其它形狀的電漿室 中,如用來處理多片晶圓或矩形基材者,本發明的構件如 偏向器及磁鐵系統將會具有矩形或更複雜的形狀。使用此 說明書中之"環狀"一詞並非是要將形狀限制在一具有圓 形的内及外周邊的形狀上,而是包含了矩形及更複雜的形 狀。 VI.本發明的其它室的實施例 第28囷為一被電容地耦合的磁性強化的反應離子蚀 第56頁 本紙張尺度適用中國國家標準(CNS)A4規格(210Χ 297公釐)5. Description of the invention () Degree. In these tests, I found that when the gap between the upper and lower protrusions is 0.5 inches or less and the magnetic field is within the gap?毽 Walk 蚨 cone 珥. At 100 or 150 Gauss, the 'plasma can be successfully blocked without entering the place below the low projection. I have also found that in the chamber of the chamber, the magnetic field strength decays fast enough away from the magnet, making the magnetic field strength on the workpiece 10 lower by 3 Nans' and this is considered to be sufficient to avoid all possible causes to the workpiece hurt. However, when I tested a wider gap between two protruding pieces, that is, the magnetic field intensity in the gap was small, I found that the plasma was not successfully blocked. I currently prefer the embodiment of Figure 21, because compared to the need to install the magnet in a projection in the design of Figure 21, Figure 27 requires the magnet to be installed in two projections. More manpower. Another alternative embodiment of the exhaust manifold would be to omit a protruding piece and its corresponding magnet in the embodiment of Fig. 27. I tested a prototype that is the same as the embodiment in FIG. 27, except that the upper protrusion 522 and the upper magnet 68 are omitted, leaving only the lower protrusion and the magnet, as shown in FIG. 28 (a). Although this prototype successfully blocked the plasma from entering under the protruding part, I found that the magnetic field at the workpiece was too strong. However, this embodiment is still applicable to a semiconductor process in which a workpiece is not too easily damaged by ion bombardment or electrostatic charge accumulation. The deflectors 5 22, 516 are not necessarily one or more projections protruding from the wall of the exhaust passage, but may be any structure that may cause exhaust gas turbulence in the exhaust passage. As mentioned earlier, this turbulence will improve the restructuring of charged particles. Page 55 This paper is sized for China National Standard (CNS) A4 (210 × 297 mm) (Please read the precautions on the back before filling out this Page) Binding, printing, and printing of 588401 A7 B7 by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economy This allows the reaction by-products to be deposited close to the polarizer, rather than on the throttle valve 8 or pump 109. The deflector and magnet system can be installed on any part of the exhaust passage, such as the exhaust passage 32, even if they are installed in the annular exhaust manifold in the preferred embodiment. Of course, anything between the magnet system and the interior of the exhaust passage should be non-magnetic to avoid blocking magnetic fields from reaching the exhaust gas. As mentioned earlier, in the preferred embodiment, the projection with the magnet system installed is anodized aluminum β. In order to balance the exhaust gas flow rate around the azimuth of the chamber, the exhaust gas divergence is slightly reduced. The radial width of the azimuth angle of the tube near the exhaust channel and slightly increasing its azimuth angle near the opposite azimuth angle, that is, close to the azimuth angle 180 degrees away from the exhaust channel, is preferable. The plasma chamber shown has a circular symmetry because it is used to process a single, circular semiconductor wafer. In plasma chambers with other shapes, such as those used to process multiple wafers or rectangular substrates, the components of the present invention such as deflectors and magnet systems will have rectangular or more complex shapes. The use of the word "ring" in this specification is not intended to limit the shape to a shape with a circular inner and outer perimeter, but encompasses rectangular and more complex shapes. VI. Examples of other chambers of the present invention 28. A magnetically enhanced reactive ion etch that is capacitively coupled. Page 56 This paper is sized to the Chinese National Standard (CNS) A4 (210 × 297 mm).

If — — — — — 窗 III I (請先閱讀背面之注意事項存瑱寫本頁) ' 經濟部智慧財產局員工消費合作社印製 588401 A7 B7 五、發明説明() 刻(MERIE)室的剖面圖,其具有本發明的改良的實射例。 第28圖顯示一蝕刻處理系統28 00其具有與第1圖的處理系 統50相同的系統。蝕刻處理系統2800包括MERIE室2850。 MERIE室2850與上述具有數對電磁鐵的室ι〇0相似。例 如’四個電磁鐵2810,2812,2814及2816典型地被安裝成 一大致矩形的陣列,在室側壁106的交替壁上有一個,且 每一者都具有一適當的電源供應器2830,2832,2834及 2836。為了清楚起件,只有電磁鐵281〇及2812及與它們對 應電源供應器2830,2832被室於第28圖中。在控制器140 的控制下’線圈對2810及2812與2814及2816協同提供一類 靜電’多方向磁場其可以是步進的或繞著晶圓1〇旋轉的。 電磁鐵2810, 2812, 28 14及281 6產生一可控制的磁場,其 強度在0高斯至150高斯之間❶而且,該磁場的強度可被加 以調整用以選擇蝕刻率及改變離子轟擊。MERIE室操作的 其它細節被提供於美國專利第4,842,683號,名稱為 "Magnetic Field-Enhanced Plasma Etch Rector"的專利中。 第28囷亦顯示第二襯裡ns的另一實施例,其只具有 下凸出件5 1 6。磁性限制系統52被設置於下凸出件5 1 6中。 雖然磁性限制系統被舉出,但應被瞭解的是,在上面,,電 漿限制M乙節中提到的任合磁性限制系統可被修改以使用 在該襯裡118的單一凸出件實施例中。 第29圖為姓刻室的另一實施例的剖面囷,其具有本發 明的實射例。第29圖顯示一蝕刻處理系統29〇〇其具有壹蚀 刻處理室2950。處理系統2900與第1囷的處理系統相似只 第57頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) — — IIIIIIIII1 1 I (請先閲讀背面之注意事項再填寫本頁) 訂· 經濟部智慧財產局員工消费合作社 588401 A7 B7 五 經濟部智慧財產局員工消費合作社印製 、發明説明( 是多了 一第二RF產生器2910及阻抗配接電路29 15。處理室 2950與處理室1〇〇相似只是多了平行板292〇。在操作時, 來自於RF產生器150及2910的RF訊號是在控制器140的控 制下分別經由阻抗配接電錄1 5 1,29 1 5而分別被提供至電 極105及平行板2920.。在另一實施例中,RF產生器150及 2920提供相同頻率的RF訊號。在另一實施例中,RF產生器 150及2920提供不同頻率的rf訊號。 第3 0圖為結合了本發明的實施例之另一處理室的剖 面圖。第30囷顯示一蝕刻處理系統3000其具有一磁性強化 的蚀刻室3050。處理系統3000與處理室100相似只是多了 磁場產生機構3010。磁場產生機構3010被設置在該處理室 3 05 0的圓筒形壁的外圓周表面上。該磁場產生機構3010包 含數個被圓周地安排的永久磁鐵,它們據有預設的極性能 夠產生一平行於晶圓10的上表面的磁場,及一驅動機構用 來將磁鐵繞著該處理室305 0轉動β該磁場產生機構3010產 生一旋轉磁場,其繞著該處理室3050或晶圓10的一垂直中 心軸轉動於處理體積112中。有關於磁場產生機構3010的 额外細節係被描述於美國專利第5,980,687號中。 第3 1圖為結合了本發明的實施例之另一處理室的剖 面圖。第3 1圖顯示一蝕刻處理系統3 1 00其具有一蝕刻室 3 150。處理系統3100與處理系統50相似只是多了由控制器 140所控制的一第二RF產生器3110及阻抗配接電路3105。 處理室3050與處理室1〇〇相似只是多了蓋子102的變化例 用來安裝於蓋子102上的天線3115及作為一電感件用來將 第58頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 588401 Α7 Β7 $'發明説明() (請先閲讀背面之注意事項再填寫本頁) RF能量從RF產生器3110耦合至處理醴積112中。阻抗配接 電路3105將來自於產生器2110的RF訊號耦合至天線 3115。喷嘴350已被置於蓋子102的周邊用以幫助將能能量 有效率地從天線3115耦合至形成於處理醴積112内的電漿 中。第31囷顯示扁平線圈式的天線3115。其它形式的天線 3115如一環形的,螺旋形的,堆疊形的,或多段天線式的, 都可被使用。 經濟部智慧財產局員工消費合作社印製 第32圖為一具有本發明的改良之蝕刻室的另一實施 例的剖面圖。第32圖顯示一蝕刻處理系統3200其具有一蝕 刻室3250。處理系統3200與第1圖的處理系統50相似只是 多了該第二RF產生器32 04及阻抗配接電路32 06。處理室 3250與處理室100相似只是多了一扁平的電感線圈602及 一蓮蓬頭式的氣體注入系統取代噴嘴3 50。蝕刻室3250具 有一溫度受控制的室襯裡1 0 4其以上文中所述的方式來調 節室襯裡104的溫度。室3250具有一蓋子組件3208其與室 壁106及室底部108—起界定該處理體積110。一蓮蓬頭 3212被設置在該蓋子組件3208底下。來自於氣體板105之 處理氣體及其它氣體通過在該蓋子組件3208中的一路徑 且經由在該蓮蓬頭32 12中的多個孔而被散佈於室體積110 中。雖然該室刻室3250被顯示為具有第一襯裡118及第二 襯裡134,但其可包含它們其中之一或兩者。蝕刻室3250 亦顯示一襯裡118其只具有單一凸出件516其内安裝有磁 鐵系統50。 第59頁 本紙張尺度適用中國國家標準(CNS)A4規格(210Χ 297公釐) 588401 Α7 Β7 五、發明説明() VII·室製程窗口及代表性的關鍵介電質蝕刻處理 本發明的改良的實施例提供較大的介電質蝕刻處理 犯力β介電質蝕刻製程窗口是藉由結合許多優於傳統的蝕 刻室使用介電質蝕刻製程窗口所能達到的結果之改良而 達成的。 例如’一具有本發明的實施例之磁性加強的反應離子 蚀刻室,如第28圖的MERIE室2800 ,相對於傳統的MERIE 處理反應器而言具有數項優點。因為介電質蝕刻經常化產 生聚合物副產物,所以本發明的數個態樣配合起來可提供 改上的聚合物黏附性控制。首先,對在室壁及陰極上的襯 裡作直接的溫度控制有助於將電漿循環造成的加熱效應 減至最小。電漿循環是在電衆加熱該室的一部分時發生 的。聚合物黏附性通常會隨著溫度的升高而降低。其結果 為,這些被電漿循環所加熱的區域其上的聚合物沉積物更 容易剝落並造成微粒污染。藉由控制及均勻地降低襯裡的 溫度,聚合物副產物對於襯裡的黏附性可獲得改善,藉以 降合物副產物剥落及形成微粒的可能性。其次,使用尺寸 最小化的氣體喷嘴3 50可確保喷嘴被電漿加熱至副產物會 形成於或黏附在喷嘴開口上的可能性會被降低的溫度以 上。將氣體喷嘴350的尺寸最小化的另一項優點為,因為 氣體入口噴嘴面積很小,所以大部分的電漿及副產物會於 溫度受控制的蓋子接觸。與副產物與溫度受控制的襯裡接 觸相同地,與溫度受控制的蓋子接觸的副產物亦會沉積且 黏附在溫度受控制的蓋子上,而不會沉積在被電槳加熱且 第60頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 111麵· — — — — — — I (請先閱讀背面之注意事項再填寫本頁) 訂· 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 588401 A7 B7 五、發明説明() 尺寸最小化的氣體分佈喷嘴上。第三點,陰極及溫度受控 制的襯裡及溫度受控制的蓋子亦可藉由加上表面花紋結 構,如在上文第IV節中所述者,而進一步改善副產物的黏 附性。因此,溫度受控制的壁及陰極襯裡及溫度受控制的 蓋子加上最小化的氣醴入口可確保大多數的電漿處理區 包含莒有高黏附性花紋之溫度受控制的表面》 具有本發明的實施例的處理室可實施使用高達120G 的高磁場及高達2500 W的RF能量的介電質蝕刻處理。具有 一高室體積,如2500Occ的室體積,及高能力真空排氣系 統,如一具有1600公升/秒至2000公升/秒的排氣速度的背 浦系統,的實施例可提供一高氣流-低室壓力的處理環 境,而這在傳統的磁性強化的反應離子蚀刻處理反應器中 是無法提供的。高排氣速度的一項優點為可改善對於反應 物質形成及停留時間的控制。停留時間與發生在電漿中的 反應氣體解離的數量直接相關。一氣體分子保持曝露在電 漿中的時間愈長,該氣體分子愈可能持續解離。因此,具 有本發明的實施例之蚀刻處理反應器藉由提供改善的停 留時間的控制而提供更佳的電漿氣體組成。 使用C4F6於介電質蚀刻處理中已有報導且係習知 的。然而,這些報導並沒有教導使用一平行板反應器,如 本發明的反應器,來進行使用CUF6的介電質蝕刻,特別是 襯裡是由C4F6所形成,如六氟-1 , 3- 丁二缔 (CF2 = CFCF = CF2)。甚者,就本發明人所瞭解的,沒有任 何一被報導的嘗試已成功地進入生產線。 第61頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) — — — — — — — — — — — — I (請先閲讀背面之注意事項再填寫本頁) 訂· 經濟部智慧財產局員工消費合作社印製 588401 A 7 _________B7_ 五、發明説明() — • — — I 看雪 — I — 1 I (請先閲讀背面之注意事項再填寫本頁) 例如,Yanagida在美國專利第5,338,399號中揭示使用 六氟環丁烯鏈(c- C4F6)而非一直線的(C4F6)。在另一方 面,在美國專利第5,366,590中Kadamura建議直線的C4F6 鏈可被使用,但必需使用氣體的一高密度電漿,如使用ECR 電漿源’電感地耦合的電漿源,或變壓器耦合的電漿源所 產生者。相似地,在曰本申請案第Hei 9[19 97]-191002號 中Fukuda揭示其使用直線c4F6的工作,而且使用由ECR電 漿源所產生的高密度電漿。Chatterjee等人報導它們使用六 氟2-丁烯及六氟-1,3-丁二烯的工作且亦使用由電感耦合 的電漿源所產生的高密度電漿》Evaluation of Unsaturated Fluorocarbons for Dielectric Etch Applications, Ritwik Chatterjee, Simon Karecki, Laura Pruette, Rafael Rsif, Proc· Electrochem. Soc. PV 99-30 (1 999)·因此,這些先前 技藝所教導的是,在使用直線C4F6,如六氟-丨,% 丁二烯, 時為了要達到可接受的蚀刻結果,則需使用高密度電漿而 非低或中密度電漿,如使用電容耦合的電漿源所產生的電 漿。 經濟部智慧財產局員工消費合作社印製 然而,本發明確揭示在使用本發明之電仍耦合的電漿 源所產生的電漿下,直線C4F6可達成令人驚奇的蝕刻效 果。本案發明人相信由高密度電漿室所產生的高能量會造 成C々F6過度的解離。因此,本案發明人相信使用電容耦合 的室可達到改善的結果,用以限制分子的解離。而且,本 案發明人藉由使用本發明的蚀刻室所提供的高排氣能力 來進一步限制解離。 第62頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐)If — — — — — Window III I (Please read the precautions on the back and write this page first) '' Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 588401 A7 B7 V. Description of the invention () Section of the MERIE room Figure, which has an improved real shot example of the present invention. Fig. 28 shows an etching processing system 2800 having the same system as the processing system 50 of Fig. 1. The etching processing system 2800 includes a MERIE chamber 2850. The MERIE chamber 2850 is similar to the above-mentioned chamber ιo with several pairs of electromagnets. For example, 'the four electromagnets 2810, 2812, 2814, and 2816 are typically mounted in a generally rectangular array, one on the alternating walls of the side wall 106, and each has a suitable power supply 2830, 2832, 2834 and 2836. For the sake of clarity, only the electromagnets 2810 and 2812 and their corresponding power supplies 2830 and 2832 are shown in Fig. 28. Under the control of the controller 140, the 'coil pairs 2810 and 2812 and 2814 and 2816 cooperate to provide a type of electrostatic' multi-directional magnetic field which can be stepped or rotated around the wafer 10. The electromagnets 2810, 2812, 28 14 and 281-6 generate a controllable magnetic field with a strength between 0 Gauss and 150 Gauss. Moreover, the strength of the magnetic field can be adjusted to select the etching rate and change the ion bombardment. Additional details of the operation of the MERIE chamber are provided in U.S. Patent No. 4,842,683, entitled " Magnetic Field-Enhanced Plasma Etch Rector ". Fig. 28 (A) also shows another embodiment of the second liner ns, which has only the lower projections 5 1 6. The magnetic confinement system 52 is provided in the lower projection 5 1 6. Although a magnetic confinement system is enumerated, it should be understood that, above, any of the confinement magnetic confinement systems mentioned in the plasma confinement M section B can be modified to use a single protruding embodiment of the liner 118 in. Fig. 29 is a sectional view of another embodiment of the nicking room, which has a real shot example of the present invention. FIG. 29 shows an etch processing system 2900 having an etch processing chamber 2950. The processing system 2900 is similar to the processing system of page 1 only on page 57. This paper size applies to Chinese National Standard (CNS) A4 (210X297 mm) — — IIIIIIIII1 1 I (Please read the precautions on the back before filling this page) · Consumption Cooperative of Employees of the Intellectual Property Bureau of the Ministry of Economic Affairs 588401 A7 B7 5 Printed and Invention Description of the Consumption Cooperatives of Employees of the Intellectual Property Bureau of the Ministry of Economic Affairs (is an additional second RF generator 2910 and impedance matching circuit 29 15. Processing room 2950 and The processing chamber 100 is similar except that a parallel plate 292.0 is added. In operation, the RF signals from the RF generators 150 and 2910 are respectively controlled by the controller 140 through impedance impedance recording 1 5 1, 29 1 5 and provided to the electrode 105 and the parallel plate 2920. In another embodiment, the RF generators 150 and 2920 provide RF signals of the same frequency. In another embodiment, the RF generators 150 and 2920 provide different frequencies Figure 30 is a cross-sectional view of another processing chamber incorporating an embodiment of the present invention. Figure 30) shows an etching processing system 3000 having a magnetically enhanced etching chamber 3050. The processing system 3000 and processing 100 is similar except that a magnetic field generating mechanism 3010 is provided. The magnetic field generating mechanism 3010 is provided on the outer peripheral surface of the cylindrical wall of the processing chamber 3 05 0. The magnetic field generating mechanism 3010 includes a plurality of permanent magnets arranged circumferentially, According to the preset polarity, they can generate a magnetic field parallel to the upper surface of the wafer 10, and a driving mechanism for rotating the magnet around the processing chamber 3050. The magnetic field generating mechanism 3010 generates a rotating magnetic field, which A vertical central axis of the processing chamber 3050 or the wafer 10 is rotated in the processing volume 112. Additional details regarding the magnetic field generating mechanism 3010 are described in U.S. Patent No. 5,980,687. Figure 31 is a combination of the present invention Sectional view of another processing chamber of the embodiment. Figure 31 shows an etching processing system 3 1 00 which has an etching chamber 3 150. The processing system 3100 is similar to the processing system 50 except that it is controlled by the controller 140 A second RF generator 3110 and an impedance matching circuit 3105. The processing chamber 3050 is similar to the processing chamber 100 except that a modification of the cover 102 is used to mount the antenna 3115 on the cover 102 and to The inductor is used to apply the paper size on page 58 to the Chinese National Standard (CNS) A4 specification (210X 297 mm) (Please read the precautions on the back before filling out this page) 588401 Α7 Β7 $ 'Invention Description () (Please Read the notes on the back before filling this page.) RF energy is coupled from the RF generator 3110 to the processing product 112. The impedance matching circuit 3105 couples the RF signal from the generator 2110 to the antenna 3115. Nozzles 350 have been placed around the perimeter of the cover 102 to help efficiently couple energy from the antenna 3115 into the plasma formed in the process volume 112. Figure 31) shows a flat coil antenna 3115. Other forms of antenna 3115 such as a loop, spiral, stack, or multi-segment antenna can be used. Printed by the Employees' Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs. Figure 32 is a cross-sectional view of another embodiment having the improved etching chamber of the present invention. Figure 32 shows an etch processing system 3200 having an etch chamber 3250. The processing system 3200 is similar to the processing system 50 in FIG. 1 except that the second RF generator 32 04 and the impedance matching circuit 32 06 are added. The processing chamber 3250 is similar to the processing chamber 100 except that a flat inductor coil 602 and a shower head-type gas injection system are used instead of the nozzles 3 50. The etch chamber 3250 has a temperature-controlled chamber liner 104 which adjusts the temperature of the chamber liner 104 in the manner described above. The chamber 3250 has a lid assembly 3208 that defines the processing volume 110 together with the chamber wall 106 and the chamber bottom 108. A shower head 3212 is disposed under the cover assembly 3208. The process gas and other gases from the gas plate 105 are dispersed in the chamber volume 110 through a path in the cover assembly 3208 and through a plurality of holes in the shower head 32 12. Although the chamber block 3250 is shown as having a first liner 118 and a second liner 134, it may include one or both of them. The etching chamber 3250 also shows a liner 118 having only a single projection 516 with a magnetic system 50 mounted therein. Page 59 This paper size is in accordance with Chinese National Standard (CNS) A4 specification (210 × 297 mm) 588401 A7 B7 5. Description of the invention () VII. Chamber process window and representative key dielectric etching treatment The improved The embodiment provides a large dielectric etch process, which is a beta dielectric etch process window that is achieved by combining many improvements over the results that can be achieved using a dielectric etch process window in a traditional etch chamber. For example, a magnetically enhanced reactive ion etching chamber having an embodiment of the present invention, such as the MERIE chamber 2800 in FIG. 28, has several advantages over a conventional MERIE processing reactor. Because dielectric etching often produces polymer by-products, several aspects of the present invention can be combined to provide improved control of polymer adhesion. First, direct temperature control of the liners on the walls and cathodes helps to minimize the heating effects caused by the plasma cycle. Plasma circulation occurs when a part of the chamber is heated by the electric mass. Polymer adhesion generally decreases with increasing temperature. As a result, the polymer deposits on these areas heated by the plasma cycle are more likely to peel off and cause particulate contamination. By controlling and uniformly lowering the temperature of the liner, the adhesion of the polymer by-product to the liner can be improved, thereby reducing the possibility of exfoliation of by-product by-products and formation of particles. Second, the use of a minimized gas nozzle 3 50 ensures that the nozzle is heated by the plasma to a temperature above which the possibility that by-products will form or stick to the nozzle opening will be reduced. Another advantage of minimizing the size of the gas nozzle 350 is that because the area of the gas inlet nozzle is small, most of the plasma and by-products come into contact with the temperature-controlled lid. As with the by-products in contact with the temperature-controlled liner, the by-products in contact with the temperature-controlled lid will also deposit and adhere to the temperature-controlled lid, instead of being deposited by the electric paddle and page 60. Paper size applies to China National Standard (CNS) A4 specification (210X297 mm) 111 faces · — — — — — — I (Please read the notes on the back before filling out this page) System 588401 A7 B7 V. Description of the invention () Minimize the size of the gas distribution nozzle. Thirdly, the cathode and temperature-controlled lining and temperature-controlled lid can also be used to further improve the adhesion of by-products by adding a surface pattern structure, as described in Section IV above. Therefore, temperature-controlled walls and cathode linings and temperature-controlled lids with minimized gas inlets ensure that most plasma treatment areas contain temperature-controlled surfaces with high adhesion patterns. The processing chamber of the embodiment can perform a dielectric etching process using a high magnetic field up to 120G and an RF energy up to 2500 W. Embodiments with a high chamber volume, such as a chamber volume of 2500 Occ, and a high-capacity vacuum exhaust system, such as a back pump system with an exhaust speed of 1600 liters per second to 2000 liters per second, can provide a high airflow-low Chamber pressure processing environment, which cannot be provided in a conventional magnetically enhanced reactive ion etching process reactor. One advantage of high exhaust speeds is improved control of reactant formation and residence time. The residence time is directly related to the amount of reaction gas dissociation that occurs in the plasma. The longer a gas molecule remains exposed to the plasma, the more likely it is that the gas molecule will continue to dissociate. Therefore, an etch processing reactor having an embodiment of the present invention provides a better plasma gas composition by providing improved control of the residence time. The use of C4F6 in dielectric etching processes has been reported and is well known. However, these reports do not teach the use of a parallel plate reactor, such as the reactor of the present invention, for dielectric etching using CUF6, especially if the liner is formed from C4F6, such as hexafluoro-1, 3-butane (CF2 = CFCF = CF2). Moreover, to the best of the inventor's knowledge, none of the reported attempts have successfully entered the production line. Page 61 This paper size applies Chinese National Standard (CNS) A4 specification (210X297 mm) — — — — — — — — — — — I (Please read the notes on the back before filling this page) Order · Ministry of Economic Affairs Printed by the Intellectual Property Bureau's Consumer Cooperatives 588401 A 7 _________B7_ V. Invention Description () — • — — I See Snow — I — 1 I (Please read the notes on the back before filling this page) For example, Yanagida No. 5,338,399 discloses the use of a hexafluorocyclobutene chain (c-C4F6) rather than a linear (C4F6). On the other hand, in U.S. Patent No. 5,366,590, Kadamura suggested that a straight C4F6 chain could be used, but a high-density plasma of gas must be used, such as using an ECR plasma source 'an inductively coupled plasma source, or a transformer coupling. Generator of plasma source. Similarly, in Japanese Application No. Hei 9 [19 97] -191002, Fukuda discloses its work using a straight line c4F6, and also uses a high-density plasma generated by an ECR plasma source. Chatterjee et al. Reported their work with hexafluoro 2-butene and hexafluoro-1,3-butadiene and also use high-density plasmas produced by inductively coupled plasma sources. "Evaluation of Unsaturated Fluorocarbons for Dielectric Etch Applications, Ritwik Chatterjee, Simon Karecki, Laura Pruette, Rafael Rsif, Proc · Electrochem. Soc. PV 99-30 (1 999) · Therefore, what these previous techniques teach is the use of straight C4F6, such as hexafluoro- 丨, % Butadiene, in order to achieve acceptable etching results, you need to use high-density plasma instead of low- or medium-density plasma, such as the plasma generated by using a capacitively-coupled plasma source. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs However, the present invention does reveal that the straight line C4F6 can achieve a surprising etching effect using the plasma generated by the plasma source which is still coupled with the electricity of the present invention. The inventors of this case believe that the high energy generated by the high-density plasma chamber will cause excessive dissociation of C々F6. Therefore, the inventors believe that the use of capacitively coupled chambers can achieve improved results to limit the dissociation of molecules. Moreover, the inventors of the present invention have further limited the dissociation by using the high exhaust capability provided by the etching chamber of the present invention. Page 62 This paper size applies to China National Standard (CNS) A4 (210X 297 mm)

經濟部智慧財產局員工消費合作社印製 588401 五、發明説明() 雖然不想要受理論所侷限,但一般咸認一蝕刻氣體, 如直線ce6,進入到一處理室的電漿區且曝露於該電漿中 時’其分裂或解離為更小的物質。通常,對於氟碳化物處 理氣體而言,較短的停留時間可產生較高百分比的氟碳基 團CFX#,而較長的停留時間則會產生氟基困F· v太多的氟 基團會降低光阻選擇性及/或降低側壁輪廓控制。申請人發 現停留時間少於70ms,最好是少於50ms,時光阻選擇性可 獲得改善。申請人亦發現停留時間約為40ms時氧化物蝕刻 率可獲得改善。此停留時間可藉由本發明的處理反應器來 達成且可提供在電容耦合的RIE模式下使用直線C4F6進行 蝕刻β 控制在一氣體組成中之基困形成的程式的另一個有 用的方法為加入一挑氣於該反應氣體組成中》—般咸認增 加在一反應氣體組成中的鈍氣數量可降低在該反應氣體/ 鈍氣混合物曝露於一電漿中時從該反應氣髏形成基困的 數量。鈍氣的流率對反應氣體流率的比例最好是在5: 1至 20 : 1之間《更佳地為,在鈍氣流率對反應氣體流率的比 例為12 : 1至16 : 1之下,總氣體流率為約50sccm至約 1 OOOsccm ° 具有本發明的實施例之介電質蝕刻室提供一介電質 製程窗口其包含高達2500 W的RF能量,從〇高斯至約150高 斯的磁場強度,從40sccm至lOOOsccm的總氣體流率,20mT 至約25OmT的室壓力及從約20 °C至約50 °C的襯裡溫度範 圍。如下文中參照第33-3 8囷所述的’由具有本發明的實 第63育 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) I I 「請先閲讀背面之注急事項再填寫本買) -訂· 588401 A7 B7 五、發明説明() 施例之蚀刻反應氣所提供之較大的製程窗口可改善許多 關鍵介電質及氧化物蝕刻應用的介電質蝕刻處理性能,可 靠性及製程調節的多樣性。 一代表性的自我對準的接點特徵結構被示於第3 3 A圖 及33B圖中。第33 A囷顯示蝕刻前自握對準的接點結構 33 00。第33B圖為蝕刻後自我對準的接點結構33〇5。這兩 種自我對準的接點結構33〇〇,33〇5都被形成於一矽基材 3310之上。通常,字線3315典型地包含氧化層3316, 一 WSix 層3317,及一多晶矽層33 18。字線33 i 5係被一襯裡層332〇 所覆蓋’其典型地是由一氮化矽所製程。一代表性的位元 線區3325被示於相鄰的字線3315之間。介電層333 〇被形成 於襯裡層3320上且典型地係由二氧化矽所形成及由〇3-TEOS基的處理所形成的氧化物層。或者,該介電層33〇〇 可由一被摻雜的的氧化矽膜層,如一硼或磷摻雜的矽玻璃 (BPSG)。自我對準的接點特徵結構3 300可包括其它的層, 如一抗反射塗層可被應用在囷案層3335與介電層3 3 3 0之 間。 同樣被顯示在第33A圖的蝕刻前自我對準的接點特徵 結構33 00中的是一罩幕圖案層3335。當蝕刻前自我對準的 接點特徵結構3300被曝露於一適當的姓刻處理中時,介電 層3330被蝕刻藉以將罩幕層3335的圖案移轉至該介電層 3330上。如第33B圖所示的,一接點區域33 40是在與接點 區3325相鄰的介電層3330被移除之後才被形成的。 該蝕刻前自我對準的接點特徵結構3300及3305的實 第64·頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) — — — — — — — — — — 1 — I If (請先閲讀背面之注意事項再填寫本頁) 訂· 經濟部智慧財產局員工消費合作社印製 588401 A7 B7_____ 五、發明説明() 際尺寸將隨著數項考量而改變,例如元件應用,設計規則 及接點面積3 3 4 0的關鍵尺寸。例如,為了舉例而非限制的 目的,該自我對準的接點特徵結構3300可以是一 0.25微米 設計規則的元件具有約6000埃的介電層3300總厚度,一約 650埃的襯裡層3320厚度及一大於約7000埃的罩幕層3335 厚度,其上具有約0.25微米的圖案開孔。本發明所提供該 自我對準的接點蝕刻處理能夠蝕刻具有關鍵尺寸小於約 〇·25微米,更佳地具有介於〇」微米至小於〇·18微米的關鍵 尺寸,的自我對準接點。 一自我對準的接點特徵結構的蝕刻為一關键的介電 質蝕刻的一部分,因為需要避免在字線側壁上的蝕刻停止 或殘留氧化物。此外,一適當的自我對準接點蝕刻處理必 需將對於氮化物肩部3 3 45的選擇性最大化-最好是,氮化 物肩部選擇性大於20 : 1。 一適合的自我對準接點蝕刻化學物包含一氟化碳氣 體,及一含氧氣體與一鈍氣,總氣體流率為大於700 seem 且該鈍氣包含總氣禮流率的9 0 %。反應氣體比例即鈍氣流 率對反應氣體流率的比例。在此例子中,反應氣體比例將 會是鈍氣流率對氟化碳氣體與含氧氣體組合的氣體流率 的比例。一適當的自我對準接點蝕刻處理具有的反應氣體 比例為從約1 2 : 1至約1 6 : 1,而最佳的反應氣禮比率約為 14.5 : 1。在一特定的實施例中,氟化碳氣體流率對含氧氣 體流率的比例為約1 ·5 : 1至約2 : 1。室壓力被保持在約3 OmT 至約40mT之間,RF功率被保持在約1 800W至約2000W之 第65頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) ▼丨裝· 訂· 經濟部智慧財產局員工消費合作社印製 588401 A7 _B7_ 五、發明説明() 間,磁場強度被保持在約50G且蝕刻室係以約1600公升/秒 至約2000公升/秒的速率被排氣。在一特定的實施例中,該 蝕刻室係以約每秒鐘48室體積至約80室體積的速率被排 氣。在另一較佳的實施例中,基材支撐件或陰極被保持在 約15°C至約20°C之間,而一壁的溫度,或與該基材相鄰之 一溫度受控制的襯裡的溫度被保持在約50°C。在一特定的 且較佳的實施例中,該氟化碳氣體為C4F6,該含氧氣鱧為 〇2及該鈍氣為氬氣。 一代表性的高深寬比介電質蝕刻處理現將參照第34A 及3 4B圖來加以說明。第34 A圖顯示一蝕刻前的高深寬比特 徵結構3400及第3 4B圖顯示一蝕刻後的高深宽比特徵結構 3405。結構3400及3405都不是以比例被畫出。在本文中, 一高深寬比介電質蝕刻處理.係被界定為蝕刻具有深寬比 大於約5 : 1至約6 ·· 1的特徵結構,而一非常高的深寬比處 理係被界定為蝕刻蝕刻具有深寬比大於約1 0 : 1至約20 : 1 的特徵結構。例如,在第34B囷中的特徵結構3430的深寬 比為介電層的厚度3422對寬度3426的比率。具有本發明實 施例之磁性強化的反應力子蚀刻室能夠蝕刻高深寬比及 非常高深寬比的特徵結構。 翻到第34A圖,一蝕刻前的高深寬比特徵結構3400被 示出,其包含一停止層3415形成在一矽基材3410之上。一 具有厚度3422的介電層3420被形成於該停止層3415上。一 罩幕層3 425被形成於介電層3 420上。停止層3415可由一適 當的停止層材質所形成,如氮化矽。當然,特定種類的停 第66頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝* 經濟部智慧財產局員工消費合作社印製 588401 Α7Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs, printed by 588401 5. Invention Description () Although it is not intended to be limited by theory, generally an etching gas, such as the straight line ce6, enters the plasma area of a processing room and is exposed In plasma, it's split or dissociated into smaller substances. In general, for fluorocarbon processing gas, a shorter residence time can generate a higher percentage of fluorocarbon groups CFX #, and a longer residence time will produce too many fluoro groups with fluorocarbon traps F · v. Reduces photoresist selectivity and / or reduces sidewall profile control. The applicant found that the dwell time was less than 70 ms, preferably less than 50 ms, and the photoresistivity selectivity could be improved. The applicant also found that the oxide etch rate can be improved with a dwell time of about 40 ms. This dwell time can be achieved by the processing reactor of the present invention and can provide etching using a straight line C4F6 in a capacitively coupled RIE mode. Another useful method for controlling the formation of a matrix in a gas composition is to add a Entraining gas in the reaction gas composition "—Generally speaking, increasing the amount of passivation gas in a reaction gas composition can reduce the formation of a basic gas from the reaction gas cross when the reaction gas / passive gas mixture is exposed to a plasma Quantity. The ratio of the flow rate of the inert gas to the flow rate of the reactive gas is preferably between 5: 1 and 20: 1. More preferably, the ratio of the flow rate of the inert gas to the flow rate of the reactive gas is 12: 1 to 16: 1 Below, the total gas flow rate is about 50 sccm to about 1 000 sccm. The dielectric etch chamber with the embodiment of the present invention provides a dielectric process window which contains RF energy up to 2500 W, from 0 Gauss to about 150 Gauss. Magnetic field strength, total gas flow rate from 40 sccm to 1000 sccm, chamber pressure from 20 mT to about 25 OmT, and lining temperature range from about 20 ° C to about 50 ° C. As described below with reference to paragraphs 33-3 and 8 由, 'The 63rd paper size of the paper with the present invention applies the Chinese National Standard (CNS) A4 specification (210X 297 mm) II. "Please read the urgent notes on the back first (Fill in the purchase again)-Order · 588401 A7 B7 V. Description of the invention () The large process window provided by the etching reaction gas of the embodiment can improve the dielectric etching performance of many key dielectric and oxide etching applications Diversity of reliability and process adjustment. A representative self-aligned contact feature structure is shown in Figures 3 A and 33B. Figure 33 A 囷 shows the self-aligned contact structure before etching. 33 00. Figure 33B shows the self-aligned contact structure 3305 after etching. These two self-aligned contact structures 3300, 3305 are formed on a silicon substrate 3310. Usually The word line 3315 typically includes an oxide layer 3316, a WSix layer 3317, and a polycrystalline silicon layer 33 18. The word line 33 i 5 is covered by a backing layer 3320, which is typically made of a silicon nitride process. A representative bit line region 3325 is shown between adjacent word lines 3315. A dielectric layer 333. An oxide layer that is formed on the backing layer 3320 and is typically formed of silicon dioxide and formed by a 03-TEOS-based process. Alternatively, the dielectric layer 3300 may be doped and oxidized. A silicon film layer, such as a boron or phosphorus-doped silicon glass (BPSG). The self-aligned contact feature 3 300 may include other layers, such as an anti-reflective coating can be applied to the pattern layer 3335 and the dielectric layer. Between 3 3 3 0. Also shown in FIG. 33A is the self-aligned contact feature structure 33 00 in FIG. 33A is a mask pattern layer 3335. When the self-aligned contact feature structure 3300 is When exposed to a suitable surname process, the dielectric layer 3330 is etched to transfer the pattern of the cover layer 3335 onto the dielectric layer 3330. As shown in FIG. 33B, a contact area 3340 is It was formed after the dielectric layer 3330 adjacent to the contact area 3325 was removed. The actual self-aligned contact feature structures 3300 and 3305 before the etching. Page 64 · The paper dimensions are in accordance with Chinese national standards ( CNS) A4 specification (210X297 mm) — — — — — — — — — 1 — I If (please read first Note on the back, please fill out this page again) Order · Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs, printed by the Consumer Cooperatives 588401 A7 B7_____ V. Invention Description () The international dimensions will change with several considerations, such as component application, design rules and contact area 3 3 4 0. For example, for purposes of example and not limitation, the self-aligned contact feature structure 3300 may be a 0.25 micron design rule with a dielectric layer 3300 total thickness of about 6000 angstroms, a The thickness of the backing layer 3320 is about 650 angstroms and the thickness of the masking layer 3335 is greater than about 7000 angstroms, which has pattern openings of about 0.25 microns. The self-aligned contact etching process provided by the present invention is capable of etching self-aligned contacts having a critical dimension of less than about 0.25 micrometers, and more preferably a critical dimension of between 0 "micrometers and less than 0.018 microns . The etching of a self-aligned contact feature is part of a critical dielectric etch because it is necessary to avoid etch stops or residual oxides on the word line sidewalls. In addition, a proper self-aligned contact etch process must maximize the selectivity to the nitride shoulder 3 3 45-preferably, the nitride shoulder selectivity is greater than 20: 1. A suitable self-aligned contact etch chemical includes a carbon fluoride gas, an oxygen-containing gas, and a passivation gas, the total gas flow rate is greater than 700 seem and the passivation gas contains 90% of the total gas flow rate . The reaction gas ratio is the ratio of the inert gas flow rate to the reaction gas flow rate. In this example, the reaction gas ratio will be the ratio of the inert gas flow rate to the gas flow rate of the combination of fluorocarbon gas and oxygen-containing gas. A suitable self-aligned contact etch process has a reaction gas ratio of from about 12: 1 to about 16: 1, and the optimal reaction gas ratio is about 14.5: 1. In a specific embodiment, the ratio of the fluorinated carbon gas flow rate to the oxygen-containing gas flow rate is from about 1.5: 1 to about 2: 1. The chamber pressure is maintained between approximately 3 OmT and approximately 40mT, and the RF power is maintained between approximately 1 800W and approximately 2000W. Page 65 This paper is sized to the Chinese National Standard (CNS) A4 (210X 297 mm) (please first Read the precautions on the back and fill in this page) ▼ 丨 Printing · Ordering · Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs and Consumer Cooperatives 588401 A7 _B7_ V. Description of the invention (), the magnetic field strength is maintained at about 50G and the etching room is about It is vented at a rate of 1600 liters / second to about 2000 liters / second. In a particular embodiment, the etch chamber is vented at a rate of about 48 chamber volumes to about 80 chamber volumes per second. In another preferred embodiment, the substrate support or cathode is maintained between about 15 ° C and about 20 ° C, and the temperature of a wall, or a temperature adjacent to the substrate, is controlled. The temperature of the lining is maintained at about 50 ° C. In a specific and preferred embodiment, the carbon fluoride gas is C4F6, the oxygen-containing krypton is 02, and the inert gas is argon. A representative high aspect ratio dielectric etching process will now be described with reference to FIGS. 34A and 34B. Figure 34A shows a high aspect ratio feature structure 3400 before etching and Figure 3B shows a high aspect ratio feature structure 3405 after etching. Structures 3400 and 3405 are not drawn to scale. In this paper, a high-aspect-ratio dielectric etching process is defined as etching a feature structure having an aspect ratio greater than about 5: 1 to about 6 ·· 1, and a very high aspect-ratio processing system is defined. For etching, a characteristic structure having an aspect ratio greater than about 10: 1 to about 20: 1 is etched. For example, the aspect ratio of the feature structure 3430 in 34B (i) is the ratio of the thickness 3422 to the width 3426 of the dielectric layer. The magnetically strengthened reactive force etch chamber having an embodiment of the present invention can etch characteristic structures having a high aspect ratio and a very high aspect ratio. Turning to FIG. 34A, a high-aspect-ratio feature structure 3400 before being etched is shown, which includes a stop layer 3415 formed on a silicon substrate 3410. A dielectric layer 3420 having a thickness 3422 is formed on the stop layer 3415. A mask layer 3 425 is formed on the dielectric layer 3 420. The stop layer 3415 may be formed of an appropriate stop layer material, such as silicon nitride. Of course, for certain types of paper, the page size of this paper applies to China National Standard (CNS) A4 (210X297 mm) (please read the precautions on the back before filling this page). 588401 Α7

五、發明説明() 止層材質將隨元件種類及特定的元件設計規則而異。 第34B圖顯示蚀刻後的高深寬比結構3405其包含高深 寬比特徵結構3430。高深寬比特徵結構3430係藉由將罩幕 層3 42 5上的圖案移轉至介電層3 420上被形成於介電層 3420上。罩幕層3425的圖案細藉由在一具有上述本發明的 實施例之蚀刻處理反應室中實施一適當的高深寬比介電 質蚀刻處理而被轉移至介電層3420上,至將於下文中詳細 說明。雖然一特定的特徵結構寬度3426將隨著設計規則而 改變,但大致上特徵結構寬度3 42 6是在約〇·25微米至約01 微米之間。特徵結構深度對應於介驗層3420的厚度。 當介電層3420的厚度3422增加時,該高深寬比介電質 蚀刻處理對於罩幕層3425光阻材質的選擇性將變得更加 關鍵。蝕刻停止的可能性亦將隨著介電層3420厚度的3422 的增加而提高。變小的特徵結構寬度3426亦對於保持一適 當的接點3430側壁輪廓產生挑戰。接點3430的碗形化 (bowing)或再進入(reentrance)侧壁輪廓會在接點3430與 停止層3415相鄰的底部導致一無法接受的小直徑。高深寬 比接點蝕刻為一關鍵的介電質蝕刻處理因為變小的特徵 結構寬度,變大的接點深度,對光阻材質的選擇性及側壁 輪廓的控制等所造成的挑戰。 .高深寬比接點蝕刻會因為一具有一被摻雜的氧化 矽,如BPSG,的介電層3420而被複雜化》包含數種形成 一多層結構的介電材質之介電層3 420亦對高深寬比特徵 結構蝕刻提出了許多挑戰。此一多層結構的一個例子為一 第67頁 本紙張尺度適用中國國家標準(CNS)A4規格(210Χ 297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝. 訂· 經濟部智慧財產局員工消費合作社印製 588401 A7 B7 五、發明説明() 特徵結構其具有一介電層3420,該介電層包含多層在不同 深度的中間停止層,如在堆疊電容DRAM結構的周邊區域 中常見的特徵結構。 高深寬比結構3400的確實尺寸將依數種考量而不 同,例如元件應用,及一特定元件的設計規則等。例如, 代表性的高深寬比結構3400具有約〇·25微米的罩幕寬度 3426,約7000埃的罩幕層3425厚度,約1 5000埃的介驗層 厚度3422,及約500埃的停止層34 15厚度。應被瞭解的是, 以上特定的尺寸是用來舉例的而非是用來限制的。具有本 發明的實施例之磁性強化的反應離子蝕刻室能夠蝕刻具 有深寬比從5:1至約20:1且關鍵尺寸(如接點寬度3426)在 0.25微米至0·1微米之間的高深寬比及非常高深寬比結 構。 符合上述挑戰之適合的高深寬比介電特徵結構蝕刻 製程窗口包括高達約1〇〇高斯的磁場強度,高達2000W的高 RF功率及介於500sccm至約lOOOsccm的高純氣流率。高磁 場強度可提供對於在罩幕層中之光罩材質的高選擇性並 降低側壁碗形化的可能性。大的鈍氣流率可提供一較大範 圍之反應氣體稀釋藉以降低停留時間及反應物質形成,而 這將進一步改善光阻的選擇性。此外,上文中關於自我對 準的接點蚀刻之本發明的高排氣速度亦可被應用於高深 寬比蝕刻中用以進一步改善停留時間遺反應物質形成的 控制。 適當的高深寬比介電蝕刻製程包含一氟化碳氣體,及 第68頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝. 經濟部智慧財產局員工消費合作社印製 588401 A7 B7 五、發明説明() 含氧乳禮及一純氣’其中總氣體流率大於約7〇〇sccin其中 純氣的流率佔總氣禮流率大於約9 0 %。一適當的高深寬比 介電蝕刻處理具有的反應氣體比例為從約丨〇 : 1至約丨5 ·· 1。在一特定的實施例中,氟化碳氣體流率對含氧氣體流 率的比例為約1 · 5 : 1至約2 : 1。在一特定的實施例中,使 用期間被使用的氣體組成包含一氟化後氣體流率其提供 約3 %至6%的總氣體流率,一含氧氣體其包含約1 %至4%的 總氣禮流率及一純氣其佔總氣禮組成的9 0 %以上。 在此特定的實施例中,該室壓力被保持在約2〇mT至 約60mT之間,該RF功率是在約i〇〇〇w至約2000W之間,磁 場強度被保持在約100G’及蚀刻室是以每秒約48室禮積至 80室體積的速率加以排氣。在另一較佳實施例中,基材支 撐件被保持在約-20°C而一壁或直接溫度控制襯裡則被保 持在約1 5 °C。在一特別佳的實施例中,該氟化破氣體為 C^F6,該含氧氣體為〇2及該鈍氣為氬氣β 第35Α及35Β圖分別顯示蝕刻前及蚀刻後金屬介層孔 (via)蝕刻結構3500及3505。通常,金屬介層孔蝕刻製程在 形成一電子元件内的金屬層間的互連結構上是很重要 的。典型地,在一金屬介層孔蝕刻期間被形成於介電材質 内的介層孔稍後會被一金屬,如在一以鋁為基礎的金屬化 結構中常用的一鎢插銷《適當的金屬介層孔蝕刻製程對於 阻障層3515是有選擇性的,或對於底下的金屬層3510是有 選擇性的。 第35 A為形成於金屬層3510之上之蝕刻前的金屬介層 第69頁 本紙張尺度適用中國國家標準(CNS)A4規格(210Χ 297公釐) ............·¥: (請先閱讀背面之注意事項再填寫本頁) 、^1· 經濟部智慧財產局員工消費合作社印製 588401 A 7 B7_ i、發明説明() I I I (請先閲讀背面之注意事項再填寫本頁) 孔填充結構3500。一阻障層3515,如一包含鈦及氮化鈦的 層,被形成於金屬層3510之上並將介電層3520與金屬層 3510隔開來。介電層3520典型地為一 TEOS基的二氧化梦 且亦可為一 HDP-CVD二氧化矽膜層。第35A圖亦顯示在罩 幕層3530底下使用一抗反射塗層3525。 金屬介層孔蝕刻結構3500及3505的特定尺寸,如介電 層3 520的厚度及接點介層孔3535的寬度係依介層孔結構 的種類及特定元件的設計規則而異。例如,〇·25微米特徵 結構元件可具有約10000埃厚的一介電層3 52〇且是由 TEOS所形成並具有一約500埃厚的阻障層3515且是由氮 化鈦所形成。具有本發明的實施例之蝕刻反應器能夠蝕刻 接點介層孔,其具有約0.25微米至0·1微米的關鍵尺寸及高 達5 :1的深寬比。 經濟部智慧財產局員工消費合作社印製 一適當的金屬介層孔蝕刻氣體組成化學物包含一氟 化碳氣醴,及一含氧氣體與一鈍氣體,其中總氣體流率大 於約500sccm。在一特定的實射例中,鈍氣流率提供約85% 的總氣體組成流率且鈍氣對反應氣鱧的比例(即,鈍氣流 率對氟化碳加含氧氣體的综合氣醴流率的比例)介於約 4 : 1至約6 : 1之間。在一特別佳的實施例中,氟化碳氣體 提供約9.5%的總氣體組成流率,該室壓力被保持在約 20mT,該RF功率是在約1 500W,磁場強度被保持在約 50G,及基材支撐件與一壁或溫度受控制襯裡則被保持在 約相同的溫度。 在另一實施例中,用於一金屬介層孔蝕刻製程的氣體 第70頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 588401 A7 B7 * 五、發明説明() (請先閲讀背面之注意事項再填寫本頁) 組成包含,一第一氟化碳氣醴其具有一碳對氟的比例約為 1: 3,一第二氟化碳氣體其具有一碳對氟的比例約為2: 1 及任合鈍氣,其中該氣體組成的總氣體流率為從2 OOsccm 至約300sccm。在一特別佳的實施例中,該第一氟化彈氣 體包含約1 4%至約1 8%的總氣體組成流率,及該第二氟化 碳氣體包含約13%至約16%的總氣體組成流率。在一特別 佳的實射例中,第一氟化破氣體流率對純氣流率的比例及 第二氟化碳氣體流率對鈍氣流率的比例是在約0.2至0.25 之間。在另一特定.的實施例中,該第一氟化碳氣體為 C2F6,該第二氟化碳氣體為C4F8,該鈍氣為氬氣,該室壓 力被保持在約200mT,該RF功率是在約1 800W,磁場強度 被保持在約30G,及蝕刻室是以每秒約1600公升至約2000 公升的速率加以排氣。 經濟部智慧財產局員工消費合作社印製 第36A囷及36B圖顯示一罩幕開孔應用的特徵結構。第 36 A及36B圖並非以比例畫出。某些罩幕材質,如氮化矽, 宇遣它罩幕材質比較起來更難蝕刻,因而被稱為"硬質罩 幕"。第36A圖顯示硬質軍幕蝕刻前的結構3600。雖然硬質 罩幕層3615可被形成於許多其它層及材質上,但第36A及 3 6B圖顯示的是一硬質罩幕層3615被直接沉積在一詣基材 3610上且是由一適當的硬質罩幕材質,如氮化矽,所形 成。氮化物硬質罩幕包含主動區硬質罩幕蝕刻及實際導體 (deed conductor)硬質罩幕蝕刻。第36A圖亦顯示在光罩圖 案層3 62 5底下使用一抗反射塗層3620。第36B囷顯示硬質 罩幕蝕刻後的結構3605其中該光罩層3625上的囷案已藉 第71頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 588401 A7 B7 五、發明説明() 由在一具有本發明的實施例的蝕刻室中所實施的硬質罩 幕蝕刻處理而被移轉至硬質罩幕層36 15上。 (請先閲讀背面之注意事項再填寫本頁) 適當的硬質罩幕開孔製程化學物包含一氣體組成其 包含一氫氟化碳氣體,一氟化碳氣體及一含氧氣體其中該 氣體組成的總氣體流率在50sccm至約20〇sccm之間。在一 特定的實射例中,該氫氟化碳氣體提供大於5〇%的總氣體 組成流率及該含氧氣體流率低於總氣髏組成流率的丨5%。 在另一特定的實施例中’氫氟化碳氣體;流率對氟化礙氣體 流率的比例為約1.5 : 1。在另一特定的實施例中,综合的 氫氟化碳氣體流率與氟化碳氣體流率對含氧氣髏流率的 比例為約5 · 5 ·· 1。 在一特別佳的實施例中,該氫氟化碳氣體為chf3,該 氟化破氣體為CF4,該含氧氣體為〇2,在該處理室中的磨 力被保持在約20mT至約80mT之間及RF功率被保持在約 5 00 W。在另一特定的實施例中,基材支撐件被保持在約15 °C高於一相鄰壁或一溫度受控制的襯理的溫度。 經濟部智慧財產局員工消費合作社印製 第3 7A及37B囷分別顯示蝕刻前間隔件結構3700及蝕 刻後的間隔件結構3705。第37A及37B圖並非以比例畫出。 蚀刻前的間隔件結構3700顯示一形成在一梦基材3710上 的一底層3720之上的特徵結構3715。一介電層372 5被形成 於該特徵結構3715與該底層3720之上。第37B圖的蝕刻後 的間隔件結構370 5是在實施一在下文中將詳細說明之適 當的間隔件蝕刻處理之後被形成的。在蝕刻後的間隔件結 構3705中,間隔件特徵結構3725係藉由蝕刻介電層3725用 第72頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) 588401 A7 B7 i、發明説明( (請先閱讀背面之注意事項再填寫本頁) 以將特徵結構3725的頂部曝露出來及去除大部分的底層 3 720而被形成的。在一代表性的間隔件結構中,特徵結構 3715是由多晶矽所形成且底層3720是由二氧化矽所形 成。 通常,間隔件蝕刻處理可根據對於底層3 720的選擇性 而分成兩個領域。例如,在上文中所述的間隔件結構中, 間隔件蝕刻處理對於底下的二氧化矽層是有選擇性的。或 者,當需要去除介電層3 72 5及底層3 720時,一對於梦基材 3 7 1 0有選擇性之間隔件蝕刻處理可被使用,用以在到達碎 基層3710而停止蝕刻之前可去除掉介電層3 72 5及底層 3720 〇 經濟部智慧財產局員工消費合作社印製 形成一適當的間隔件蝕刻處理化學物所使用的氣體 組成包含一氫氟化碳氣體,一氟化碳氣醴,含氧氣體及鈍 氣’其中該氣體組成的總氣體流呂為約50sccm至約 200sccm之間。在一特定的實施例中,該氫氟化碳氣體流 率佔該總氣體流率的40%以上及該含氧氣體流率佔總氣總 成流率的5%以下。在另一特定的實施例中,該氫氟化碳氣 體對該氟化碳氣體流率的比例為約2.5 : 1。在另一特定的 實施例中,該氫氟化碳氣體與該氟化碳氣體的综合流率度 鈍氣流率的比例為1.75 : 1。 在一特別佳的實施例中,該氫氟化碳氣體為CHF3,該 氟化碳氣體為CF4,該含氧氣禮為〇2,在該處理室中的壓 力被保持在約20mT至約80mT之間及RF功率被保持在約 400 在另一特定的實施例中,基材支撐件被保持在約25 第73頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 588401 經濟部智慧財產局員工消費合作社印製 Α7 Β7 、發明説明() °c高於一相鄰壁或一溫度受控制的襯理的溫度。 第3 8A及3 8B圖顯示蝕刻雙鑲嵌特徵結構的一代表性 結構。第3 8 A圖類示蚀刻前的雙鑲嵌介電蚀刻結構3 8 0 0及 第38B圖顯示蝕刻前的雙鑲嵌介電蝕刻結構3 805。第38A 及3 8B圖並非以比例畫出。 第38A圖顯示一形成於一金屬層,如一銅層3810,上 之基本的雙鑲嵌結構》兩層介電層,即溝渠介電層383 0及 介層孔介電層3 820,於一適當的雙鑲嵌介電蝕刻處理中被 蝕刻。一底部氮化物層38 15將該銅層38 10與該介層孔介電 層3 830隔開來。在某些雙鑲嵌蝕刻處理中,中間的氮化物 層3 825被用作為蝕刻該介層孔介電層3 820期間的一停止 層。第38B圖顯示蝕刻後的雙鑲嵌結構3805其包括一介層 孔特徵結構2850及一互連線特徵結構3 855。典型地,該介 層孔特徵結構3850及互連線結構3855被後續的金屬化處 理所填充。 至少有三個製程被用來形成雙鑲嵌特徵結構:自我對 準,第一溝渠(trench first),及第一介層孔(via first)。雖 然其它的結構亦可被使用,但通常典型的雙鑲嵌蝕刻處理 是以一如第38A囷中的結構3800的蝕刻前結構開始,而以 如第38B圖所示的具有一介層孔特徵結構3850及一互連線 特徵結構3 855的結構結束。 在一自我對準的雙鑲嵌處理中,一介層孔圖案藉由將 中間的氮化物層3825開孔而首先被蝕刻。在一後續使用底 部氮化物層3815作為一蚀刻停止層的蝕刻步驟中,介層孔 第74頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 588401 A7 B7_— _ 五、發明説明() 特徵結構3850及互連線特徵結構3855被形成。最後,該底 部氮化物層38 15被去除用以露出铜層3810。 (請先閲讀背面之注意事項再填寫本頁) 在一第一溝渠雙鑲嵌處理中,該罩幕圖案層3 83 5形成 互連線特徵結構3855及介層孔特徵結構3860的上部的圖 案。所得到的互連線結構包含互連線3 8 5 5及介層孔特徵結 構的上部。此互連線結構然後被形成圖案並蝕刻用以形成 介層孔特徵結構3865的下部,其使用底部氮化物層3815作 為一蝕刻停止層。一後續的蝕刻步驟然後被用來去除底部 氮化物層3815並將銅層3810曝露出來。 在一第一介層孔雙鑲嵌處理中,一介層孔圖案係利用 罩幕圖案層3 83 5來形成《介層孔圖案接下來被移轉至兮電 層3830及3 82 0上及中間的氮化物層38 25上。此步驟形成一 中間的結構其包含介層孔特徵結構3850的下部3 865。接下 來,一溝渠罩幕圖案被形成在此中間結構上用以形成溝渠 圖案,即互連線特徵結構3 85 5及接點特徵結構3860的上 部。底部氮化物層381 5接下來被移除用以露出銅層3810。 經濟部智慧財產局員工消費合作社印製 雙鑲嵌結構3800及3 805的實際尺寸將會隨著數項考 量而改變,如雙鑲嵌處理順序的種類及特定元件的設計規 則。特定的設計規則決定溝渠特徵結構3855,介層孔特徵 結構3850及更重要地,接點區3865的關鍵尺寸的尺寸。具 有本發明的實施例之蝕刻處理室能夠蝕刻具有約0.3微米 至約0.25微米關鍵尺寸的結構,甚至是具有〇.1微米至約 0.2微米關鍵尺寸的結構。 一適當的雙鑲嵌溝渠蚀刻處理化學物包含一氟化碳 第75頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 588401 A7 B7 五、發明説明() 氣體其具有1 : 3的碳對氟的比例及一含碳與氧的氣體。在 一較佳的實施例中,大部分的氣體組成包含一氣鳢,其包 含碳及氧且氣體組成的總流率為約200sccm至约 400seem。在一特別佳的實施例中,至少60%的氣體組成包 含一氣體其包含氧及碳。在另一實施例中,該包含氧及殘 的氣體的流率對該氟化碳氣醴的流率為1·67 : 1。在另一特 別佳的實施例中,該氟化碳氣體為CzF6,該包含碳及氧的 氣體為CO,該處理室的壓力被保持在約l〇〇mT至约 200mT,在處理區内的磁場強度為約30G及該RF功率约為 1 500W 〇 在該雙鑲嵌結構包含氮化物停止層的另一實施例 中’一適當的雙鑲嵌溝渠蝕刻處理化學物包含一聚合化的 氟化碳氣體其具有一約1: 2的C: F比例,及含氧氣體及純 氣。在一特別佳的實施例中,該鈍氣佔總氣鳢組成流率的 90%以上,及含氧氣體佔鈍氣佔總氣體組成流率的p/〇以 下。在另一特別佳的實施例中,鈍氣流率對該聚合物的氟 化破氣禮與該含氧氣禮的综合流率的比例約2 〇 : 1至約 22 : 1之間《在一特別佳的實施例中,該聚合化的策化竣 為qF8及該含氧氣體為〇2及qF8流率對〇2流率的比例為 3 : 1至約4 : 1之間。在一特別佳的實施例中,該氣體組成 包含CW8,〇2及氬氣,其中氬氣流率佔總氣體組成流率的 95%以上,該CUFs流率佔總氣體組成流率的3%以上,該處 理室的壓力被保持在約80mT,該RF功率為约1800W,在一 處理區内的磁場強度約20G,基材支撐件被保持在約1〇 第76頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) .............·裝· — (請先閲讀背面之注意事項再填寫本頁) 訂· 經濟部智慧財產局員工消費合作社印製 588401 A7 B7 五、發明説明() 其高於一相鄰壁或一溫度受控制的襯裡的溫度。 (請先閲讀背面之注意事項再填寫本頁) 一適當的雙鑲嵌介層孔蝕刻處理化學物包含一氟化 後氣體其具有一約2: 3的C:F比例,及含氧氣體與鈍氣。 在一特別佳的實施例中,該蝕刻室被保持在約3 0mT至約 8〇mT之間總氣禮組成流率為約3〇〇sccm至约500sccm且純 氣流率對具有約2 : 3的C : F比例的氟化碳氣體與含氧氣體 的综合流率的比例約5 : 1至約7 : 1且最好是約6 : 1。在一 特別佳的實施例中,該具有約2 : 3的C : F比例的氟化碳氣 禮為cd6 ’該含氧氣體為氧氣及鈍氣為氬氣,該C4F6佔總 氣鱧組成流率約5%至約9%及該鈍氣流率佔總氣體組成流 率的80%以上,該室被保持在約50mT,該RF功率約1 800w 及在處理區中的磁場約為50G。 經濟部智慧財產局員工消費合作社印製 在另一實施例中,一兩步驟雙鑲嵌介層孔蝕刻處理被 使用。一適合該兩步驟雙鑲嵌介層孔蝕刻處理的化學物包 含一氣體組成,其包含一聚合化的氟化碳氣體,一氫氟化 碳氣體’ 一含氧氣鱧及一鈍氣,其中鈍氣流率對聚合化的 氟化破氣禮’氫氟化破氣禮及含氧氣禮的综合流率的比例 為約4: 1至約6: 1,其使用在第二步驟中的該聚合化的氟 化碳氣禮大於使用在第一步驟中者。在一適合兩步驟雙鑲 嵌介層孔蝕刻處理的特別佳的實施例中,第一步驟氣禮組 成包含佔3%以下的聚合化氟化碳氣體,々%至5 %之間的含 氧氣體,約7至9%的氫氟化碳氣體及80%以上的鈍氣,而 第二步驟氣禮組成包含佔4 %以上的聚合化氟化碳氣禮, 4%至5%之間的含氧氣體,約7至8%的氫氟化碳氣體及8〇0/〇V. Description of the invention () The material of the stop layer will vary with the type of component and the specific component design rules. Figure 34B shows the etched high aspect ratio structure 3405 including the high aspect ratio feature structure 3430. The high aspect ratio feature structure 3430 is formed on the dielectric layer 3420 by transferring the pattern on the mask layer 3 42 5 to the dielectric layer 3 420. The fine pattern of the mask layer 3425 is transferred to the dielectric layer 3420 by performing an appropriate high aspect ratio dielectric etching process in an etching process reaction chamber having the above-described embodiment of the present invention. Detailed description in the article. Although a specific feature structure width 3426 will change with design rules, generally the feature structure width 3 426 is between about 0.25 microns and about 01 microns. The characteristic structure depth corresponds to the thickness of the interposer layer 3420. As the thickness 3422 of the dielectric layer 3420 increases, the high aspect ratio dielectric etching process becomes more critical for the selectivity of the mask layer 3425 photoresist material. The likelihood of etch stop will also increase as the thickness of the dielectric layer 3420 increases 3422. The reduced feature width 3426 also poses a challenge in maintaining a proper contact 3430 sidewall profile. The bowling or reentrance of the contact 3430 sidewall profile results in an unacceptably small diameter at the bottom of the contact 3430 adjacent to the stop layer 3415. High aspect ratio contact etching is a key dielectric etching process due to the reduced feature structure width, increased contact depth, the selection of photoresist materials and the control of the sidewall profile. . High aspect ratio contact etching will be complicated by a dielectric layer 3420 with a doped silicon oxide such as BPSG. The dielectric layer 3 420 contains several dielectric materials forming a multilayer structure. It also poses many challenges for high aspect ratio feature structure etching. An example of this multilayer structure is page 67. The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210 × 297 mm) (Please read the precautions on the back before filling this page). Printed by the Intellectual Property Bureau employee consumer cooperative 588401 A7 B7 V. Description of the invention () Characteristic structure It has a dielectric layer 3420, which includes multiple intermediate stop layers at different depths, such as in the peripheral area of a stacked capacitor DRAM structure Common feature structure. The exact dimensions of the high-aspect-ratio structure 3400 will vary depending on several considerations, such as component applications and design rules for a particular component. For example, a representative high-aspect-ratio structure 3400 has a mask width 3426 of about 0.25 micrometers, a mask layer 3425 thickness of about 7000 angstroms, a test layer thickness 3422 of about 1 5000 angstroms, and a stop layer of about 500 angstroms. 34 15 thickness. It should be understood that the above specific dimensions are intended to be illustrative and not limiting. The magnetically enhanced reactive ion etching chamber with the embodiment of the present invention is capable of etching a film having an aspect ratio from 5: 1 to about 20: 1 and a critical dimension (such as a contact width of 3426) between 0.25 microns and 0.1 microns High aspect ratio and very high aspect ratio structures. A suitable high-aspect-ratio dielectric feature structure etch process window that meets the above challenges includes a magnetic field strength of up to about 100 Gauss, a high RF power of up to 2000 W, and a high-pure airflow rate between 500 sccm and about 1000 sccm. The high magnetic field strength provides high selectivity for the mask material in the mask layer and reduces the possibility of sidewall bowling. A large inert gas flow rate can provide a wider range of reaction gas dilution to reduce residence time and reaction substance formation, which will further improve the selectivity of photoresist. In addition, the high exhaust rate of the present invention with respect to self-aligned contact etching described above can also be applied to high aspect ratio etching to further improve the control of the formation of reactive materials in the residence time. Appropriate high-aspect-ratio dielectric etching process includes carbon monoxide gas, page 68. The paper size applies to China National Standard (CNS) A4 (210X 297 mm) (Please read the precautions on the back before filling out this page ). Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 588401 A7 B7 V. Description of the invention () Oxygenated milk and a pure gas' wherein the total gas flow rate is greater than about 700 sccin where the pure gas flow rate accounts for the total The air flow rate is greater than about 90%. A suitable high aspect ratio The dielectric etching process has a reactive gas ratio of from about 0: 1 to about 5 ·· 1. In a specific embodiment, the ratio of the flow rate of the fluorocarbon gas to the flow rate of the oxygen-containing gas is about 1.5: 1 to about 2: 1. In a specific embodiment, the gas composition used during use includes a gas flow rate after fluorination which provides a total gas flow rate of about 3% to 6%, and an oxygen-containing gas which contains about 1% to 4% The total flow rate and a pure flow rate account for more than 90% of the total flow rate. In this particular embodiment, the chamber pressure is maintained between about 20 mT and about 60 mT, the RF power is between about 1000 w and about 2000 W, and the magnetic field strength is maintained at about 100 G 'and The etching chamber is vented at a rate of about 48 chamber volumes per second to 80 chamber volumes per second. In another preferred embodiment, the substrate support is maintained at about -20 ° C and a wall or direct temperature control liner is maintained at about 15 ° C. In a particularly preferred embodiment, the fluorinated gas is C ^ F6, the oxygen-containing gas is 02, and the passivation gas is argon β. Figures 35A and 35B show the metal interlayer holes before and after etching, respectively. (via) Etched structures 3500 and 3505. Generally, the metal via hole etching process is important in forming the interconnection structure between the metal layers in an electronic component. Typically, the vias formed in the dielectric material during the etching of a metal via are later covered with a metal, such as a tungsten plug commonly used in an aluminum-based metallization structure. The via etching process is selective to the barrier layer 3515 or selective to the underlying metal layer 3510. The 35 A is the metal interlayer before the etching on the metal layer 3510. The paper size applies the Chinese National Standard (CNS) A4 specification (210 × 297 mm) ......... . · ¥: (Please read the precautions on the back before filling out this page), ^ 1 · Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs, printed 588401 A 7 B7_ i, Invention Description () III (Please read the precautions on the back first Fill out this page again) Hole Filling Structure 3500. A barrier layer 3515, such as a layer containing titanium and titanium nitride, is formed on the metal layer 3510 and separates the dielectric layer 3520 from the metal layer 3510. The dielectric layer 3520 is typically a TEOS-based dioxide dream and may also be an HDP-CVD silicon dioxide film layer. Figure 35A also shows the use of an anti-reflective coating 3525 under the cover layer 3530. The specific dimensions of the metal via structure 3500 and 3505, such as the thickness of the dielectric layer 3 520 and the width of the contact vias 3535, depend on the type of the via structure and the design rules of the specific device. For example, a 0.25 micron feature structure element may have a dielectric layer 3 520 with a thickness of about 10,000 Angstroms and be formed of TEOS and have a barrier layer 3515 with a thickness of about 500 Angstroms and be formed of titanium nitride. An etch reactor having an embodiment of the present invention is capable of etching contact vias, which has a critical dimension of about 0.25 microns to 0.1 microns and an aspect ratio of up to 5: 1. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. An appropriate metal interstitial hole etching gas is composed of a carbon fluoride gas, an oxygen-containing gas, and a passivated gas. In a specific real shot example, the inert gas flow rate provides about 85% of the total gas composition flow rate and the ratio of inert gas to reaction gas (ie, the combined gas gas flow of fluorinated carbon plus oxygen-containing gas). Ratio) between about 4: 1 and about 6: 1. In a particularly preferred embodiment, the fluorocarbon gas provides a total gas composition flow rate of about 9.5%, the chamber pressure is maintained at about 20mT, the RF power is at about 1,500W, and the magnetic field strength is maintained at about 50G. And the substrate support is kept at about the same temperature as a wall or temperature-controlled liner. In another embodiment, the gas used for the etching process of a metal interposer is on page 70. The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) 588401 A7 B7 * V. Description of the invention () (please Read the notes on the back before filling out this page) The composition includes, a first fluorocarbon gas has a carbon to fluorine ratio of about 1: 3, and a second fluorocarbon gas has a carbon to fluorine The ratio is about 2: 1 and any combination of inert gas, wherein the total gas flow rate of the gas composition is from 200 sccm to about 300 sccm. In a particularly preferred embodiment, the first fluorinated bomb gas contains a total gas composition flow rate of about 14% to about 18%, and the second fluorinated bomb gas contains about 13% to about 16%. Total gas composition flow rate. In a particularly preferred embodiment, the ratio of the first fluorinated gas flow rate to the pure gas flow rate and the ratio of the second carbon fluoride gas flow rate to the inert gas flow rate are between about 0.2 to 0.25. In another specific embodiment, the first fluorocarbon gas is C2F6, the second fluorocarbon gas is C4F8, the inert gas is argon, the pressure of the chamber is maintained at about 200mT, and the RF power is At about 1 800 W, the magnetic field strength is maintained at about 30 G, and the etching chamber is vented at a rate of about 1600 liters to about 2,000 liters per second. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs Figures 36A 囷 and 36B show the characteristic structure of a curtain opening application. Figures 36 A and 36B are not drawn to scale. Some mask materials, such as silicon nitride, are more difficult to etch, so they are called "hard masks". Figure 36A shows the structure 3600 before the hard military etch. Although the hard cover curtain layer 3615 can be formed on many other layers and materials, Figures 36A and 36B show that a hard cover curtain layer 3615 is deposited directly on a stack of substrates 3610 and is made of a suitable hard material. Mask material, such as silicon nitride. The nitride hard mask includes active-area hard mask etching and actual conductor hard mask etching. Figure 36A also shows the use of an anti-reflective coating 3620 under the mask pattern layer 3 62 5. 36B 囷 shows the structure 3605 after the hard mask is etched, in which the case on the photomask layer 3625 has been borrowed on page 71. This paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) 588401 A7 B7 V. Invention Explanation (1) The hard mask etching process carried out in an etching chamber having an embodiment of the present invention is transferred to the hard mask layer 315. (Please read the precautions on the back before filling this page.) Appropriate hard mask opening process chemicals include a gas composition which contains a hydrofluorocarbon gas, a carbon fluoride gas and an oxygen-containing gas. The total gas flow rate is between 50 sccm to about 20 sccm. In a specific example, the HFC gas provides a total gas composition flow rate greater than 50% and the oxygen-containing gas flow rate is lower than 5% of the total gas cross-section flow rate. In another specific embodiment, the ' hydrofluorocarbon gas; the ratio of the flow rate to the flow rate of the fluorination-inhibiting gas is about 1.5: 1. In another specific embodiment, the ratio of the combined HFC gas flow rate and the CFC gas flow rate to the oxygen-containing cross flow rate is about 5 · 5 ·· 1. In a particularly preferred embodiment, the hydrofluorocarbon gas is chf3, the fluorinated gas is CF4, the oxygen-containing gas is O2, and the grinding force in the processing chamber is maintained at about 20mT to about 80mT. Between and RF power is maintained at about 500 W. In another specific embodiment, the substrate support is maintained at a temperature of about 15 ° C above an adjacent wall or a temperature-controlled liner. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs No. 37A and 37B 囷 show the spacer structure 3700 before etching and the spacer structure 3705 after etching, respectively. Figures 37A and 37B are not drawn to scale. The spacer structure 3700 before etching shows a feature structure 3715 formed on a bottom layer 3720 on a dream substrate 3710. A dielectric layer 3725 is formed on the feature structure 3715 and the bottom layer 3720. The etched spacer structure 3705 in Fig. 37B is formed after performing an appropriate spacer etching process which will be described in detail later. In the etched spacer structure 3705, the spacer characteristic structure 3725 is used for etching the dielectric layer 3725, page 72. This paper size applies the Chinese National Standard (CNS) A4 specification (210X 297 mm) 588401 A7 B7 i, Description of the Invention ((Please read the notes on the back before filling this page) to expose the top of the feature structure 3725 and remove most of the bottom layer 3 720. In a representative spacer structure, the feature structure 3715 is formed of polycrystalline silicon and the bottom layer 3720 is formed of silicon dioxide. Generally, the spacer etch process can be divided into two areas according to the selectivity to the bottom layer 3 720. For example, in the spacer structure described above The spacer etching process is selective to the underlying silicon dioxide layer. Or, when the dielectric layer 3 72 5 and the bottom layer 3 720 need to be removed, a spacer that is selective to the dream substrate 3 7 1 0 The etching process can be used to remove the dielectric layer 3 72 5 and the bottom layer 3720 before reaching the broken base layer 3710 and stopping the etching. It is printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs to form an appropriate The gas composition used for the spacer etching process chemical includes a monohydrofluoride gas, a monofluorocarbon gas, an oxygen-containing gas, and a passivation gas, wherein the total gas flow of the gas composition is between about 50 sccm to about 200 sccm. In a specific embodiment, the hydrofluorocarbon gas flow rate accounts for more than 40% of the total gas flow rate and the oxygen-containing gas flow rate accounts for less than 5% of the total gas assembly flow rate. In another specific In the embodiment, the ratio of the flow rate of the hydrofluorocarbon gas to the fluorocarbon gas is about 2.5: 1. In another specific embodiment, the combined flow of the hydrofluorocarbon gas and the fluorocarbon gas The ratio of the rate of inert gas flow is 1.75: 1. In a particularly preferred embodiment, the hydrofluorocarbon gas is CHF3, the carbon fluoride gas is CF4, and the oxygen-containing gas is O2, in the processing chamber. The pressure in the medium is maintained between about 20mT to about 80mT and the RF power is maintained at about 400. In another specific embodiment, the substrate support is maintained at about 25. Page 73 This paper size applies Chinese national standards ( CNS) A4 specification (210X297 mm) 588401 Employees' Cooperatives of Intellectual Property Bureau of the Ministry of Economic Affairs Preparation A7 B7, description of the invention () ° C is higher than the temperature of an adjacent wall or a temperature-controlled lining. Figures 38A and 38B show a representative structure that etches the dual damascene feature structure. Figure 3 8 A Figures show the dual-mosaic dielectric etched structure before etching 3800 and Figure 38B shows the dual-mosaic dielectric etched structure 3805 before etching. Figures 38A and 38B are not drawn to scale. Figure 38A shows a A basic dual damascene structure formed on a metal layer, such as a copper layer 3810, "two dielectric layers, namely trench dielectric layer 3830 and dielectric hole dielectric layer 3 820, in a suitable dual damascene dielectric It is etched during the etching process. A bottom nitride layer 38 15 separates the copper layer 38 10 from the via hole dielectric layer 3 830. In some dual damascene etching processes, the intermediate nitride layer 3 825 is used as a stop layer during the etching of the via hole dielectric layer 3 820. Figure 38B shows the etched dual damascene structure 3805 which includes a via feature 2850 and an interconnect feature 3 855. Typically, the via feature structure 3850 and the interconnect structure 3855 are filled with a subsequent metallization process. At least three processes are used to form the dual damascene feature structure: self-alignment, trench first, and via first. Although other structures can also be used, typically a typical dual damascene etch process starts with a pre-etched structure like structure 3800 in Figure 38A 囷, and starts with a feature structure with a via hole 3850 as shown in Figure 38B. And the structure of an interconnect feature 3 855 ends. In a self-aligned dual damascene process, a via hole pattern is first etched by opening the middle nitride layer 3825. In a subsequent etch step using the bottom nitride layer 3815 as an etch stop layer, the via hole on page 74 applies the Chinese National Standard (CNS) A4 specification (210X297 mm) (Please read the precautions on the back first) (Fill in this page again) 588401 A7 B7__ _ V. Description of the invention () Feature structure 3850 and interconnect feature structure 3855 are formed. Finally, the bottom nitride layer 38 15 is removed to expose the copper layer 3810. (Please read the precautions on the back before filling this page.) In a first trench dual damascene process, the mask pattern layer 3 83 5 forms a pattern of the interconnect feature structure 3855 and the via hole feature structure 3860. The obtained interconnect structure includes the interconnect 3 8 5 5 and the upper portion of the via feature structure. This interconnect structure is then patterned and etched to form the lower portion of the via feature 3865, which uses the bottom nitride layer 3815 as an etch stop layer. A subsequent etching step is then used to remove the bottom nitride layer 3815 and expose the copper layer 3810. In a first interlayer via dual damascene process, a via pattern is formed using a mask pattern layer 3 83 5. The inter via pattern is then transferred to and above the dielectric layers 3830 and 3 820. On the nitride layer 38 25. This step forms an intermediate structure that includes a lower portion 3 865 of the via feature 3850. Next, a trench mask pattern is formed on this intermediate structure to form a trench pattern, that is, the upper part of the interconnect characteristic structure 3 585 and the contact characteristic structure 3860. The bottom nitride layer 3815 is next removed to expose the copper layer 3810. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs The actual size of the dual mosaic structures 3800 and 3 805 will change with several considerations, such as the type of dual mosaic processing sequence and design rules for specific components. Specific design rules determine the dimensions of the key features of the ditch feature structure 3855, the interstitial hole feature structure 3850, and more importantly, the contact area 3865. An etch processing chamber having an embodiment of the present invention is capable of etching structures having a critical dimension of about 0.3 microns to about 0.25 microns, and even structures having a critical dimension of about 0.1 microns to about 0.2 microns. A suitable dual-mosaic trench etch treatment chemical contains carbon monoxide. Page 75 This paper applies Chinese National Standard (CNS) A4 specifications (210X297 mm). 588401 A7 B7. 5. Description of the invention () Gas has 1: 3 The ratio of carbon to fluorine and a gas containing carbon and oxygen. In a preferred embodiment, most of the gas composition comprises a gas radon, which contains carbon and oxygen, and the total flow rate of the gas composition is from about 200 sccm to about 400 seem. In a particularly preferred embodiment, at least 60% of the gas composition contains a gas containing oxygen and carbon. In another embodiment, the flow rate of the gas containing oxygen and residual gas is 1.67: 1 to the fluorinated carbon tritium. In another particularly preferred embodiment, the carbon fluoride gas is CzF6, the gas containing carbon and oxygen is CO, and the pressure in the processing chamber is maintained at about 100 mT to about 200 mT. The magnetic field strength is about 30G and the RF power is about 1,500 W. In another embodiment of the dual damascene structure including a nitride stop layer, 'a suitable dual damascene trench etch treatment chemical includes a polymerized carbon fluoride gas. It has a C: F ratio of about 1: 2 and oxygen-containing gas and pure gas. In a particularly preferred embodiment, the passivation gas accounts for more than 90% of the total gas entrapment composition flow rate, and the oxygen-containing gas accounts for less than p / 0 of the total gas composition flow rate. In another particularly preferred embodiment, the ratio of the blunt airflow rate to the polymer's fluorinated gas-breaking ceremony and the combined flow rate of the oxygen-containing ceremony is between about 20: 1 to about 22: 1. In a preferred embodiment, the polymerization strategy is qF8 and the oxygen-containing gas is 02 and the ratio of qF8 flow rate to 02 flow rate is between 1: 1 and about 4: 1. In a particularly preferred embodiment, the gas composition includes CW8, 02 and argon, wherein the argon gas flow rate accounts for more than 95% of the total gas composition flow rate, and the CUFs flow rate accounts for more than 3% of the total gas composition flow rate The pressure of the processing chamber is maintained at about 80mT, the RF power is about 1800W, the magnetic field strength in a processing area is about 20G, and the substrate support is maintained at about 10 page 76. This paper size applies Chinese national standards (CNS) A4 specification (210X 297 mm) ............. ··· (Please read the precautions on the back before filling out this page) Order · Consumption by employees of the Intellectual Property Bureau of the Ministry of Economic Affairs Cooperative printed 588401 A7 B7 V. Description of the invention () It is higher than the temperature of an adjacent wall or a temperature-controlled lining. (Please read the precautions on the back before filling out this page) A proper dual-mosaic via hole etching chemical contains a fluorinated gas with a C: F ratio of about 2: 3, and an oxygen-containing gas and passivation gas. In a particularly preferred embodiment, the etching chamber is maintained between about 30 mT to about 80 mT with a total gas composition flow rate of about 300 sccm to about 500 sccm and the pure airflow rate has about 2: 3 The C: F ratio of the combined flow rate of the fluorocarbon gas to the oxygen-containing gas is about 5: 1 to about 7: 1 and preferably about 6: 1. In a particularly preferred embodiment, the fluorocarbon gas having a C: F ratio of about 2: 3 is cd6 ', the oxygen-containing gas is oxygen and the inert gas is argon, and the C4F6 accounts for the total tritium composition flow rate About 5% to about 9% and the blunt gas flow rate accounts for more than 80% of the total gas composition flow rate. The chamber is maintained at about 50mT, the RF power is about 1 800w, and the magnetic field in the processing area is about 50G. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. In another embodiment, a one or two step dual damascene via hole etching process is used. A chemical suitable for the two-step dual damascene via hole etching process includes a gas composition including a polymerized carbon fluoride gas, a hydrofluorocarbon gas', an oxygen-containing radon, and a passivation gas, wherein a passivation gas The ratio of the ratio to the combined flow rate of the polymerized fluorinated gas-breaking ceremony and the hydrogen-fluorinated gas-breaking ceremony is about 4: 1 to about 6: 1, which uses the polymerized The fluorinated carbon dioxide gas is larger than the one used in the first step. In a particularly preferred embodiment suitable for a two-step dual-damascene via hole etching process, the first step gas composition comprises a polymerized carbon fluoride gas of less than 3%, and an oxygen-containing gas between 5% and 5%. , About 7 to 9% of hydrofluorocarbon gas and more than 80% of inert gas, and the second step gas ceremony composition contains more than 4% of polymerized carbon fluoride gas ceremony, 4% to 5% containing Oxygen gas, about 7 to 8% HFC gas and 800 / 〇

第77T 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公 588401 A7 B7 五、發明説明() (請先閲讀背面之注意事項再場寫本頁} 以上的純氣。在一特別佳的實施例中,在每一姓刻步驟中 的總氣禮流率約500sccm至約1 OOOsccm,屢力約為5〇mT, RF功率約為2000W及施加至處理區的磁場強度約15G。在 另一特別佳的實施例中,該聚合化的氟化碳氣體為C4F6, 氫氟化碳氣體為CHF3,含氧氣體為〇2及鈍氣為氬氣。 應被瞭解的是,以上所述之每一替代的蝕刻處理室實 施例及關鍵蝕刻處理都包含了本發明之高幫浦排氣率及 低反應物質停留時間等控制特徵。 本文中"之上",”之下”,"頂","底","上","下",·, 第一",及"第二"等詞及其它位置用詞係相對於圖式中所示 的實施例而言且可依據處理系統的相關方位被改變。 甚者,在此說明書中,特別是包括申請專利範圍在 内,"至少包含”,"一"及”該”等詞的使用意為所指的物件 包括至少該被指名的物件且更包括數個被指名的物件。 雖然包含了本發明的教導之本發明的實施例已被舉 例及說明,.但熟悉此技藝者可在不偏離本發明的精神及範 圍内作出其它仍包含本發明的教導之不同的實施例。 經濟部智慧財產局員工消費合作社印製 第78頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)This paper first 77T scale applicable to Chinese National Standard (CNS) A4 size (210x297 male 588401 A7 B7 V. invention is described in () (Read the back of the Notes field and then write} This page above the pure gas. In a particularly excellent embodiment, the total gas flow rate in each surname Li carved steps from about 500sccm to about 1 OOOsccm, repeated about 5〇mT force, and the RF power of about 2000W is applied to the treatment zone field strength of about 15G. in another a particularly preferred embodiment, the polymerization of the C4F6 gas is carbon fluoride, carbon fluoride gas is hydrogen CHF3, and oxygen-containing gas is 〇2 noble gas is argon. should be appreciated that each of the above an alternative etch processing chamber embodiment and the key etch process include the control features of high pump discharge rate and low reaction mass according to the present invention the residence time herein, ". above ", "under", " top ", " bottom ", " on ", " lower ", ·, a first ", and " second " the words and other location terms lines to that shown to the drawings of For the embodiment and may be changed depending on the orientation of the associated processing system. worse, in In this specification, including in particular the patent application range including, " including at least ", " a " and" the "use of the word meaning article referred to include the alleged least name items more comprising several assigned object name. Although comprising embodiments of the present invention, the teachings of the present invention have been illustrated and described, but the skilled in this art can make other teachings of still contain the present invention without departing from the spirit of the invention and scope of the different embodiments. Ministry of economic Affairs intellectual property Office employees consumer cooperatives printed page 78 of this paper scale applicable to Chinese national standard (CNS) A4 size (210X297 mm)

Claims (1)

588401 A8 B8 第抑/>知厶號專利案彳>年&月修正 申請專利範圍 ι 一種電漿姓刻一基材的一介畲 更層上之特徵的方法,該基 材係被放置在一被磁性地加 加強之熱受控制的電漿蝕刻 (請先閲讀背面之注意事項再填寫本頁) 至内,該方法至少包含下列步驟: (a) 將一基材放置在一熱香 热又控制的電漿蝕刻室内的一 處理區中; (b) 控制一與該熱受控制的 J电萊蝕刻室内的處理區相 鄰之壁的溫度,用以產生一掖、、田士丄 ^ 低溫以有助於該壁上之聚合 物副產物的黏附性; σ (c) 控制一基材支撐件的溫度; (d) 保持一在該處理區内的壓力· (e) 將一氣鱧組成流經一噴嘴並流入到該處理區中, 該喷嘴的溫度高於該壁的溫度用以防止聚合物副產物 黏附於該喷嘴上; (0將RF能量耦合至該處理區中用以從該氣體組成形 成一電襞;及 搴. (e)提供一磁場於該處理區内並穿過該基材。 經濟部智慧財產局貝工消费合作社印製 2.如申請專利範圍第1項所述之方法,其中步驟(d)包含 以介於每分鐘1400至1800公升的速率排空該處理區。 3·如申請專利範圍第1項所述之方法,其中步驟(c)係藉 由將一流體循環於一形成在一與該處理區相鄰的概裡 内的通道中來實施的。 第79頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公箸) 588401 A8B8C8D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 4·如申請專利範圍第1項所述之方法,其中步驟(f)包含 電容地耦合RF能量。 5·如申請專利範圍第1項所述之方法,其中步驟(g)包含 旋轉該磁場。 6.如申請專利範圍第1項所述之方法,其更包含形成一磁場 橫跨該磁性地加強的熱受控制的電漿蝕刻室的排氣環 形通路。 7·如申請專利範圍第1項所述之方法,其中該氣體組成包 含氟化碳、含氧氣體及鈍氣,其中該氣體組成的總流率 介於400sccm至800sccm之間。 8 ·如申請專利範圍第1項所述之方法,其中該氣體組成包 含氟化碳、含氧氣體及鈍氣,其中該氣體組成的總流率 介於300sccm至500sccm之間。 9·如申請專利範圍第7項所·述之方法,其中該氟化碳佔該 氣體組成的總流率的3%至5%,該含氧氣體佔該氣體組 成的總流率的1 %至4%及該鈍氣佔該氣體組成的總流率 的90%以上。 10·如申請專利範圍第7項所述之方法,其中該氟化碳氣體 . 第晒 (請先«讀背面之注令填寫本頁) -----訂·-- ;線 44 588401 A8 68 C8 D8588401 A8 B8 No. / > Zhizhi Patent Case > Year & Month Amendment Application Patent Range ι A method of plasma engraving a substrate with a feature on a substrate, the substrate is placed In a magnetically enhanced thermally controlled plasma etching (please read the precautions on the back before filling this page), the method includes at least the following steps: (a) placing a substrate in a hot incense In a thermally controlled plasma etching chamber in a processing zone; (b) controlling the temperature of a wall adjacent to the thermally controlled J electroclave etching chamber in a processing zone to generate a temperature of 掖, 丄 士 丄 ^ low temperature To help the adhesion of polymer by-products on the wall; σ (c) control the temperature of a substrate support; (d) maintain a pressure in the processing zone; (e) combine a gas stream into a stream It passes through a nozzle and flows into the processing zone. The temperature of the nozzle is higher than the temperature of the wall to prevent polymer by-products from sticking to the nozzle. (0 couples RF energy into the processing zone to remove the gas from the gas. The composition forms an electric field; and (e) providing a magnetic field Printed in the processing zone and through the substrate. Printed by Shelley Consumer Cooperatives, Bureau of Intellectual Property, Ministry of Economic Affairs 2. The method described in item 1 of the scope of patent application, wherein step (d) includes The processing zone is emptied at a rate of 1800 liters. 3. The method as described in item 1 of the scope of the patent application, wherein step (c) is performed by circulating a fluid in a fluid adjacent to the processing zone. The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X 297 gong) 588401 A8B8C8D8 Printed by the Consumers' Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 6. Scope of patent application 4. If applying for a patent The method according to item 1 of the scope, wherein step (f) comprises capacitively coupling RF energy. 5. The method according to the item 1 of the scope of applying for a patent, wherein step (g) comprises rotating the magnetic field. 6. If applying for a patent The method described in item 1 of the scope further comprises forming an exhaust gas annular path of a magnetic field across the magnetically reinforced thermally controlled plasma etching chamber. 7. The method described in item 1 of the scope of patent application, Where the The body composition includes fluorinated carbon, an oxygen-containing gas, and a passivation gas, wherein the total flow rate of the gas composition is between 400 sccm to 800 sccm. 8 · The method according to item 1 of the scope of patent application, wherein the gas composition includes fluorination Carbon, oxygen-containing gas and inert gas, wherein the total flow rate of the gas composition is between 300 sccm to 500 sccm. 9. The method as described in item 7 of the scope of patent application, wherein the fluorinated carbon accounts for the total composition of the gas The flow rate is 3% to 5%, the oxygen-containing gas accounts for 1% to 4% of the total flow rate of the gas composition, and the inert gas accounts for more than 90% of the total flow rate of the gas composition. 10. The method as described in item 7 of the scope of patent application, wherein the fluorinated carbon gas. First exposure (please «read the remarks on the back page to fill in this page first) ----- Order ·-; line 44 588401 A8 68 C8 D8 經濟部智慧財產局貝工消費合作社印製 六、申請專利範圍 流率對純氣流率的比例為〇. 5及含氧氣體㈣對純氣流 率的比例為0.0 3。 11,如申請專利範圍第7或1()項所述之方法,其中該氣化碳 氣體為CUF6及該含氧氣體為〇2。 12:如申請專利範圍第7項所述之方法,其中該氟化碳佔該 氣體組成的總流率的7%至10%,該含氧氣體佔該氣體組 的總流率的4%至6%及該鈍氣佔該氣體組成的總流率的 80%以上,及其中該氟化碳包含六氟_1>3 丁二烯。 13·如申請專利範圍第7項所述之方法,其中該氣體組成包 含一第一氟化碳其具有1:3的C:F &例、一第二象化碳 其具有2:1的C:F比例,及一鈍氣,其中該氣體組成的 總流率係介於200sccm至300sccm之間。 14· 一種電衆蚀刻一基材的一介電層上之特徵的方法,該 基材係被放置在一被磁性地加強之電漿蝕刻室内,該方 法至少包含下列步驟: (a) 將一基材放置在一被磁性地加強的電漿蝕刻室内 的一處理區中; (b) 控制一基材支撐件的溫度; (0保持一低壓於該處理區内; (d)將一包含六氟_13-丁二烯、氧氣及氫氣的氣體 第81頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇χ 297公爱) .............·裝—........tr......... (請先閱讀背面之注意事項再填寫本頁) 588401 A8 B8 C8 D8 六、申請專利範園 組成流入到該處理區中; (e) 電容地耦合RF能量至該處理區中用以從該氣體 組成形成一電漿;及 (f) 提供一旋轉的磁場於該處理區。 1.5·如申請專利範圍第14項所述之方法,其中該流入一氣體 、且成之步驟係在總流率介於40sccm至lOOOsccm之間實 行。 16·如申請專利範圍第14項所述之方法,其中該保持一低壓 之步驟包含使用一具有至少每分鐘16〇〇公升之容量的 真空幫浦系統排空該室。 17.如申請專利範圍第I#項所述之方法,其中該氫氣流率對 六氟-1,3-丁二烯流率約為5:1至20:1。 18·如申請專利範圍第14項所述之方法,其中該保持一低壓 之步驟包含保持該室壓力約為2〇mT至約250mT之間。 19·如申請專利範圍第14項所述之方法,其中該保持一低壓 之步驟包含使用一真空幫浦系統排空該室,以提供少於 約70ms的基團停留時間。 20. —種電漿姓刻一基材的一介電層上之特徵的方法,該 第8頂 · 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) (請先閲讀背面之注意事項再場寫本頁) 訂· 經濟部智慧財產局貝工消費合作社印製 588401 A8 B8 C8 D8 六、申請專利範圍 基材係被放置在一電容轉合之電漿蝕刻室内,該方法至 少包含下列步驟: (請先閲讀背面之注意事項再填寫本頁) (g) 將一基材放置在一電容地耦合的電漿蝕刻室内之 一處理區中; (h) 控制一基材支撐件的溫度; (1)藉由使用一具有至少每分鐘16〇〇公升之容量的 真空幫浦系統排空該室,以在該處理區中保持一低壓; (j) 將一包令線性<:4匕、氧氣及氫氣的氣體組成流入 該處理區中; (k) 電容地耦合rF能量至該處理區中,用以從該氣體 組成形成一電襞;及 (l) 當該基材正被該電漿银刻時,冷卻該基材。 21 ·如申請專利範圍第20項所述之方法,其中該流入一氣體 組成之步驟係在總氣體流率介於4〇sccln至i〇〇〇sccm之 間實行。 經濟部智慧財產局員工消費合作社印製 22·如申請專利範圍第20項所述之方法,其中該氫氣流率對 〇4?6流率約為5:1至20:1。 23·如申請專利範圍第20項所述之方法,其中該保持一低壓 之步驟包含保持該室壓約在20mT至約250mT之間。 24.如申請專利範圍第20項所述之方法,其中該保持一低壓 第83頁 ♦ 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) 588401 A8 B8 C8 D8 六、申請專利範圍 之步驟包含使用一真空幫浦系統排空該室,以便提供少 於約70ms的基團停留時間。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局貝工消费合作社印製 第84頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐)Printed by Shelley Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs 6. Scope of patent application The ratio of flow rate to pure air flow rate is 0.5 and the ratio of oxygen-containing gas radon to pure air flow rate is 0.0 3. 11. The method according to item 7 or 1 () of the scope of patent application, wherein the gasified carbon gas is CUF6 and the oxygen-containing gas is O2. 12: The method as described in item 7 of the scope of patent application, wherein the carbon fluoride accounts for 7% to 10% of the total flow rate of the gas composition, and the oxygen-containing gas accounts for 4% to 4% of the total flow rate of the gas group 6% and the inert gas accounted for more than 80% of the total flow rate of the gas composition, and wherein the carbon fluoride contained hexafluoro_1 > 3 butadiene. 13. The method as described in item 7 of the scope of the patent application, wherein the gas composition comprises a first carbon fluoride having a C: F & ratio of 1: 3, and a second carbon atom having a ratio of 2: 1. C: F ratio, and a blunt gas, wherein the total flow rate of the gas composition is between 200 sccm and 300 sccm. 14. A method of etching a feature on a dielectric layer of a substrate. The substrate is placed in a plasma etching chamber that is magnetically strengthened. The method includes at least the following steps: (a) a The substrate is placed in a processing zone in a plasma reinforced plasma etching chamber; (b) controlling the temperature of a substrate support; (0 maintains a low pressure in the processing zone; (d) includes a six Fluorine_13-butadiene, oxygen and hydrogen gas page 81 This paper is applicable to China National Standard (CNS) A4 specification (21〇χ 297 public love) ............... Loading —........ tr ......... (Please read the notes on the back before filling this page) 588401 A8 B8 C8 D8 VI. The composition of the patent application park flows into the processing area (E) Capacitively couple RF energy to the processing area to form a plasma from the gas composition; and (f) Provide a rotating magnetic field in the processing area. The method described above, wherein the step of flowing in a gas and performing it is performed at a total flow rate between 40 sccm to 1000 sccm. The method of claim 1, wherein the step of maintaining a low pressure comprises evacuating the chamber using a vacuum pump system having a capacity of at least 16,000 liters per minute. 17. The method as described in item I # of the scope of patent application Wherein the hydrogen flow rate to the hexafluoro-1,3-butadiene flow rate is about 5: 1 to 20: 1. 18. The method according to item 14 of the scope of patent application, wherein the step of maintaining a low pressure Including maintaining the pressure of the chamber between about 20 mT and about 250 mT. 19. The method as described in item 14 of the scope of the patent application, wherein the step of maintaining a low pressure includes evacuating the chamber using a vacuum pumping system to Provides a group residence time of less than about 70ms. 20. —A method for engraving features on a dielectric layer of a substrate with a plasma surname, the 8th top · This paper size applies to China National Standard (CNS) A4 specifications (210X 297mm) (Please read the notes on the back before writing this page) Order Printed by Shelley Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs 588401 A8 B8 C8 D8 Capacitance conversion in plasma etching chamber, the method at least includes Including the following steps: (Please read the precautions on the back before filling this page) (g) Place a substrate in a capacitively coupled plasma etching chamber in a processing area; (h) Control a substrate support (1) The chamber is evacuated by using a vacuum pumping system with a capacity of at least 16,000 liters per minute to maintain a low pressure in the processing zone; (j) a bag is made linear < : 4 dagger, oxygen and hydrogen gas composition flows into the processing zone; (k) Capacitively couple rF energy into the processing zone to form an electric dysprosium from the gas composition; and (l) when the substrate is positive When engraved with the plasma silver, the substrate is cooled. 21-The method as described in item 20 of the scope of the patent application, wherein the step of flowing in a gas composition is performed at a total gas flow rate between 40 sccn and 100 sccm. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 22. The method described in item 20 of the scope of patent application, wherein the hydrogen flow rate to the flow rate of 0.4 to 6 is about 5: 1 to 20: 1. 23. The method of claim 20, wherein the step of maintaining a low pressure comprises maintaining the chamber pressure between about 20 mT and about 250 mT. 24. The method as described in item 20 of the scope of patent application, wherein the maintaining a low pressure page 83 ♦ The paper size is applicable to China National Standard (CNS) A4 specifications (210X 297 mm) 588401 A8 B8 C8 D8 The scoping step involves evacuating the chamber using a vacuum pumping system to provide a group residence time of less than about 70 ms. (Please read the notes on the back before filling out this page) Printed by Shelley Consumer Cooperatives, Bureau of Intellectual Property, Ministry of Economic Affairs, page 84 This paper size applies to China National Standard (CNS) A4 (210X 297 mm)
TW090127206A 2000-11-01 2001-11-01 Method of plasma etching features on a dielectric layer on a substrate TW588401B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US70497200A 2000-11-01 2000-11-01
US09/704,867 US6403491B1 (en) 2000-11-01 2000-11-01 Etch method using a dielectric etch chamber with expanded process window

Publications (1)

Publication Number Publication Date
TW588401B true TW588401B (en) 2004-05-21

Family

ID=27107394

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090127206A TW588401B (en) 2000-11-01 2001-11-01 Method of plasma etching features on a dielectric layer on a substrate

Country Status (5)

Country Link
EP (1) EP1334514A2 (en)
JP (1) JP2004513516A (en)
KR (1) KR100887014B1 (en)
TW (1) TW588401B (en)
WO (1) WO2002037541A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101680929B (en) * 2006-05-01 2012-10-10 瓦福默控股有限公司 Integrated circuit probe card analyzer
TWI425882B (en) * 2004-12-22 2014-02-01 Lam Res Corp Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
TWI649453B (en) * 2013-12-31 2019-02-01 奧地利商蘭姆研究股份公司 Apparatus for treating surfaces of wafer-shaped articles
US10458019B2 (en) 2012-11-02 2019-10-29 Industrial Technology Research Institute Film deposition apparatus having a peripheral spiral gas curtain

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100468203B1 (en) * 2002-08-16 2005-01-26 어댑티브프라즈마테크놀로지 주식회사 Apparatus and Method for controlling temperature of dome in Plasma etching system
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7140374B2 (en) 2003-03-14 2006-11-28 Lam Research Corporation System, method and apparatus for self-cleaning dry etch
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7718029B2 (en) * 2006-08-01 2010-05-18 Applied Materials, Inc. Self-passivating plasma resistant material for joining chamber components
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US20130105085A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
US20140116622A1 (en) * 2012-10-31 2014-05-01 Semes Co. Ltd. Electrostatic chuck and substrate processing apparatus
KR101542905B1 (en) * 2013-04-26 2015-08-07 (주)얼라이드 테크 파인더즈 Semiconductor device
US9384948B2 (en) * 2013-06-13 2016-07-05 Lam Research Corporation Hammerhead TCP coil support for high RF power conductor etch systems
US10741425B2 (en) * 2017-02-22 2020-08-11 Lam Research Corporation Helium plug design to reduce arcing
US10381200B2 (en) * 2017-03-08 2019-08-13 Applied Materials, Inc. Plasma chamber with tandem processing regions
CN111370281B (en) 2018-12-26 2023-04-28 中微半导体设备(上海)股份有限公司 Plasma etching device
CN111446144B (en) * 2019-01-17 2024-04-19 东京毅力科创株式会社 Control method of electrostatic adsorption part and plasma processing device
KR102217452B1 (en) * 2019-07-05 2021-02-22 세메스 주식회사 Apparatus for controlling temperature of top module and system for treating substrate with the apparatus
JP7370228B2 (en) * 2019-11-22 2023-10-27 東京エレクトロン株式会社 plasma processing equipment
US11686208B2 (en) 2020-02-06 2023-06-27 Rolls-Royce Corporation Abrasive coating for high-temperature mechanical systems
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
KR102646591B1 (en) * 2022-05-13 2024-03-12 세메스 주식회사 Substrate processing apparatus

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
WO1995020838A1 (en) * 1994-01-31 1995-08-03 Applied Materials, Inc. Electrostatic chuck with conformal insulator film
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
WO1998024860A1 (en) * 1996-12-04 1998-06-11 Nitto Denko Corporation Thermally conductive pressure-sensitive adhesive, adhesive sheet containing the same, and method for fixing electronic part to heat-radiating member with the same
JP3979694B2 (en) * 1997-01-22 2007-09-19 株式会社巴川製紙所 Electrostatic chuck device and manufacturing method thereof
KR100290264B1 (en) * 1997-01-22 2001-09-22 호소이 쇼지로 Electrostatic chuck and its manufacturing method
JPH11176920A (en) * 1997-12-12 1999-07-02 Shin Etsu Chem Co Ltd Electrostatic chuck device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI425882B (en) * 2004-12-22 2014-02-01 Lam Res Corp Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
CN101680929B (en) * 2006-05-01 2012-10-10 瓦福默控股有限公司 Integrated circuit probe card analyzer
US10458019B2 (en) 2012-11-02 2019-10-29 Industrial Technology Research Institute Film deposition apparatus having a peripheral spiral gas curtain
TWI649453B (en) * 2013-12-31 2019-02-01 奧地利商蘭姆研究股份公司 Apparatus for treating surfaces of wafer-shaped articles

Also Published As

Publication number Publication date
WO2002037541A2 (en) 2002-05-10
KR100887014B1 (en) 2009-03-04
WO2002037541A3 (en) 2002-10-10
KR20020081240A (en) 2002-10-26
EP1334514A2 (en) 2003-08-13
JP2004513516A (en) 2004-04-30

Similar Documents

Publication Publication Date Title
TW588401B (en) Method of plasma etching features on a dielectric layer on a substrate
US6403491B1 (en) Etch method using a dielectric etch chamber with expanded process window
US6797639B2 (en) Dielectric etch chamber with expanded process window
JP3166974U (en) Edge ring assembly for plasma etching chamber
JP4890734B2 (en) Low-contamination plasma chamber components and manufacturing method thereof
US7316761B2 (en) Apparatus for uniformly etching a dielectric layer
TWI471963B (en) Low sloped edge ring for plasma processing chamber
TWI320203B (en) Process to open carbon based hardmask
TW201933424A (en) Substrate support with dual embedded electrodes
JP2001501379A (en) Particle control method and plasma processing chamber
KR20170123254A (en) Ceramic coated quartz lid for processing chamber
WO2003021652A1 (en) Method for etching object to be processed
TWI297916B (en)
TWI248108B (en) Gas distribution system for a CVD processing chamber
TW200411718A (en) Method for enhancing critical dimension uniformity after etch
Wang et al. GaAs backside via-hole etching using ICP system

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees