TWI248108B - Gas distribution system for a CVD processing chamber - Google Patents

Gas distribution system for a CVD processing chamber Download PDF

Info

Publication number
TWI248108B
TWI248108B TW88119093A TW88119093A TWI248108B TW I248108 B TWI248108 B TW I248108B TW 88119093 A TW88119093 A TW 88119093A TW 88119093 A TW88119093 A TW 88119093A TW I248108 B TWI248108 B TW I248108B
Authority
TW
Taiwan
Prior art keywords
gas
chamber
substrate
distance
page
Prior art date
Application number
TW88119093A
Other languages
Chinese (zh)
Inventor
Tetsuya Ishikawa
Padmanabhan Krishnaraj
Feng Gao
Alan W Collins
Lily Pang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/191,346 external-priority patent/US6066839A/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TWI248108B publication Critical patent/TWI248108B/en

Links

Abstract

The present invention provides an apparatus for depositing a film on a substrate comprising a processing chamber, a substrate support member disposed within the chamber, a first gas inlet, a second gas inlet, a plasma generator and a gas exhaust. The first gas inlet provides a first gas at a first distance from an interior surface of the chamber, and the second gas inlet provides a second gas at a second distance that is closer than the first distance from the interior surface of the chamber. Thus, the second gas creates a higher partial pressure adjacent the interior surface of the chamber to significantly reduce deposition from the first gas onto the interior surface. The present invention also provides a method for depositing a film on a substrate comprising: providing a chemical vapor deposition chamber, introducing first gas through a first gas inlet at a first distance from an interior surface of the chamber, introducing a second gas through a second gas inlet at a second distance from the interior surface of the chamber, wherein the second gas creates a higher partial pressure adjacent the interior surface of the chamber to prevent deposition from the first gas on the interior surface and generating a plasma of the processing gases. Alternatively, the first gas is introduced at a different angle from the second gas with respect to a substrate surface.

Description

1248108 A7 _____ B7 -___^•^im''mataKmmm^^m ......... __||Μ__ ___·|·|«·_·_·· 五、發明說明() 發明領域: 本發明大致關係於一種設備與方法,用以處理半導體 基材。更明確地說,本發明關係於一種方法與設備,用以 高密度電漿化學氣相沉積薄膜至基材上。 發明背景: 例如化學氣相沉積(CVD),蝕刻,反應離子蝕刻等之 用於半導體製程之電漿工具典型使用電漿產生器之電感 耦合或電容輕合至處理室,以碰撞並維持一電漿。電感李馬 合優於電容輕合電漿之一優點是在於電感搞合電漿係以 較小偏壓於基材上產生,降低了對基材之可能損害。另 外,電感耦合電漿具有一較高離子密度,藉以提供較高沉 積率及平均自由路徑,同時也操作於較電容耦合電漿為低 之壓力中。這些優良允許於處理時作内部濺鍍及/或沉積。 近來,高密度電漿(HDP)CVD製程已經被用以提供化 學反應及物理濺鍍之組合。HDP-CVD製程藉由施加射頻 (RF)能量至接近基材表面之反應區’而提升了反應氣體之 分解,藉以創造了一高反應離子種類之電漿。所釋放之離 子種類之高反應性降低了化學反應發生所需之能量,因而 降低了這些製程所需之溫度。 於多數HDP-CVD製裎中之目標係沉積一均勻厚度之 薄膜於整個基材之表面,同時,提供於線路及形成於基材 上之其他特性間之良好間隙填充。沉積均勻度及間隙填充 對於電漿產生器源架構,源射頻產生器功率,偏壓射頻產 第3頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之:^意事項再填寫本頁) · I--11,—訂---1--!岭' 經濟部智慧財產局員工消費合作社印製 1248108 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明() 生器功率,處理氣體流率變化及處理氣體哈嘴設計係相當 敏感,噴嘴設計包含噴嘴分佈之對稱性,嘴嘴數量,於處 理時安置於基材上之嘴嘴古命货4 贺角问度及相對於基材沉橫表面之 喷嘴之橫向位置。這些變數相對於被執行於工具中之處理 變化而變化及相對於處理氣體變化而變化。 第1圖為有用於沉積各種薄膜於一基材上之HDP_ C^D室之剖面圖。HDP-CVD室之例子係為由美國加州聖 塔卡拉之應用材料公司購得之Ultima HDP_CVD系統。一 般而言,HDP-CVD室1〇〇包含一室密封件1〇2,一基材支 私構件1 04,一氣體入口 i 〇6,一氣體排氣1 及一雙線 圈私漿產生器1 1 〇。室密封件丨〇2係典型地安裝於一系統 平台上或單石上,及一上蓋n2密封該室密封件1〇2之上 部。一典型由例如氧化鋁(A12〇3)之陶瓷作成之圓頂114係 安置於蓋112之上。雙線圈電漿產生器n〇典型包含一第. 一及一第二線圈11 6,1 1 8及一第一及一第二RF電源, 1 20 ’ 1 22分別電氣連接至第一及第二線圈i j 6,丨丨8。為 了提供高密度電漿,第一線圈116係安置於圓頂114旁, 同時’第二線圈1 1 8係安置於圓頂1 1 4上。氣體入口 1 〇 6 典型包含多數氣體噴嘴124,其係安置於室之内環境於基 材支#件上之區域中。典型地,氣體喷嘴124由室之内表 面延伸至於基材上一距離,該基材係定位於基材支撐件 104上,以於處理時提供處理氣體均勻分佈於基材上。該 氣體排氣孔108包含一氣體出口 126及一泵128,以於處 理時自室排氣並控制於室中之壓力。於沉積處理時,處理 第4頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) · .1— ------------- (請先閿讀背面之注意事項再填寫本頁)1248108 A7 _____ B7 -___^•^im''mataKmmm^^m ......... __||Μ__ ___·|·|«·_·_·· V. Description of invention () Field of the invention: The present invention is generally related to an apparatus and method for processing a semiconductor substrate. More specifically, the invention relates to a method and apparatus for high density plasma chemical vapor deposition of a film onto a substrate. BACKGROUND OF THE INVENTION: Plasma tools for semiconductor processes, such as chemical vapor deposition (CVD), etching, reactive ion etching, etc., typically use an inductive coupling or capacitor of a plasma generator to lightly couple to a processing chamber to collide and maintain an electrical Pulp. One of the advantages of the inductor Lima and the capacitor light-weight plasma is that the inductor is made of a plasma with a small bias on the substrate, which reduces the possible damage to the substrate. In addition, the inductively coupled plasma has a higher ion density, thereby providing a higher deposition rate and an average free path, while also operating at a lower pressure than the capacitively coupled plasma. These excellent properties allow for internal sputtering and/or deposition during processing. Recently, high density plasma (HDP) CVD processes have been used to provide a combination of chemical reactions and physical sputtering. The HDP-CVD process enhances the decomposition of the reactive gas by applying radio frequency (RF) energy to the reaction zone near the surface of the substrate, thereby creating a plasma of highly reactive ion species. The high reactivity of the released species reduces the energy required for the chemical reaction to occur, thereby reducing the temperature required for these processes. The goal in most HDP-CVD processes is to deposit a film of uniform thickness over the entire surface of the substrate while providing good gap fill between the circuitry and other features formed on the substrate. Deposition uniformity and gap filling for plasma generator source architecture, source RF generator power, bias RF production page 3 This paper scale applies to China National Standard (CNS) A4 specification (210 X 297 mm) (please read first On the back: ^Important and fill out this page) · I--11,-------Ling' Ministry of Economic Affairs Intellectual Property Bureau employee consumption cooperative printing 1248108 Ministry of Economic Affairs Intellectual Property Bureau employee consumption cooperative printing A7 V. Description of the invention () The power of the generator, the change of the flow rate of the treatment gas and the design of the treatment gas nozzle are quite sensitive. The nozzle design includes the symmetry of the nozzle distribution, the number of nozzles, and the mouth of the nozzle placed on the substrate during processing. The price of the product and the lateral position of the nozzle relative to the surface of the substrate. These variables vary with respect to changes in the processing performed in the tool and with respect to process gas changes. Figure 1 is a cross-sectional view of a HDP_C^D chamber for depositing various films on a substrate. An example of an HDP-CVD chamber is the Ultima HDP_CVD system available from Applied Materials, Inc. of Santa Barbara, California. In general, the HDP-CVD chamber 1〇〇 includes a chamber seal 1〇2, a substrate support member 104, a gas inlet i〇6, a gas exhaust 1 and a double coil paste generator. 1 1 〇. The chamber seal 丨〇 2 is typically mounted on a system platform or a single stone, and an upper cover n2 seals the upper portion of the chamber seal 1 〇 2 . A dome 114, typically made of a ceramic such as alumina (A12〇3), is placed over the cover 112. The two-coil plasma generator n〇 typically includes a first and a second coil 11 6 , 1 18 and a first and a second RF power source, and the 1 20 ' 1 22 are electrically connected to the first and the first Two coils ij 6, 丨丨 8. In order to provide a high density plasma, the first coil 116 is placed beside the dome 114 while the second coil 1 18 is placed on the dome 1 14 . The gas inlet 1 〇 6 typically contains a plurality of gas nozzles 124 that are disposed in the region of the chamber within the environment of the substrate support member. Typically, the gas nozzle 124 extends from the inner surface of the chamber to a distance from the substrate which is positioned on the substrate support 104 to provide a uniform distribution of process gas to the substrate during processing. The gas vent 108 includes a gas outlet 126 and a pump 128 for venting from the chamber and controlling the pressure in the chamber during processing. For deposition processing, page 4 of this paper is applicable to China National Standard (CNS) A4 specification (210 X 297 mm) · .1— ------------- (please read first) Note on the back and fill out this page)

•ι_1 ϋ ϋ I 峻 五、 經濟部智慧財產局員工消費合作社印製 1248108 發明說明( 氣體係被經由氣體入口 i 〇6引 引入並且,處理氣體之電漿 係被產生於室中,以對基材作動化學氣相沉積。沉積典型 發生於外露至處理氣體之所有表面上,包含室之内表面, 例如圓頂1 1 4,因為虛揮鸟雜你 、 、、’處乳m係以相同流速經由具有相同 長度氣體噴嘴1 2 4引入,以插仳的6尸 二 1 以徒供均勻氣體分佈於室之中。 门山度%水(HDP)處理已經為積體電路製造之重要製 程。H D P處理可以用以有利地沉積薄膜於基材上或姓刻於 基材上之薄膜,以形成積體電路。有關於其他沉積及姓刻 處里 員重要考I是出現於處理環境中之污染程度。於 HDP處理中,因為咼密度電漿典型於處理室内創造高溫。 當於處理室中之溫度增加時,被驅離開室元件外之不想要 之移動離子及金屬污染物似乎會增加。因此,於處 理環境内之粒子數量會有害地高。 於室内之粒子污染係可藉由週期地使用一清潔氣體 之電漿清潔該室而加以控制,該清潔氣體典型為氟化合 物。清潔氣體係基於束縛前驅氣體及形成於室元件上之沉 積材料之能力加以選擇,以形成穩定產物,其可以由室中 排出,藉以清潔處理環境。於高密度電漿反應器中,多數 含氟(即NF3,CF4及C2F6)清潔氣體係高度被分解並可以 迅速束縛沉積材料形成一穩定產物,其可以由室中排出。 典型地,於沉積處理前,室之内表面係被清潔然後塗 以一乾燥外層,以保護這些表面不受處理氣體之影響。乾 燥外層典型藉由沉積沉積材料至室内之表面,於基材被引 入室中用以處理前。此步騾係典型藉由沉積一薄膜加以執 第5頁 本紙張尺度適用中國國家鮮(CNS)A4規格(210 X 297公釐) --ϋ ϋ n ϋ ϋ ϋ ϋ ϋ «ϋ 0 1 I I I ·ϋ I 一 θ、· ϋ ·ϋ I I ·1 ϋ _ (請先閱讀背面之注意事項再填寫本頁) 成 1248108 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 行,以依據沉積處理程式,塗覆形成處理區域之内表面。 作為一處理例子’ 一矽烷氣體可以被引入室中,並依 據以下方程式氧化以沉積一層二氧化石夕。• ι_1 ϋ ϋ I Jun 5, Ministry of Economic Affairs, Intellectual Property Office, Staff Consumer Cooperatives, Printing 1248108 Description of the Invention (The gas system is introduced via the gas inlet i 〇6 and the plasma of the processing gas is generated in the chamber to Electrochemical vapor deposition. The deposition typically occurs on all surfaces exposed to the process gas, including the inner surface of the chamber, such as the dome 1 1 4, because the virtual flow of birds, you, , 'the milk m line at the same flow rate It is introduced through the gas nozzles 1 2 4 of the same length, and the 6 corpses of the larvae are inserted into the chamber for uniform gas distribution. The door water % water (HDP) treatment has become an important process for the manufacture of integrated circuits. HDP The treatment can be used to advantageously deposit a film on the substrate or a film whose last name is on the substrate to form an integrated circuit. Regarding other depositions and the name of the person, the importance of contamination is in the processing environment. In HDP processing, because tantalum density plasma typically creates high temperatures in the processing chamber. Unwanted mobile ions and metal contaminants that are driven away from the chamber components as the temperature in the processing chamber increases. It seems to increase. Therefore, the amount of particles in the treatment environment is harmfully high. Particle contamination in the room can be controlled by periodically cleaning the chamber with a plasma of a cleaning gas, typically a fluorine compound. The cleaning gas system is selected based on the ability to bind the precursor gas and the deposition material formed on the chamber component to form a stable product that can be discharged from the chamber to clean the processing environment. In high density plasma reactors, most contain The fluorine (ie NF3, CF4 and C2F6) cleaning gas systems are highly decomposed and can quickly bind the deposition material to form a stable product that can be discharged from the chamber. Typically, the surface of the chamber is cleaned and then coated prior to the deposition process. The outer layer is dried to protect the surfaces from the treatment gas. The dried outer layer is typically deposited by depositing the material onto the surface of the chamber before the substrate is introduced into the chamber for processing. This step is typically performed by depositing a The film is applied to the fifth page of this paper scale for China National Fresh (CNS) A4 specifications (210 X 297 mm) --ϋ ϋ n ϋ ϋ ϋ ϋ ϋ « 0 1 III ·ϋ I I θ,· ϋ ·ϋ II ·1 ϋ _ (Please read the note on the back and fill out this page) into 1248108 A7 B7 Ministry of Economic Affairs Intellectual Property Bureau employee consumption cooperative printing 5, invention description ( Rows are applied to form the inner surface of the treated area in accordance with a deposition process. As a processing example, a decane gas can be introduced into the chamber and oxidized according to the following equation to deposit a layer of silica.

SiH4 + 〇2 — Si02 + 2H2 公式 1 於一 200mm基材應用中,一沉積處理係典型使用一 约45 00瓦之源RF功率及約2500瓦之偏壓RF功率加以執 行。此於沉積前之乾燥步驟係使用約45〇〇瓦之源RF及約 1600瓦之偏壓RF加以進行。於一 3〇〇mm基材應用中,沉 積處理係典型使用約10,125瓦之源rf及約5625瓦之偏 壓RF加以執行。於沉積前之乾燥步驟係使用約丨〇丨2 $瓦 功率之源RF及約3600瓦之偏壓rf源加以執行。 於處理若干基材後,乾燥塗層係由室之内表面及沉積 於乾燥塗層上之材料係被去除或清潔,一新乾燥塗層係被 犯加至1:足内表面,以提供一清潔,穩定環境,用以處理 下一批基材。 使用HDP-CVD室沉積所遭遇之問題為當室用以沉積 一氟為王薄膜,例如氟玻璃(FSG)時,於電漿中之氟擴散 、、二由乾燥塗層並攻擊陶瓷(八丨2〇3)圓頂。到達陶瓷圓頂之氟 原子與陶瓷反應,以形成Ah〇xFy(其中乂及y為整數)於圓 I、之表面上。以二次離子質譜儀(SIMS)分析得到圓頂變黑 及處理漂移係由於圓頂上之AL2〇xFy形成物所造成。於圓 頂上之Al2〇xFy形成物改變了圓頂材料之電氣特性,並造 成\ /儿:ί貝均勻度,沉積速率,氟濃度及室中之丨賤擊均勻度 上之處理漂移。因為處理漂移,非均勻處理發生於基材之 第6頁 ———— (請先閱讀背面之注意事項再填寫本頁) tr---------5 1248108SiH4 + 〇2 — Si02 + 2H2 Equation 1 In a 200mm substrate application, a deposition process is typically performed using a source RF power of approximately 50,000 watts and a bias RF power of approximately 2,500 watts. This drying step prior to deposition was carried out using a source RF of about 45 watts and a bias RF of about 1600 watts. In a 3 mm substrate application, the deposition process is typically performed using a source rf of about 10,125 watts and an offset RF of about 5625 watts. The drying step prior to deposition is performed using a source RF of about $2 watt power and a bias rf source of about 3600 watts. After processing a plurality of substrates, the dried coating is removed or cleaned from the inner surface of the chamber and the material deposited on the dried coating, and a new dry coating is applied to the inner surface of the foot to provide a Clean, stable environment for handling the next batch of substrates. The problem encountered with HDP-CVD chamber deposition is that when the chamber is used to deposit a fluorine-based film, such as fluorine glass (FSG), the fluorine in the plasma diffuses, and the dry coating and attack the ceramic (gossip) 2〇3) Dome. The fluorine atoms reaching the ceramic dome react with the ceramic to form Ah 〇 xFy (where 乂 and y are integers) on the surface of the circle I. The darkening of the dome and the treatment drift were analyzed by secondary ion mass spectrometry (SIMS) due to the formation of AL2〇xFy on the dome. The Al2〇xFy formation on the top of the dome changes the electrical properties of the dome material and results in a processing drift on the uniformity of the shell, the deposition rate, the fluorine concentration, and the uniformity of the sniper in the chamber. Because of the processing drift, non-uniform processing occurs on page 6 of the substrate ———— (please read the notes on the back and fill out this page) tr---------5 1248108

表面上’一基材與另一基材彼此不同。 (請先閱讀背面之注音?事項再填寫本頁) 作為一解決處理漂移問題及防止氟原子擴散穿過乾 燥塗層之方法,一厚乾燥層(&gt;〗00埃)係於處理每一基材前 被沉積。該厚乾燥層加長了氟原子擴散穿過乾燥層及到4 圖頂所需之時間。然而,當處理時間足夠長時,氟原子仍 能擴散穿過乾燥層以形成Al2〇xFy於圓頂上並造成處理漂 移。再者,過多時間係花甩在沉積及去除厚乾燥層上。乾 燥層·必須於若干基材被處理後被去除,以確保氟原子不會 擴散穿過乾燥層並於圓頂上形成A12〇xFy,及一新乾燥層 必須於下一批次基材被處理前被沉積。於沉積及去除厚乾 燥層中所花用之過量時間係造成系統產量降低之另一主 要缺點。 相關於使用HDP-CVD室沉積摻矽玻璃之另一問題是 現行氣體分配系統並未提供於整個基材表面之均勻捧雜 物輸送,造成於基材表面上具有材料特性差異之沉積摻雜 珍玻璃。一般而言,於處理中之均勾性係想要的,以維持 產品品質。 經濟部智慧財產局員工消費合作社印製 因此’有需要一種設備與方法,用以沉積薄膜於基材 上,同時消除由氟及其他氣體造成圓頂之污染,及處理漂 移問題。吾人想要一設備及方法,用以提供藉由降低於圓 頂内表面上形成及去除乾燥層之時間,來增加產量。更想 要一種方法與設備,以提供均勻摻雜輸送於基材之整個表 面上,以完成均勾摻雜之矽玻璃膜。 第7頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1248108 A7 五、發明說明() 發明目的及概 本發明大致提供種&amp;彳共也、 裡叹備與万法,用以沉積一薄膜於 一基材上,同時降低由H沐士、 一 既k成足圓頂污染及於沉積,沉積 速度,於處理時之氣各景$、收I ^ 鼠。里及灰擊均勻性所造成之處理漂移 之問題。該設備及方法同昧益 寺精由降低於圓頂内表面形成乾 燥塗層及將之去除所需之時間而增加產量。 本發明万面提供_設備,用以沉積一薄膜於一基 材上,該設備包含一處理室,一 i材支撐件安置於琢室 内,一第一氣體入口 ,一第-备赌人 罘一轧隨入口,一電漿產生器及 -氣體排氣孔。第-氣體人口輸送第—氣體,於距室之内 表面第-距離,及第二氣體入口輸送較離開室内表面之第 一距離為短之第二距離之第氣體 一 罘孔因此,第二氣體產生 一較鬲部份壓力鄰近於室之内表 水职以大量地降低第一氣 體沉積至内表面。例如,用以沉積氣坡璃⑽⑺,該第一 氣體包含四氟化矽及氧,而第二氣體 、 迁巴含矽烷及氬。因為 由珍烷所創造之較高部份壓力之故,所 产 W以來自第一氣體之 齓離子係被阻礙防止沉積及擴散穿過乾 托~塗層,至室之内 表面,例如至陶瓷圓頂,得到由圓頂t 鼠染物造成之處理 漂移之問題的消除。或者,第一氣體入 ^ ± 八口係安置於相對於 基材表面之不同於第一氣體入口之角户。 又。另外,本發明夢 由降低於圓頂之内表面形成乾燥塗層 曰 久將之去除所需 時間,而增加產量。 而又 本發明同時提供一設備,用以分配氣轉 虱體於處理室中, 該設備包含一第一氣體入口輸送第一条卿r ’ 礼賊,以離開室之内 第8頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 χ 297公釐) (請先聞讀背面之注意事項再填寫本頁) --------^---------ft 經濟部智慧財產局員工消費合作社印製 1248108 A7 B7 五、發明說明() (請先閱讀背面之注意事項再填寫本頁) 表面一第一距離,及一第二氣體入口,以距室内表面第二 距離輸送一第二氣體,該第二距離係近於第一距離。因為 第二氣體係被引入較第一氣體接近内表面,所以第二氣體 創造鄰近於室内表面之較高之部份壓力,而降低了由第一 氣體種類併入於内表面上之沉積物中。或者,第一氣體入 口係安置於相對於一基材表面之不同於第二氣體入口之 角度,以完成相同之目標,特別是當喷嘴長度係由室内表 面之。尺寸所侷限時。 本發明之另一方面提供一方法,用以沉積一薄膜至一 基材上,包含步騾有:提供一化學氣相沉積室,將第一氣 體及第二氣體引入該室中並產生處理氣體之電漿。第一氣 體係以離開室内表面第一距離經一第一氣體入口被引 入,以及,該第二氣體係以離開室内表面第二距離經由之 第二氣體入口引入,第二距離係少於第一距離。因此,該 第二氣體於相鄰於室之内表面創造一較高部价壓力,以降 低第一氣體沉積於内表面上。或者,第一氣體係以相對於 基材表面之不同於第二氣體之角度被引入,以完成相同目 的。較佳地,第一氣體係被有角度地朝向基材,同時,第 二氣體係被有角度朝向圓頂。 經濟部智慧財產局員工消費合作社印製 本發明之另一方面提供一種於處理室内分配氣體之 方法,其包含以離開室内表面第一距離引入經由第一氣體 入口之第一氣體,及以較第一距離離開室内表面為近之第 二距離,引入經由第二氣體入口之第二氣體,其中第二氣 體於鄰近室内表面創造一較高部份壓力,以減少第一氣體 第9頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1248108 A7 B7 五、發明說明() 沉積於内表面上。或者,該第一氣體係以相對於基材表面 之不同於第二氣體之角度被引入。 (請先閱讀背面之注意事項再填寫本頁) 本發明之上述特性,優點及目的係可以藉由參考本發 明之特定說明之實施例加以了解,諸實施例係被例示於附 圖中。 然而,應可以了解附圖只示出本發明之典型實施例, 其並不應被認為是限制本發明之範圍,因本發明可以等效 地用於其他實施例。 圖式簡單說明: 第1圖為用以沉積各種薄膜於基材上之HDP-CVD室之剖 面圖。 第2圖為本發明之處理工具1 0之剖面圖。 第3圖為用於基材支撐件之基材支撐件及處理組之部份剖 面圖。 第4圖為經由本發明之一室之剖面圖,其示出氣體分配組 件 300。 第5圖為連接至具有噴嘴302安置於其中之一埠314之第 一氣體通道316之剖面圖。 經濟部智慧財產局員工消費合作社印製 第6圖示出第二氣體通道318之剖面圖。 第7圖為安置穿過圓頂3 2之中央氣體饋送管3 1 2之剖面 圖。 第8圖為蓋组件之基板33及配氣環310之分解圖。 第 9圖為依據本發明之配氣環4 1 0之另一實施例之立體 第10頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1248108 A7 B7 五、發明說明() 圖。 (請先閱讀背面之注意事項再填寫本頁) 第1 0圖為一多層配氣環4 1 0之部份剖面圖,其示出一第 二環形氣體通道4 1 6及一斜向噴嘴4 0 2。 圖號對照說明: 10 處理工具 12 室主體 14 盖組件 16 基材支撐件 18 室壁 20 内壤處理區 22 同心排氣通道 24 基材進入埠 26 支撐件埠 28 通道 30 遠端電漿源 33 基板 62 能量輸送組件 64 溫控組件 66 圓柱侧壁 68 平頂 70 接面 72 頂線圈 74 侧線圈 76 RF電源 78 RF電源 80 加熱板 82 冷卻板 86 導熱板 230 靜電夾具 232 支撐體 236 介電材料 240 針孔 246 陶瓷墊圈 248 陶瓷蓋 300 配氣組件 302 氣體喷嘴 304 氣體噴嘴 306 側噴嘴 308 氣體路徑 3 10 配氣環 3 12 氣體饋送部 3 14 合適材料 經濟部智慧財產局員工消費合作社印製 第11頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) Ϊ248108 五、發明說明( 3 1 6 氣體通道 320 通道 324 0形環 330 限定孔 338 〇形環 342 路徑 352 氣體源 356 管路 360 氣體控制器 364 氣體源 368 管路 372 氣體控制器 402 噴嘴 410 配氣環 發明詳細說明: 318 322 326 3 3 6 340 350 354 358 362 366 370 374 404 氣體通道 〇形環 密封件 0形環 埠 通道 氣體控制器 氣體源 管路 氣體控制器 氣體源 管路 噴嘴 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第2圖為本發明之處理工具1〇之剖面圖。較佳地 工具係為高密度電蒙化學氣相沉積室。處理工具 含一罜王體12,蓋組件14,及基材支撐件16 , 定義執行基材處理之可排氣密封件。室主冑12係較佳 單一加工結構,具有室壁18,其定義内環處理區2〇X及 向其下端,以定義一同心排氣通遒22。室主體12定義 ,包含至少一基材進入埠24,其係被一狹縫閥料 埠26所選擇性地密封,其中懸臂安裝基材支撐件 處理工 大致包 數埠 一側 第12頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) i — ί!訂·! _丨!4' 1248108 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明() 係經由該埠安裝。I #、r 、 平女衮基材進入埠24及支撐槿株棺 、 地安置穿過室主體室 阜26係較佳 1 2〈側端。 兩個其他側埠(未示出)係安置 基材支撐件16之上矣;、、、、 土 18爻相對侧’於 壁18中之氣體通道。::卞上’並被連接至形成於室 氣體係被由遠端電聚源3〇如被分解之含氣氣體之清潔 中。進入室之珲之二二入通道28 由側埠進入室 哭n 置係被提供以導引氣體向反應 备e域,於孩區域中發生有大量組成。 室壁18之上表面定義—大致平坦著陸區, 有蓋組件蓋組件1 4之其妞 知 …啟 一或多數0形環凹槽係形 成於室壁18之上表面,以收納一或多數〇形環,以於室 主體室主體12及基板33之間形成-氣密式密封件。 室蓋組件14大致包含—能量傳送圓丁員32, 一能量傳 送組件62 1 -溫度控制組# 64,被支撐於被鼓鍵安裳之 基板33上。基板33定義一内環通道,其巾,安裝有—氣 體配氣環。Ο形環凹槽係形成於配氣環之頂部,以收蜗: 〇形環,以密封住圓頂32及配氣環之頂部。蓋組件Η提 供電漿處理區及能量輸送系統之實際密封,以驅動處搜。 一盖較佳係被提供於整個蓋組件上,以包圍住各種元件 圓頂3 2係大致包含一圓柱側壁6 6,側壁一端係被平 頂邵68所閉合。圓柱側壁66係大致垂直於基材支撐件 τ ί 6 之上表面及平頂部6 8係大致平行於基材支撐件1 6之 面。於側壁及頂部間之接面70係被圓滑化,以提供圓丁負 3 2之彎曲内壁。圓頂3 2係由介電材料作成,其係對反$ 第13頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本貢」 --------訂---------^ 1248108 A7 B7On the surface, one substrate and the other substrate are different from each other. (Please read the phonetic on the back first? Then fill out this page.) As a solution to the drift problem and prevent the diffusion of fluorine atoms through the dry coating, a thick dry layer (&gt; 00 angstroms) is used to process each base. It was deposited before the material. The thick dry layer lengthens the time required for the fluorine atoms to diffuse through the dried layer and to the top of the figure. However, when the treatment time is long enough, the fluorine atoms can still diffuse through the dried layer to form Al2?xFy on the dome and cause process drift. Furthermore, too much time is spent on depositing and removing thick dry layers. The dry layer must be removed after several substrates have been treated to ensure that fluorine atoms do not diffuse through the dry layer and form A12〇xFy on the dome, and that a new dry layer must be processed before the next batch of substrate Deposited. The excess time spent in depositing and removing thick dry layers is another major disadvantage of system yield reduction. Another problem associated with the deposition of erbium-doped glass using the HDP-CVD chamber is that current gas distribution systems do not provide uniform dopant transport across the surface of the substrate, resulting in depositional densities on the surface of the substrate that have differences in material properties. glass. In general, the homogeneity in processing is desirable to maintain product quality. Printed by the Intellectual Property Office of the Ministry of Economic Affairs, the Consumer Cooperatives. Therefore, there is a need for an apparatus and method for depositing thin films on substrates while eliminating dome contamination caused by fluorine and other gases, and handling drift problems. We would like an apparatus and method for increasing the yield by reducing the time required to form and remove the dried layer on the inner surface of the dome. More preferably, a method and apparatus are provided to provide uniform doping to the entire surface of the substrate to complete the doped glass film. Page 7 This paper scale applies to China National Standard (CNS) A4 specification (210 X 297 mm) 1248108 A7 V. Invention Description () The purpose of the invention and the general invention are generally provided by species &amp; 彳 彳, 里 与 and 10,000 The method is used for depositing a film on a substrate, and at the same time reducing the pollution of the dome and the deposition of the dome, the deposition rate, and the gas at the time of processing. The problem of processing drift caused by the uniformity of the ash. The apparatus and method are increased in yield by the same time as the time required to form a dry coating on the inner surface of the dome and remove it. The invention provides a device for depositing a film on a substrate, the device comprises a processing chamber, an i-material support member is disposed in the chamber, a first gas inlet, and a first-prepared gambling person Rolling with the inlet, a plasma generator and a gas vent. The first gas population transports the first gas at a first distance from the inner surface of the chamber, and the second gas inlet delivers a second distance shorter than the first distance from the inner surface of the chamber. A relatively partial pressure is generated adjacent to the inner surface of the chamber to substantially reduce the deposition of the first gas to the inner surface. For example, it is used to deposit a gas glass (10) (7), the first gas contains ruthenium tetrafluoride and oxygen, and the second gas, ruthenium contains decane and argon. Because of the higher partial pressure created by the rare gas, the produced W is hindered from the cesium ion from the first gas to prevent deposition and diffusion through the dry support to the inner surface of the chamber, for example to ceramics. The dome, the elimination of the problem of processing drift caused by the dome rat dye. Alternatively, the first gas is placed in a corner of the substrate different from the first gas inlet relative to the surface of the substrate. also. In addition, the dream of the present invention is increased by reducing the time required to form a dry coating on the inner surface of the dome and removing it for a long time. The present invention also provides a device for dispensing a gas transfer body in a processing chamber, the device comprising a first gas inlet for transporting the first strip of 'R thieves' to leave the eighth page of the paper within the chamber. Applicable to China National Standard (CNS) A4 specification (21〇χ 297 mm) (please read the note on the back and fill in this page) --------^---------ft Ministry of Economic Affairs Intellectual Property Bureau Staff Consumer Cooperative Printed 1248108 A7 B7 V. Invention Description () (Please read the back note first and then fill out this page) Surface first distance, and a second gas inlet, from the indoor surface A second gas is delivered at a distance that is closer to the first distance. Since the second gas system is introduced closer to the inner surface than the first gas, the second gas creates a higher partial pressure adjacent to the indoor surface, and reduces the inclusion of the first gas species in the deposit on the inner surface. . Alternatively, the first gas inlet is disposed at an angle different from the second gas inlet relative to a surface of the substrate to accomplish the same goal, particularly when the nozzle length is from the interior surface. When the size is limited. Another aspect of the present invention provides a method for depositing a film onto a substrate, comprising the steps of: providing a chemical vapor deposition chamber, introducing a first gas and a second gas into the chamber and generating a processing gas Plasma. The first gas system is introduced at a first distance from the indoor surface via a first gas inlet, and the second gas system is introduced at a second distance from the indoor surface via the second gas inlet, the second distance being less than the first distance. Therefore, the second gas creates a higher partial pressure on the inner surface adjacent to the chamber to reduce deposition of the first gas on the inner surface. Alternatively, the first gas system is introduced at an angle different from the second gas relative to the surface of the substrate to accomplish the same purpose. Preferably, the first gas system is angled toward the substrate while the second gas system is angled toward the dome. Another aspect of the invention provides a method of distributing a gas within a processing chamber, the method comprising: introducing a first gas via a first gas inlet at a first distance from an interior surface, and a second distance from the indoor surface at a distance, introducing a second gas through the second gas inlet, wherein the second gas creates a higher partial pressure on the adjacent indoor surface to reduce the first gas page 9 Applicable to China National Standard (CNS) A4 specification (210 X 297 mm) 1248108 A7 B7 V. Description of invention () Deposited on the inner surface. Alternatively, the first gas system is introduced at an angle different from the second gas relative to the surface of the substrate. The above-mentioned features, advantages and objects of the present invention are understood by reference to the specific embodiments of the present invention, which are illustrated in the accompanying drawings. It is to be understood, however, that the invention is not limited by BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 is a cross-sectional view of an HDP-CVD chamber for depositing various films on a substrate. Figure 2 is a cross-sectional view of the processing tool 10 of the present invention. Figure 3 is a partial cross-sectional view of the substrate support and processing set for the substrate support. Figure 4 is a cross-sectional view through a chamber of the present invention showing a gas distribution assembly 300. Figure 5 is a cross-sectional view of the first gas passage 316 having a nozzle 302 disposed therein. Printed by the Ministry of Economic Affairs, Intellectual Property Office, Staff Consumer Cooperative, Figure 6 shows a cross-sectional view of the second gas passage 318. Figure 7 is a cross-sectional view of the central gas feed tube 3 1 2 disposed through the dome 3 2 . Figure 8 is an exploded view of the base plate 33 of the cover assembly and the gas distribution ring 310. Figure 9 is a perspective view of another embodiment of the gas distribution ring 410 according to the present invention. Page 10 The paper size applies to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) 1248108 A7 B7 V. Description of the Invention () Figure. (Please read the note on the back and then fill out this page.) Figure 10 is a partial cross-sectional view of a multi-layer gas distribution ring 410 showing a second annular gas passage 4 16 and an oblique nozzle. 4 0 2. Figure number comparison description: 10 treatment tool 12 chamber body 14 cover assembly 16 substrate support 18 chamber wall 20 inner soil treatment zone 22 concentric exhaust passage 24 substrate entry 埠 26 support 埠 28 channel 30 distal plasma source 33 Substrate 62 Energy transfer assembly 64 Temperature control assembly 66 Cylindrical side wall 68 Flat top 70 Junction 72 Top coil 74 Side coil 76 RF power supply 78 RF power supply 80 Heating plate 82 Cooling plate 86 Thermal plate 230 Electrostatic clamp 232 Support 236 Dielectric material 240 pinholes 246 ceramic washers 248 ceramic caps 300 gas distribution components 302 gas nozzles 304 gas nozzles 306 side nozzles 308 gas paths 3 10 gas distribution rings 3 12 gas feeds 3 14 suitable materials economic ministry intellectual property bureau employee consumption cooperatives printed 11 pages of paper size applicable to China National Standard (CNS) A4 specification (210 X 297 mm) Ϊ248108 V. Invention description (3 1 6 gas channel 320 channel 324 0 ring 330 defining hole 338 〇 ring 342 path 352 gas source 356 Line 360 Gas Controller 364 Gas Source 368 Line 372 Gas Controller 402 Nozzle 410 Valve Ring Invention Detailed description: 318 322 326 3 3 6 340 350 354 358 362 366 370 374 404 Gas channel 〇 ring seal 0-ring 埠 channel gas controller gas source line gas controller gas source line nozzle (please read the back first Note: Please fill out this page again) Ministry of Economic Affairs Intellectual Property Bureau Employees Consumption Cooperative Printed Figure 2 is a cross-sectional view of the processing tool of the present invention. Preferably, the tool is a high-density electric Mongolian chemical vapor deposition chamber. The tool comprises a king body 12, a lid assembly 14, and a substrate support member 16 defining a ventable seal member for performing substrate processing. The chamber master 12 is preferably a single machined structure having a chamber wall 18 within its definition The ring treatment zone 2〇X and its lower end define a concentric exhaust gas passage 22. The chamber body 12 defines at least one substrate entry port 24 that is selectively sealed by a slit valve magazine 26. , where the cantilever mounting substrate support handles the approximate number of packages 第 side page 12 This paper scale applies to China National Standard (CNS) A4 specifications (210 X 297 mm) i — ί! set·! _丨! 4' 1248108 Ministry of Economic Affairs Intellectual Property Bureau employees Co., Ltd. Printing A7 V. Inventive Note () is installed via this raft. I #, r, 衮 衮 衮 衮 及 及 及 及 及 及 及 及 及 及 及 及 及 及 及 及 及 及 及 及 及 及 及 及 及 及 及 及 及<side end. Two other side sills (not shown) are disposed on the substrate support member 16 above the gas passages in the wall 18 on opposite sides of the soil. :: ’ ’ and is connected to the cleaning of the gas-containing gas formed by the remote electropolymer source 3, such as being decomposed. The second entry channel 28 into the chamber enters the chamber from the side sill. The crying n system is provided to direct the gas to the reaction e domain, which has a large number of components in the child area. The upper surface of the chamber wall 18 defines a substantially flat landing zone, and the lid assembly cover assembly 14 is formed by a cover or a plurality of 0-ring grooves formed on the upper surface of the chamber wall 18 to accommodate one or more of the crucibles. The ring forms a hermetic seal between the chamber body main body 12 and the substrate 33. The chamber cover assembly 14 generally includes an energy transfer dome 32, an energy transfer assembly 62 1 - temperature control group # 64, supported on a substrate 33 that is held by the drum keys. The substrate 33 defines an inner ring passage, and a towel is provided with a gas distribution ring. A ring-shaped ring groove is formed on the top of the valve ring to receive the worm: a ring-shaped ring to seal the dome 32 and the top of the valve ring. The cover assembly picks up the actual seal of the power slurry processing area and the energy delivery system to drive the search. A cover is preferably provided over the entire cover assembly to enclose the various components. The dome 3 2 generally includes a cylindrical side wall 66. One end of the side wall is closed by the flat roof 68. The cylindrical side wall 66 is substantially perpendicular to the upper surface of the substrate support τ ί 6 and the flat top portion 6 8 is substantially parallel to the surface of the substrate support 16 . The junction 70 between the side wall and the top is rounded to provide a curved inner wall of the negative 3 . Dome 3 2 is made of dielectric material, which is applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 mm). (Please read the notes on the back and fill in the tribute first) -------- Order ---------^ 1248108 A7 B7

經濟部智慧財產局員工消費合作社印製 五、發明說明() 能量有傳遞性,較佳陶瓷係例如氧化鋁(A1203)。 兩個分離供電RF線圈,一頂線圈72及—側線圈 係繞於介電圓頂圓頂32之外部。側線圈74係較佳被费_ '^是 Ml 以接地屏蔽,以降低於線圈72及74間之電氣串音。 線畔72及74係被兩個可變頻率rf源76及78所供啦 每一電源包含一控制電路,其量測反射功率並調整於 RF產生中之可數位控制合成器,以掃頻,典型由1 開始,以令反射功率最小。當電漿點火時,電路狀態改變, 因為電漿動作為與線圈平行之一電阻。於此時,rf產生 器持續掃頻’直到再次到達最小反射功率點為止。電源雨 路係被設計以使得每一組繞組諧振於或接近到達最小反 射功率點之頻率,使得繞組電壓係足夠高,以驅動足夠電 流,以維持電漿。因此,即使於處理中之電路諧振點變 化,頻率調整保護了系統維持接近諧振。以此方式,頻率 凋整藉由改變阻抗匹配元件(例如電容或電感)之值,而消 除了電路調整及阻抗匹配之需求。 每一電源確保了想要功率係被傳送至負载,而不管阻 抗失配,甚至由於電漿阻抗之改變造成連續改變之阻抗失 配。為了確保正確功率被傳送至負載,每一 rf產生哭本 身消耗反射功率,並增加輸出功率,使得被傳送功率被維 持於想要位準。一 RF匹配網路係典型用以傳送功率至電 漿。當適當調整被處理之基材時,雙線圈配置可以控制於 反應室中之徑向離子密度分佈,並於整個基材表面產生均 勻離子密度。於基材表面之均勻離子對於晶圓均勻沉積及 第14頁 本紙获iir中國國家g^NS)A4規格(210 x 297公髮) -----—---------------------^ Λ (讀先間讀背面之注意事項再填寫本頁) ___ 1248108 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 孔隙填補效能作出貢獻,並協助減輕由於不均勾電漿密度 而造成 &lt; 裝置閘氧化層之電漿充電。當線圈作用被裝置 時,可得到均勻電漿密度及沉積特徵可以大量改進。 圓頂32同時包含一溫控組件64,以調整於各種處理 週期,即沉積週期及清潔週期中之圓頂溫度。溫控組件大 致包含一加熱板80及一冷卻板82,彼此相鄰,並較佳具 有一薄膜導熱材料,例如Graf〇il安置於其間。較佳地约4 密耳至8密耳之Grafoil層係安置於其間。一例如A1N板 之導熱板86,於其下表面具有凹槽者係用以包圍薄箔72。 一較佳為約1至4密耳厚之第二層Graf〇il係被安置於導 熱板86及加熱板80之間。一第三導熱層係安置於線圈72 及圓頂圓頂32之間。第三層較佳係為一層Chr〇merics, 具有約4至8密耳之厚度。該等導熱層使得熱量能容易進 出圓頂。較佳地,於清潔時加熱圓頂及於處理時冷卻圓 頂。 冷卻板82包含一或多數流體通道形成於其中,經由 這些通道流動有例如水之冷卻液體。於冷卻板中之水通道 係較佳地串聯連接形成於室主體中之冷卻通道。一具有快 拆件之壓鎖式橡膠軟管供給水至室主體,及於蓋中之冷卻 通遒。返回線具有一可見流量錶,具有一互鎖流量開關。 流量錶係被工廒校正以約6 0 p s i之壓力〇 · 8 g p m之流速一 溫度感應器係安裝於圓頂上,以量測其溫度。 加熱板80較佳具有一或多數電阻加熱元件安置於其 中’以於清潔階段時,提供熱量給圓頂。較佳地,加熱板 第15頁 -------------------訂--— — — — — — (請先閱讀背面之注意事項再填寫本頁) 1248108 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 係鑄鋁作成,然而,其他 、本領域中已知之材料也可以使 用。一控制器係連接至溫控 _ 玟、、且件,以碉整圓頂之溫度。 耩由直接傳導,加熱板 ^ 及冷郃板82係用以控制圓 頂溫度。圓頂溫度之控制於 、 、ϋ K内,改良了晶圓晶圓間 :重覆:’沉積黏著度,並已發現降低了於室中之剝落或 :子數里。取決於處理需求,圓頂溫度係大致被保持於由 、,勺100。(:至約200Χ:之範圍内。、 岡門已經顯7ΙΤ罜清潔速率愈高 (蝕刻速率),則可以於較高 _頂/皿度,取得更佳之薄膜對 基材之黏著性。 第3圖為用於基材支撐 ,、 牙件及用於基材支撐之處理組之 邓份剖面圖。較佳地,基材 ^ 土材支‘仵〗6包含一靜電夾盤 2靜電央盤230包含—支撑體 u 又彳牙23^,較佳地與一導電材 料一體成錢造’料電㈣具有高熱質^好導執性, :: 吏得來自晶圓之熱容易吸收,-冷卻於其上表面。銘或 陽極化鋁係為用於支撐體232之較 0 罕又佳材枓,因為其具有約 2·37瓦/cm,C之高導熱度,並 ^ 文W +導體晶圓製程相 各。支撐體232可以包含其他金屬 士 4木A 简例如不鏽鋼或鎳,及 支杈fa 232可以包含其他非導電材 竹竹或整個支撐體232 可以包含一非導電或半導體材料。 触於另—實施例中,支撐 眼232包含一陶瓷之單石板。於此 [木構中,陶瓷板可併入 -導電儿件内建於其中。導電元件可以包含—金屬元件, 綠印刷金屬化,網目幕等。一平滑層 ;丨電材料236覆蓋支 轉m 232之上表面238,以於處理時委於 ^ ^ ^ 又知一基材或晶圓W。 較佳約700伏之電壓係藉由一直流 i座源(未示出)被施加 第16頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 χ 297公釐 ----------看 ------ 丨訂---------5 (讀先閱讀背面之注意事項再填寫本頁) l248l〇8 五、 發明說明( A7 B7 基材支彳牙組件23 0,以產生靜電吸引力,而使晶圓w被 失持靠近支撐體232之上表面。 支袼體232包含抬舉鎖孔24〇,以允許抬舉銷延伸穿 過支撐體,及㈣基材於基材支撐件上,以作動基材傳 遞。一由支撐體232之外表面延伸以支撐一陶资軸環246 又%形女裝凸緣234,該軸環防止或禁止於處理室中之電 漿接觸或腐姓靜電夾頭。m 248作為—外套,用以 覆蓋或保護支撐體支撐體232之橫面,於處理時不受到電 漿。陶瓷蓋248同時夾持軸環2粍於凸緣234上。 回到第2圖,基材支撐件丨6部份地延伸穿過形成於 皇土 1 8中之側接取埠26 ’並被安裝於凸緣46之室壁1 8 上,以提供大致圓形基材收納面2〇〇於室之中心。基材支 撐件16同時包含一溫控系統,其於處理時維持基材之溫 度。溫控系統較佳地包含流體通道49於基材支撐件之内, 通通係連接至一熱流體源(未示出)及一例如一微處理機之 控制器(未示出)。或者,例如電阻加熱法之其他加熱或冷 卻法可以用以控制於處理時之基材溫度。 當基材支撐件1 6係定位於室中時,基材支撐件1 6之 外壁50及i之内壁52定義一環形流體通道22,其係大致 均勻於基材支撐件16之整個周圍上。較佳地,通道U及 排氣璋54可以大致同心於支撐件之基材收納面。排氣蜂 54係大致對中安置於支撐件基材支撐件16之基材收納部 之下,以均勻吸取氣體經過通道22並離開室。這使得更 均勻氣體流動於基材表面上於其整個周圍,並徑向向下及 本紙張尺度適用中國國家標準(CNS)A4規格(½ x 297公爱Ministry of Economic Affairs Intellectual Property Bureau employee consumption cooperative printing 5, invention description () energy transferability, preferably ceramics such as alumina (A1203). Two separate powered RF coils, a top coil 72 and a side coil are wound around the exterior of the dielectric dome dome 32. The side coils 74 are preferably shielded from ground by M1 to reduce electrical crosstalk between the coils 72 and 74. Lines 72 and 74 are supplied by two variable frequency rf sources 76 and 78. Each power supply includes a control circuit that measures the reflected power and adjusts the digitally controlled synthesizer in the RF generation to sweep the frequency. Typically starting with 1 to minimize reflected power. When the plasma is ignited, the state of the circuit changes because the plasma acts as a resistance parallel to the coil. At this point, the rf generator continues to sweep ' until it reaches the minimum reflected power point again. The power rain circuit is designed such that each set of windings resonates at or near the frequency of reaching the minimum reflected power point such that the winding voltage is high enough to drive sufficient current to maintain the plasma. Therefore, even if the circuit resonance point in the process changes, the frequency adjustment protects the system from approaching resonance. In this way, frequency fading eliminates the need for circuit adjustment and impedance matching by changing the value of the impedance matching component (such as capacitance or inductance). Each power supply ensures that the desired power is delivered to the load, regardless of impedance mismatch, or even a continuously varying impedance mismatch due to changes in plasma impedance. To ensure that the correct power is delivered to the load, each rf produces a crying body that consumes reflected power and increases the output power so that the transmitted power is maintained at the desired level. An RF matching network is typically used to transfer power to the plasma. When the substrate being processed is properly adjusted, the dual coil configuration can control the radial ion density distribution in the reaction chamber and produce a uniform ion density across the surface of the substrate. Uniform ions on the surface of the substrate for uniform deposition of the wafer and the 14th page of the paper obtained iir China national g^NS) A4 specifications (210 x 297 liters) ---------------- -----------^ Λ (Read the first note on the back of the page and fill in this page) ___ 1248108 A7 Ministry of Economic Affairs Intellectual Property Bureau employee consumption cooperative printing 5, invention description (pore filling efficiency contribution And assist in alleviating the plasma charging of the oxide layer of the device due to the uneven density of the plasma. When the coil is applied to the device, the uniform plasma density and deposition characteristics can be greatly improved. The dome 32 includes one at the same time. The temperature control component 64 is adapted to adjust the dome temperature in various processing cycles, that is, the deposition cycle and the cleaning cycle. The temperature control component generally comprises a heating plate 80 and a cooling plate 82 adjacent to each other and preferably has a thin film heat conduction. A material, such as Graf〇il, is disposed therebetween. Preferably, a Grafoil layer of about 4 mils to 8 mils is disposed therebetween. A thermally conductive plate 86, such as an A1N plate, has a groove on its lower surface for enclosing Thin foil 72. A second layer of Graf〇il, preferably about 1 to 4 mils thick Disposed between the heat conducting plate 86 and the heating plate 80. A third heat conducting layer is disposed between the coil 72 and the dome dome 32. The third layer is preferably a layer of Chr〇merics having about 4 to 8 mils. The thickness of the heat conducting layer allows heat to easily enter and exit the dome. Preferably, the dome is heated during cleaning and the dome is cooled during processing. The cooling plate 82 includes one or more fluid passages formed therein through which flow There is a cooling liquid such as water. The water passage in the cooling plate is preferably connected in series to the cooling passage formed in the chamber body. A press-lock type rubber hose with a quick release member supplies water to the chamber body, and the cover In the cooling line, the return line has a visible flow meter with an interlocking flow switch. The flow meter is corrected by the work chamber at a pressure of about 60 psi 〇 8 gpm. The temperature sensor is mounted on the dome. The heating plate 80 preferably has one or more resistive heating elements disposed therein for providing heat to the dome during the cleaning phase. Preferably, the heating plate is on page 15 -------------Book---- — — — (Please read the notes on the back and fill out this page) 1248108 A7 B7 Ministry of Economic Affairs Intellectual Property Bureau Staff Consumer Cooperative Printed 5, Invention Description (made of cast aluminum, however, other materials known in the field are also It can be used. A controller is connected to the temperature control _, 且, and pieces to adjust the temperature of the dome. 耩 Direct conduction, heating plate ^ and cold plate 82 are used to control the dome temperature. Controlled in , ϋ K, improved between wafer wafers: repeated: 'deposition adhesion, and has been found to reduce the spalling in the chamber or: sub-number. Depending on the processing requirements, the dome temperature is substantially maintained by the spoon 100. (: to about 200 Χ: within the range., Gangmen has shown that the higher the cleaning rate (etching rate), the better the adhesion of the film to the substrate can be achieved at a higher _ top/dish level. The figure is a cross-sectional view of a substrate for a substrate support, a dental component and a treatment group for supporting the substrate. Preferably, the substrate ^ soil support '仵〗 6 comprises an electrostatic chuck 2 electrostatic central disk 230 Including - support body u and fangs 23 ^, preferably integrated with a conductive material to make money 'materials' (four) with high thermal quality ^ good conductability, :: 吏 get heat from the wafer is easy to absorb, - cooling The upper surface, the anodized aluminum or the anodized aluminum is used for the support body 232, because it has a high thermal conductivity of about 2.37 watts/cm, C, and the W + conductor wafer The support body 232 may comprise other metal materials such as stainless steel or nickel, and the support fa 232 may comprise other non-conductive materials or the entire support 232 may comprise a non-conductive or semiconductor material. In another embodiment, the support eye 232 comprises a ceramic monolith. In this [wood structure, the ceramic plate can be The inductive-conductive member is built therein. The conductive member may comprise a metal member, a green printed metallization, a mesh screen, etc. a smooth layer; the germanium material 236 covers the upper surface 238 of the support m 232 for processing It is also known that a substrate or wafer W. Preferably, a voltage of about 700 volts is applied by a DC source (not shown). Page 16 This paper scale applies to the Chinese National Standard (CNS). A4 specifications (21〇χ 297 mm---------- see ------ 丨定---------5 (Read the first note on the back and fill out this page L248l〇8 V. Description of the invention (A7 B7 substrate supporting jaw assembly 23 0 to generate electrostatic attraction, so that wafer w is lost near the upper surface of support 232. Support body 232 contains lift lock hole 24〇, to allow the lift pin to extend through the support body, and (4) the substrate on the substrate support member to actuate the substrate transfer. One is extended from the outer surface of the support body 232 to support a ceramic collar 246 and % Women's flange 234, the collar prevents or prohibits the plasma contact in the processing chamber or the electrostatic chuck. The m 248 acts as a jacket to cover or protect the support. The lateral surface of the body support 232 is not subjected to plasma during processing. The ceramic cover 248 simultaneously clamps the collar 2 to the flange 234. Returning to Fig. 2, the substrate support member 6 partially extends through The side gusset 26' formed in the emperor 18 is mounted on the chamber wall 18 of the flange 46 to provide a substantially circular substrate receiving surface 2 to the center of the chamber. The substrate support 16 Also included is a temperature control system that maintains the temperature of the substrate during processing. The temperature control system preferably includes a fluid channel 49 within the substrate support, the communication system is coupled to a source of thermal fluid (not shown) and a For example, a microprocessor controller (not shown). Alternatively, other heating or cooling methods such as resistance heating can be used to control the substrate temperature during processing. When the substrate support 16 is positioned in the chamber, the outer walls 50 of the substrate support 16 and the inner wall 52 of the i define an annular fluid passage 22 that is substantially uniform over the entire circumference of the substrate support 16. Preferably, the passage U and the exhaust port 54 may be substantially concentric with the substrate receiving surface of the support. The exhaust bee 54 is positioned substantially centrally below the substrate receiving portion of the support substrate support 16 to uniformly draw gas through the passage 22 and out of the chamber. This allows a more uniform gas to flow over the entire surface of the substrate, and is radially downward and this paper size applies to the Chinese National Standard (CNS) A4 specification (1⁄2 x 297 public)

- — — — — I — I — I I I -HI! — !— ^ « — — — — —— I— ^ (請先閱讀背面之注意事項再填寫本頁) 12481〇8 x發明說明() 由室向外,經過對中 t中万《至展邵之排氣埠54。 了均勻沉積薄膜;,益山A ^ 徒升 g猎由、准持壓力及殘留時間均勻度 3疋現仃處理室所、、々古 、 接近度。 “有,例如基材位置相對泵蟑具有不同 、“:含:―雙葉片節流閥組件56’㈤閱58及-滿輪背 / 浦堆係安裝於室主體之斜下方部份,以提佴於 室内之壓力控制。雙葉η……刀 k供於 、 又葉片即流閥組件56及閘閥58係安 於室主體室主體1 2芬、風认圭十上 ,R . 2及滿輪幫浦60之間,以允許經由閘間 5 8之阻隔及/戋厭 巧間 〆力拴制在由約〇至約100亳托耳之壓 又葉片即*閥組件56之設定所決定。一 16 秒輪機泵係為較佳系,但是,任何其他可以完成於室中之 2要壓力…可以被使用。一前管道57係連接 、 、,&quot;碼泵炙上籽及下游位置。這提供了逆抽蓄 〈i力。則管這係連接至典型為粗泵之遠端主機泵。 59係形成於幫浦堆中,以安裝前管之凸緣61。於室清潔 時’清潔氣體係以高速流入室中,藉以增加於室中之壓 力因此於本發明之一方面,輪機泵係由閘閥5 8所與 室分離,及主機泵係用以於清潔處理時,維持於室中之壓 力。 於基材於室中處理時,真空泵將室排出氣體,至約4 土約6毛托耳範圍之壓力,及一計量之處理氣體或諸處理 氣體流係經由配氣組件供給進入室中。室壓力係直接藉由 量測室壓及饋送此資訊至一控制器加以控制,該控制器開 放及閉合諸閥加以調整抽蓄速度。氣體流量及濃度係直接 第18頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) (請先間讀背面之&gt;i意事項再填寫本頁} ----!!訂 ---!!4 經濟部智慧財產局員工消費合作社印製 1248108 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 被質流控制器,經由-提供於處理程式中之軟體設定點加 以控制。藉由量測被抽出室經由排氣埠54之氣體之流速, 一於入口氣體供給上之質流控制器(未示出)同時也可以被 用以維持於該室中之想要壓力及氣體濃度。 配氣組件300將於以下參考第4至8圖加以說明。第 4圖為經由本發明之一室之剖面圖,其示出配氣組件3⑻。 一般而言,配氣系統包含一環形配氣環31〇,安置於圓頂 之下部及立主體之上表面間,及一對中中心氣體饋送部 3 12足位穿過圓頂之上端。氣體係經由周圍安裝氣體噴嘴 302, 304引入室中,諸噴嘴係位於圓頂32之底部,及對 中氣體噴嘴306係位置於圓頂之上板。氣體係被引入室 中,經由安裝於圓周旁之氣體噴嘴3〇2,3〇4,其係位於圓 頂32之底部,及一對中氣體喷嘴3〇6係位於圓頂之頂板 部中。此架構之一優點是多數不同氣體可以由室内之選定 位置經由噴嘴302 ’ 304及306加以引入室中.。另外,例 如氧’或例如氧及SF4之組合之其他氣體可以沿著侧噴嘴 306經由一被安置於噴嘴3〇6旁之氣體通遒3〇8被引入並 被與被引入室内之其他氣體混合。 一般而言,配氣環3丨〇包含一由鋁或其他合適材料 314作成之其具有多數形成於其中γ埠&gt;,用以收納噴 p角並與一或多數氣體通道316,318相通。較佳地,其中 至少有兩分離通遒形成於氣體環中,以供給至少兩氣體進 入室中。每一埠311係連接至形成於環中之配氣通道(3 16 或3 18)。於本發明之一實施例中,其他埠可以連接至通道 第19頁 本紙張尺度適用中國國家標準(CNWA4規格(210 X 297公爱) ------------- (請先闓讀背面之注意事項再填寫本頁) / 五、 經濟部智慧財產局員工消費合作社印製 12481〇8 發明說明( ’而其料可以連接至另—通道。此配置允許例如 hi及〇2之分離氣體被分別例如引入室中。 、第5圖為一剖面圖’示出連接至具有—噴嘴π〕安置 於其中之-埠3 14之第—氣體通豸316。如所示,氣體通 道316係、形成於室主體壁之上表面,並較佳係為環繞於室 壁之整個周固。環形氣體環具有第一組通冑32〇,縱向安 置於環之内,《道係連接至提供有以配氣於通豸中之每 —埠以。t氣體環係定位於氣體通道上時,路徑係與通 遒相通。配氣環係被密封於室壁之上表面,經由兩分開放 置《0形% 322,324,由通道向外#置,以防止氣體&amp; 漏至1:内#。一例如a弗龍或其他類似產品之聚胺氟乙晞 (Ρ ΓΡΕ)始、封3 26係被向通道内安排於一凹槽32 8中,以防 止氣體戌漏至室中。 安置於埠314之噴嘴302,3 04係較佳被加螺紋並與 車中(螺紋相配合,以提供於其間之密封並提供快速及容 易之替換。一限定孔330係位於每一噴嘴之末端並可以被 選擇,以提供於室内之氣體的想要分佈。 第6圖示出第二氣體通遒318之剖面圖。第二氣體通 道3 1 8係形成於環形配氣環之上部份並類似地被安置於環 形架構中,於配氣環之周園。一水平安置路徑3 3 2連接第 一氣體通遒至一或多數形成於該氣體環中之埠,及其中安 置有其他之氣體噴嘴。第二氣體通道之上包含面係為蓋之 部份所形成’該蓋邵支撐圓頂3 2及被基板3 3所密封至頂 端。氣體環3 1 0係被栓鎖至鉸接至室主體上之基板3 3。 第20頁 (讀先闓讀背面之注意事項再填寫本頁) 訂· 1248108 經濟部智慧財產局員工消費合作社印製 Α7 Β7 五、發明說明() 本發明之一優點係配氣環可以容易被去除並以具有 多數埠形成以各種角度接收並定位噴嘴尖端之環加以替 換’使知氣體分彳布狀況可以調整。換句話說,於某些應用 中’其可以有利於一些氣體喷嘴向上於室中之一角度,或 者’相反地其可以於室中向下。形成於配氣環中之埠可以 被銑光,使得一想要角度可以被選出以提供想要之處理結 果。另外,令至少兩氣體通道可以分別輸送至少兩氣體進 入室中’允許發生於各種氣體間之反應之較大控制。再 者’於配氣組件中之氣體反應可以藉由分別輸送氣體進入 室中加以防止。 第7圖為一剖面圖’示出安置穿過圓頂3 2之中心氣 體饋送邵3 ί 2。上氣體饋送部3 1 2較佳為一斜向結構,具 有.-底部334,其係安置於圓頂之上端及一斜體336係安 置於开;^成於圓頂中之凹槽内。兩個分離之〇形環336, 3 3 8,一個為斜體3 3 6之下面及一個為斜體3 3 6之側面朝 向下個’用以提供於氣體饋送邵312及室圓頂間之可密封 接觸。一埠340係形成於上氣體饋送部之主體之下部中, 以收納噴嘴306,用以輸送氣體至室内。至少一氣體路徑 342係要置穿過氣體饋送部312,以輸送氣體進入路徑 中。例如氧之氣體可以沿著側面與例如SiH4氣體傳送。 弟8圖為蓋組件及配氣環310之基板基柄、 J〈分解 圖。一通.·道3 5 0係形成於基板基板3 3之下部,以料Λ 以收納配 氣環3 1 0。配氣環3 1 0係被鉚釘或安裝至基板3 3。 、 。暴板係 被鉸接至室主體。 第21頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) --------I--— — — — — — II ^---I I I I I 1 ^ —II (讀先閱讀背面之注意事項再填寫本頁) 1248108- — — — — I — I — III — HI! — ! — ^ « — — — — —— I— ^ (Please read the notes on the back and fill out this page) 12481〇8 x Inventions () Outward, after the middle of t zhongwan "to show Shao's exhaust 埠 54. Uniformly deposited film; Yishan A ^ zoom g, hunting pressure and residual time uniformity 3 疋 treatment room, ancient, closeness. “Yes, for example, the position of the substrate is different from that of the pump 、,”: Include: “Double-blade throttle valve assembly 56' (5) Read 58 and – Full-wheel back/Pile stack is installed on the obliquely lower part of the chamber body to The pressure control inside the room. The double-leaf η...the knife k is supplied, and the blade, that is, the flow valve assembly 56 and the gate valve 58 are disposed between the main body of the chamber main body 1 2, the wind, the ninth, the R. 2 and the full-wheeled pump 60, to allow The barrier through the gate 58 and/or the 戋 戋 拴 在 在 在 在 在 在 在 由 由 由 由 由 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 A 16 second engine pump is preferred, but any other pressure that can be accomplished in the chamber can be used. A front pipe 57 is connected to the , , , &quot; code pump to the seed and downstream position. This provides an inverse pumping force. The tube is then connected to a remote host pump, typically a rough pump. The 59 series is formed in the pump pile to mount the flange 61 of the front tube. In the cleaning of the room, the cleaning gas system flows into the chamber at a high speed, thereby increasing the pressure in the chamber. Therefore, in one aspect of the invention, the turbine pump is separated from the chamber by the gate valve 58 and the main pump is used for cleaning. At the time, maintain the pressure in the room. When the substrate is processed in the chamber, the vacuum pump vents the chamber to a pressure of about 4 soils in the range of about 6 Torr, and a metered process gas or process gas stream is supplied to the chamber via the gas distribution assembly. The chamber pressure is directly controlled by measuring the chamber pressure and feeding this information to a controller that opens and closes the valves to adjust the pumping speed. The gas flow rate and concentration are directly on page 18. This paper size applies to the Chinese National Standard (CNS) A4 specification (210 x 297 mm) (please read the back of the paper first > then fill in this page) ----! !订---!!4 Ministry of Economic Affairs Intellectual Property Bureau employee consumption cooperative printing 1248108 A7 B7 Ministry of Economic Affairs Intellectual Property Bureau employee consumption cooperative printing 5, invention description (by the mass flow controller, via - provided in the processing program The software set point is controlled. By measuring the flow rate of the gas drawn through the exhaust port 54, a mass flow controller (not shown) on the inlet gas supply can also be used to maintain the chamber. Desirable pressure and gas concentration. The gas distribution assembly 300 will be described below with reference to Figures 4 to 8. Figure 4 is a cross-sectional view through a chamber of the present invention showing the gas distribution assembly 3 (8). The gas distribution system includes an annular gas distribution ring 31〇 disposed between the lower portion of the dome and the upper surface of the vertical body, and a pair of center gas feed portions 312 passing through the upper end of the dome. The gas system is installed around the dome. Gas nozzles 302, 304 are introduced into the chamber, The nozzle is located at the bottom of the dome 32, and the centering gas nozzle 306 is positioned above the dome. The gas system is introduced into the chamber through a gas nozzle 3〇2, 3〇4 mounted on the circumference. The bottom of the dome 32, and a pair of intermediate gas nozzles 3〇6 are located in the top plate portion of the dome. One advantage of this architecture is that most of the different gases can be introduced into the chamber from selected locations within the chamber via nozzles 302'304 and 306. Additionally, other gases such as oxygen' or a combination of, for example, oxygen and SF4 may be introduced along the side nozzle 306 via a gas passage 3遒8 disposed adjacent the nozzle 3〇6 and other than being introduced into the chamber. Gas mixing. In general, the gas distribution ring 3丨〇 comprises a plurality of aluminum or other suitable material 314 having a majority formed therein γ埠&gt; for receiving the spray p angle and with one or more gas passages 316, Preferably, at least two of the separated vents are formed in the gas ring to supply at least two gases into the chamber. Each 埠 311 is connected to a gas distribution channel formed in the ring (3 16 or 3 18 In an embodiment of the invention, They can be connected to the channel page 19 This paper scale applies to the Chinese national standard (CNWA4 specification (210 X 297 public)) ------------- (please read the notes on the back and fill in This page) / V. Ministry of Economic Affairs Intellectual Property Bureau Staff Consumer Cooperative Printed 12481〇8 Description of the invention ('The material can be connected to another channel. This configuration allows separate gases such as hi and 〇2 to be introduced into the chamber, for example. Figure 5 is a cross-sectional view 'showing the first gas-passing 316 connected to - 埠3 14 disposed therein with a nozzle π. As shown, the gas passage 316 is formed in the wall of the chamber body. The upper surface, and preferably the entire circumference of the chamber wall. The annular gas ring has a first set of ports 32 〇 that are longitudinally seated within the ring, and the lanyard is connected to each of the ports provided with a gas distribution in the vent. When the t gas ring system is positioned on the gas channel, the path is in communication with the channel. The valve ring is sealed to the upper surface of the chamber wall, and is placed through the two-part "0 shape % 322, 324, from the channel to the outside # to prevent gas &amp; leak to 1: inside #. A polyamine fluoroacetate (Ρ ΓΡΕ), such as a furon or other similar product, is placed in a groove 32 8 in the channel to prevent gas leakage into the chamber. The nozzles 302, 034 disposed in the crucible 314 are preferably threaded and mated with the threads (to provide a seal therebetween and provide a quick and easy replacement. A defined aperture 330 is located at the end of each nozzle And can be selected to provide a desired distribution of gas within the chamber. Figure 6 shows a cross-sectional view of the second gas passage 318. The second gas passage 3 18 is formed over the annular gas distribution ring and Similarly disposed in the annular structure, in the circumference of the gas distribution ring, a horizontal placement path 323 connects the first gas to the first or most enthalpy formed in the gas ring, and other gases are disposed therein. a nozzle. The second gas passage includes a portion formed by a cover portion of the cover. The cover is supported by the dome 3 2 and sealed by the substrate 3 to the top end. The gas ring 3 10 is interlocked to the hinge to the chamber. Substrate 3 3 on the main body. Page 20 (Read the first note on the back and fill out this page) Book · 1248108 Ministry of Economic Affairs Intellectual Property Bureau Staff Consumer Cooperative Printed Β 7 Β 7 V. Invention Description () One of the advantages of the present invention The air distribution ring can be easily removed and There are a number of rafts that form a ring that receives and positions the nozzle tip at various angles to replace 'making the gas distribution condition adjustable. In other words, in some applications, it can facilitate some gas nozzles up to one of the chambers. Angle, or 'inversely it can be down in the chamber. The crucible formed in the gas distribution ring can be milled so that a desired angle can be selected to provide the desired processing result. In addition, at least two gas passages are made It is possible to separately transport at least two gases into the chamber to allow for greater control of the reactions occurring between the various gases. Furthermore, the gas reaction in the gas distribution assembly can be prevented by separately transporting the gas into the chamber. Figure 7 A cross-sectional view 'shows a central gas feed Shao 3 ί 2 disposed through the dome 3 2 . The upper gas feed 3 1 2 is preferably an oblique structure having a bottom 334 disposed on the dome The upper end and an italic body 336 are disposed in the groove; the two are formed in the groove in the dome. The two separated 〇-shaped rings 336, 3 3 8, one is below the italic 3 3 6 and one is italic 3 3 6 side facing the next 'use Providing a sealable contact between the gas feed 312 and the dome of the chamber. A 340 is formed in the lower portion of the body of the upper gas feed portion to receive the nozzle 306 for transporting gas to the chamber. At least one gas path 342 It is to be placed through the gas feeding portion 312 to transport the gas into the path. For example, the gas of oxygen can be transported along the side with, for example, SiH4 gas. The figure 8 shows the substrate base handle of the cap assembly and the gas distribution ring 310. Fig. 1 channel 350 is formed on the lower portion of the substrate substrate 3 3 to accommodate the gas distribution ring 3 10 . The gas distribution ring 3 10 is rivet or attached to the substrate 3 3 . The board is hinged to the main body of the chamber. Page 21 This paper scale applies to the Chinese National Standard (CNS) A4 specification (21〇X 297 mm) --------I---------- II ^ ---IIIII 1 ^ —II (Read the first note on the back and fill out this page) 1248108

回到弟4圖,一第一翕w 罘軋隨源3 52及一第一氣體控制器 二氣體源358及第二氣體控 第一想要氣體進入形成於配氣 3 5 4控制第一氣體妳南香敗,r· 礼、、工由&amp;路3 56進入形成於室壁中之第一 氣體通道3 1 6。同樣地, 制器3 6 0經由管路3 6 2供給 環中之第二氣體通道3 1 8 一第三氣體源364及一第三氣體控制器366經由管路 368供給第三氣體至安置於室頂上之第三嘴嘴3〇6…第 四氣體源3 7 0及一第四氛轉 罘四虱tofe制器372經由管路374供給 第四氣體至氣體路徑308。細士笙— &gt; 祕+心 ^ 、、工由罘二軋體贺嘴及第四氣體 喷嘴64引入之氣體當兩翁崎 , 矾田啕虱體迤入罜中時,係被混合於室 之上部份。 依據本發明,對於沉積氟破璃(FSG),SiF4及氧係經 由喷嘴304引入室中,而及氮係經由喷嘴3〇2引入 室中。因為喷们〇2係短於嘴嘴3G4,所以較靠近於圓頂 之内表面,經由喷嘴302所引入之氣·體於圓頂之内表面創 造較高之部份壓力,而防止經由較長噴嘴3〇4引入之氣體 沉積於圓頂之内表面。當應用至FSG處理氣體時,於圓頂 内表面之Sil之較高部份壓力減少了氟加入沉積於内表 面上。所需以提供圓頂内表面之較高部份壓力之噴嘴3〇2 之長度係由噴嘴304之長度及由來自每一噴嘴3〇2及3〇4 之處理氣體流速加以決定。較佳地,處理氣體流速係於約 5 0 s c c m及約8 0 s c c m之間,最好,處理氣體係供給進入室 中,以提供來自喷嘴302之氣體及來自噴嘴304之氣體之 大約1 : 1之比例。典型地,當來自噴嘴302及304之氣 第22頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先間讀背面之注意事項再填寫本頁) · I------訂----——i^ 經濟部智慧財產局員工消費合作社印製 !2481〇8 A7 B7 、發明說明() (請先間讀背面之注意事項再填寫本頁) 體流速相同時,相較於噴嘴3 04長度之噴嘴3 〇2之長度係 於約0·24至約0.85之比例。一般而言,對於典型2〇〇mm 基材處理室,喷嘴3 04之長度係於約2 · 5 5忖至約3 〇 5叫· 間,及噴嘴302之長度約1.75吋至約2.55对之間。 經濟部智慧財產局員工消費合作社印製 決定噴嘴302長度之另一考量是噴嘴3〇2必須提供足 夠氣體流量給被處理基材之表面,以維持處理均勻产。因 此,噴嘴302尖端至基材之距離相對於噴嘴go#尖端至其 材之距離變成一決定喷嘴302長度之因素。典型地,對於 200mm基材處理室,喷嘴304之尖端係安置於約i付至 2.5忖垂直離開安置基材支撐件上之基材(即於含喷嘴 3〇2,3 04尖端平面及含基材表面平面間之距離)。對於相 同200mm室,於噴嘴302,噴嘴3 04尖端及基材邊緣間之 水平偏置距離係典型由約0.5吋至約3忖間。例如,於 200mm基材處理室,其中基材係被安置於離開含喷嘴3〇4 及噴嘴302尖端之平面約1.78吋,噴嘴302之長度約2 55 忖,而噴嘴304之長度約3.05吋,及噴嘴3 〇2之水平偏置 距離係離開基材邊緣約1.45吋,而噴嘴304之水平偏置距 離係離開基材邊緣約0.9吋。如同另一例子,喷嘴3〇2之 長度係約1.75吋及噴嘴304之長度約2·55吋,及嘴嘴3〇2 離開基材邊緣之水平偏移距離約2.5 5吋,噴嘴3 〇4離開基 材邊緣之水平偏移距離約1.45吋。來自噴嘴3〇2,噴嘴3〇4 之處理氣體流速係相同的,於約5〇SCCm及约8〇secm之 例如,基材係被處理於前述處理室中,用以以下參數 第23頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 12481〇8Returning to Figure 4, a first 翕w rolling source 3 52 and a first gas controller two gas source 358 and a second gas control first desired gas entering the gas distribution 3 5 4 controlling the first gas In the case of Minnan, the r. rite, the work and the road 3 56 enter the first gas passage 3 16 formed in the chamber wall. Similarly, the controller 360 is supplied to the third gas source 364 via a conduit 362, and a third gas source 364 and a third gas controller 366 are supplied to the third gas via line 368 to The third nozzle 3〇6 on the top of the chamber...the fourth gas source 307 and the fourth atmosphere 虱to虱 372 are supplied to the gas path 308 via line 374.细士笙 - &gt; 秘+心^,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, Upper part. According to the present invention, for the deposition of fluorine-containing glass (FSG), SiF4 and oxygen are introduced into the chamber through the nozzle 304, and nitrogen is introduced into the chamber through the nozzle 3〇2. Since the spray 〇 2 is shorter than the mouth 3G4, the gas introduced through the nozzle 302 creates a higher partial pressure on the inner surface of the dome, and prevents the passage of the gas. The gas introduced by the nozzle 3〇4 is deposited on the inner surface of the dome. When applied to the FSG process gas, the higher portion of the pressure on the inner surface of the dome reduces the deposition of fluorine on the inner surface. The length of the nozzle 3〇2 required to provide a higher partial pressure of the inner surface of the dome is determined by the length of the nozzle 304 and by the flow rate of the process gas from each of the nozzles 3〇2 and 3〇4. Preferably, the process gas flow rate is between about 50 sccm and about 80 sccm. Preferably, the process gas system is supplied to the chamber to provide about 1 : 1 of gas from the nozzle 302 and gas from the nozzle 304. The ratio. Typically, when the nozzles 302 and 304 are on page 22, the paper size is applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) (please read the back of the back sheet and fill out this page) · I- -----Order----——i^ Printed by the Ministry of Economic Affairs Intellectual Property Bureau employee consumption cooperative! 2481〇8 A7 B7, invention description () (please read the back of the note first and then fill in this page) When the flow rate is the same, the length of the nozzle 3 〇 2 which is longer than the length of the nozzle 304 is in the ratio of about 0. 24 to about 0.85. In general, for a typical 2 mm substrate processing chamber, the length of the nozzle 304 is between about 2 · 5 5 忖 to about 3 〇 5 、, and the length of the nozzle 302 is about 1.75 吋 to about 2.55. between. Printed by the Intellectual Property Office of the Ministry of Economic Affairs, the Consumer Cooperatives. Another consideration for determining the length of the nozzle 302 is that the nozzle 3〇2 must provide sufficient gas flow to the surface of the substrate being treated to maintain uniform processing. Therefore, the distance from the tip of the nozzle 302 to the substrate relative to the distance from the tip of the nozzle go# to the material becomes a factor determining the length of the nozzle 302. Typically, for a 200 mm substrate processing chamber, the tip of the nozzle 304 is placed on a substrate that is approximately 0.5 to 2.5 inches away from the substrate support (i.e., at the tip of the nozzle 3, 2, 3, 04 and the base) The distance between the planes of the material surface). For the same 200 mm chamber, the horizontal offset distance between nozzle 302 and the edge of the substrate is typically from about 0.5 Torr to about 3 Torr. For example, in a 200 mm substrate processing chamber, wherein the substrate is placed about 1.78 Å away from the plane containing the nozzle 3〇4 and the tip of the nozzle 302, the length of the nozzle 302 is about 2 55 忖, and the length of the nozzle 304 is about 3.05 吋, And the horizontal offset distance of the nozzle 3 〇2 is about 1.45 Å away from the edge of the substrate, and the horizontal offset distance of the nozzle 304 is about 0.9 离开 away from the edge of the substrate. As another example, the length of the nozzle 3〇2 is about 1.75吋 and the length of the nozzle 304 is about 2.55吋, and the horizontal offset distance of the nozzle 3〇2 from the edge of the substrate is about 2.5 5吋, the nozzle 3 〇4 The horizontal offset distance from the edge of the substrate is about 1.45 Å. The flow rate of the process gas from the nozzle 3〇2 and the nozzle 3〇4 is the same, at about 5 〇SCCm and about 8 〇sec. For example, the substrate is processed in the aforementioned processing chamber for the following parameters. The paper scale applies to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) 12481〇8

經濟部智慧財產局員工消費合作社印製 沉和FSG ’而於基材上造成大致均勻沉積,並且,沒有氟 擴散入圓頂所造成之處理漂移。嘴嘴3 〇 2之長度是i 7 $ 叶而喷嘴304之長度約3 ·〇5吋。基材係放置於喷嘴噴嘴 302及噴嘴304之尖端下方約1.78吋。SiF4及氧係經由嘴 嘴304以約56Sccm被引入室中,而SiH4及氧係經由嘴嘴 3 02以約66sCCm引入。供給至第一線圈之電漿功率係約 1 5 0 0瓦及供給至第二線圈之電漿功率係約2 9 〇 〇瓦。於沉 積處理時’基材之溫度係被保持於約4 〇 〇它,而圓頂之溫 度係被保持1 20°C。基材支撐件係被偏壓於丨8〇〇瓦。室壓 係被保持於8毫托耳。以上述參數,沉積處理產生均勻及 足FSG沉積’具有低至3.4之k值。所沉積之FSg膜係穩 定並滿足所有所需薄膜之特性。硬體(即陶瓷圓頂)並未被 氟原子所衝擊,沒有氟原子能完全擴散穿過約1〇〇〇埃厚 之乾燥層。結果’於處理時,並未發生處理漂移,因為沒 有氟擴散穿過,以形成Ah〇xFy於圓頂上。 第9圖為依據本發明之配氣環4〗〇之另一實施例之立 體圖。多層配氣環4 1 0係大致類似於配氣環3丨〇之結構, 除了氣體喷嘴402及404之架構以外。如於第9圖所示之 配氣環4 1 0包含第一組噴嘴404,其係類似於噴嘴3 〇4及 第二組噴嘴402,其係斜向該室圓頂一角度。喷嘴402及 404係安置於另一配置中,其中三個噴嘴4〇4係安置於相 鄰斜向噴嘴402之間。第一組喷嘴404係連接至形成於配 氣環410中之第一環形通道(未示出),而第二組嘴嘴402 係連接至形成於配氣環中之第二環形通道(示於第1〇圖 第24頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公爱) ------------i I i I -------^ , ---- (請先聞讀t面之注意事項再填寫本頁) •ιάThe Intellectual Property Office of the Ministry of Economic Affairs, the Consumers' Cooperative, printed the Shen and FSG's to cause a substantially uniform deposition on the substrate, and there was no processing drift caused by the diffusion of fluorine into the dome. The length of the mouth 3 〇 2 is i 7 $ leaves and the length of the nozzle 304 is about 3 · 〇 5 吋. The substrate is placed about 1.78 inches below the tip of nozzle nozzle 302 and nozzle 304. SiF4 and oxygen were introduced into the chamber via nozzle 304 at about 56 Sccm, while SiH4 and oxygen were introduced via nozzle 022 at about 66 sCCm. The power supplied to the first coil is about 1 500 watts and the power supplied to the second coil is about 2 〇 watts. At the time of the deposition process, the temperature of the substrate was maintained at about 4 Torr, while the temperature of the dome was maintained at 1200 °C. The substrate support is biased to 8 watts. The chamber pressure system was maintained at 8 mTorr. With the above parameters, the deposition process produces a uniform and sufficient FSG deposit&apos; with a k value as low as 3.4. The deposited FSg film is stable and meets the characteristics of all desired films. The hard body (i.e., ceramic dome) is not impacted by fluorine atoms, and no fluorine atoms can completely diffuse through a dry layer of about 1 angstrom thick. As a result, no treatment drift occurred during the treatment because no fluorine diffused through to form Ah 〇 xFy on the dome. Fig. 9 is a perspective view showing another embodiment of the gas distribution ring 4 according to the present invention. The multi-layer gas distribution ring 410 is substantially similar to the structure of the gas distribution ring 3, except for the structure of the gas nozzles 402 and 404. The gas distribution ring 4 10 as shown in Figure 9 includes a first set of nozzles 404 which are similar to the nozzles 3 〇 4 and the second set of nozzles 402 which are angled obliquely toward the chamber dome. Nozzles 402 and 404 are disposed in another configuration in which three nozzles 4〇4 are disposed between adjacent oblique nozzles 402. The first set of nozzles 404 are coupled to a first annular passage (not shown) formed in the gas distribution ring 410, and the second set of nozzles 402 are coupled to a second annular passage formed in the gas distribution ring (shown On page 24 of the first page, the paper size applies to the Chinese National Standard (CNS) A4 specification (21〇X 297 public) ------------i I i I ------ -^ , ---- (Please read the notes on t-face and fill out this page) • ά

)。雖然罘9圖示出噴嘴4〇2及喷嘴4〇4之較佳配置, 仁供想要多層配氣之其他配置喷嘴可以由本發明费 出。 ^48108 卜第1 0圖為配氣環41 0之部份剖面圖,其出第二環死 乳體通:416及-斜向噴嘴402。較佳地,由含配氣環41丨 平面所量測得之斜角α係朝向室圓頂約15度至約6〇度之 1如於第10圖所示,α角係約45度。本發明之另一實 施例提供-‘组噴嘴例,其係由第二嘴嘴術斜向之相反 万向傾斜(即朝向基材)。較佳地,第—組噴嘴4〇4之斜角 係約〇度至約,25度,離開含配氣環41G之即 由約0度至約25度朝向基板)。 (以、斜“ =配氣環指將處理氣體引人處理室内,以中間厚 擴政圖末,用以使處理氣體引入經過斜向 .據:實施例,沉積用之氣破璃(叫叫及氧係被經: 策角404引入莖中,而SiH4及氨係經由喷嘴4 因為中間厚擴散圖案,例如氣及磷之氧切 分配於基材之整個表面上,造成沉積均勾度之改良勾 多層配氣環川同時完成如配氣環31 防止陶资圓頂被氣攻擊,因為經由斜向喷 理:I於接近陶瓷圓頂表面,提供較經過噴嘴4〇4引入處 理氣體4高之部份壓力。例如,如同施加至# 上,於圓頂之内表面之SiH 理氣體 積於内表面上,而防止由氣…=力減少了氣併入沉 田鼠種類造成了圓頂黑化,而 防止了於處理時室中之沉積均勾I,沉 第25頁 本紙張尺度適用中國國家標準(CNS)A4規格). Although 罘9 illustrates a preferred configuration of nozzle 4〇2 and nozzle 4〇4, other configurations of nozzles for which multiple layers of gas are desired may be incurred by the present invention. ^48108 卜第10图 is a partial cross-sectional view of the gas distribution ring 41 0, which shows a second ring of dead body passage: 416 and - oblique nozzle 402. Preferably, the angle of inclination α measured by the plane containing the valve ring 41 is about 15 degrees to about 6 degrees toward the dome of the chamber. As shown in Fig. 10, the angle α is about 45 degrees. Another embodiment of the present invention provides a &apos;group nozzle example that is diagonally inclined by the second mouthpiece (i.e., toward the substrate). Preferably, the bevel angle of the first set of nozzles 4〇4 is about 〇 to about 25 degrees, from about 0 degrees to about 25 degrees from the gas distribution ring 41G toward the substrate. (I, 斜 oblique = = gas distribution ring refers to the treatment gas introduced into the treatment room, with the middle of the thick expansion map at the end, used to introduce the process gas through the oblique direction. According to the example, the deposition of gas with glass (called And the oxygen system is introduced into the stem by the angle 404, and the SiH4 and the ammonia are distributed through the nozzle 4 due to the intermediate thick diffusion pattern, such as gas and phosphorus oxygen, which are distributed on the entire surface of the substrate, thereby improving the deposition uniformity. The multi-layer gas distribution loop is completed at the same time as the gas distribution ring 31 prevents the ceramic dome from being attacked by the gas, because the oblique spray is applied: the I is close to the ceramic dome surface, and the introduction of the processing gas 4 is higher than that through the nozzle 4〇4. Partial pressure. For example, as applied to #, the SiH qi volume on the inner surface of the dome is on the inner surface, preventing the gas from being infused into the voles by the gas...=force causing the dome to blacken, Prevents deposition in the chamber during processing. Ip. Page 25 This paper scale applies to China National Standard (CNS) A4 specifications.

-------ti---- (請先Μ讀背面之注意事項再填寫本頁) ϋ 1 I 1248108 A7 _B7__五、發明說明() 處理漂移及藏鍍均勻性之處理漂移。多層配氣環4 1 0之另 一優點是乾燥層係以較快速度沉積,因為於陶瓷圓頂之内 表面及引入乾燥氣體噴嘴間之距離減少之故。 雖然,前者已經針對本發明之較佳實施例加以說明, 但本發明之其他實施例可以在不脫離其範圍下加以完 成,本發明之範圍係由以下之申請專利範圍所決定。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第26頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)-------ti---- (Please read the note on the back and fill out this page) ϋ 1 I 1248108 A7 _B7__ V. Description of invention () Handling drift of drift and uniformity of plating. Another advantage of the multi-layer gas distribution ring 410 is that the dry layer is deposited at a faster rate because the distance between the inner surface of the ceramic dome and the nozzle for introducing dry gas is reduced. While the invention has been described with respect to the preferred embodiments of the present invention, the scope of the invention is defined by the scope of the following claims. (Please read the notes on the back and fill out this page.) Printed by the Ministry of Economic Affairs, Intellectual Property Office, Staff Consumer Cooperatives. Page 26 This paper scale applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm).

Claims (1)

月修(更)正本 D8 號專利案年月修正 六、申請專利範圍 1. 一種用以沉積一薄膜於基材上之設備,至少包含: a) —處理室; b) 多個入口配置於一配氣環上,且該些入口在該處 理室中之位置呈共平面關係;; c) 一或多個第一氣體喷嘴於離開室内表面一第一距 離處提供一第一氣體,其中該一或多個第一氣體喷嘴分 別安置於一入口中;以及 ..d)—或多個第二氣體喷嘴於離開室内表面一第二距 離處提供一第二氣體,其中該一或多個第二氣體喷嘴分別 安置於一入口中。 2. 如申請專利範圍第1項所述之設備,其中該第二氣體於 鄰近該室内表面附近創造一較高部份壓力,以防止該第 一氣體沉積於室之内表面上。 3·如申請專利範圍第1項所述之設備,其中該處理室包含 一高密度電漿化學氣相沉積室。 經濟部智慧財產局員工消費合作社印製 (請先M讀背面之注意寧項再填寫本頁) 4. 如申請專利範圍第1項所述之設備,其中該第一氣體包 含SiF4及該第二氣體包含SiH4。 5. 如申請專利範圍第1項所述之設備,其中該第二距離與 該第一距離間之比例係介於0.24至0.85之間。 第27頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)Monthly Repair (More) Original D8 Patent Case Revision 6. Application Patent Range 1. A device for depositing a film on a substrate, comprising at least: a) a processing chamber; b) a plurality of inlets disposed in one a gas distribution ring, wherein the inlets are in a coplanar relationship in the processing chamber; c) one or more first gas nozzles provide a first gas at a first distance from the indoor surface, wherein the first gas Or a plurality of first gas nozzles are respectively disposed in an inlet; and .d) or a plurality of second gas nozzles provide a second gas at a second distance from the indoor surface, wherein the one or more second Gas nozzles are respectively disposed in an inlet. 2. The apparatus of claim 1, wherein the second gas creates a higher portion of pressure adjacent the interior surface to prevent deposition of the first gas on the inner surface of the chamber. 3. The apparatus of claim 1, wherein the processing chamber comprises a high density plasma chemical vapor deposition chamber. Printed by the Intellectual Property Office of the Ministry of Economic Affairs and the Consumers' Cooperatives (please read M on the back of the page and then fill in the page). 4. The device of claim 1, wherein the first gas comprises SiF4 and the second The gas contains SiH4. 5. The apparatus of claim 1, wherein the ratio of the second distance to the first distance is between 0.24 and 0.85. Page 27 This paper scale applies to China National Standard (CNS) A4 specification (210 X 297 mm) A8B8C8D8 1248108 六、申請專利範圍 三氣體噴嘴,係以對齊中心的方式安置於該基材支撐件 之上方處。 12. 如申請專利範圍第1項所述之設備,其中該一或多個第 一氣體喷嘴係定位於與該一或多個第二氣體喷嘴呈不 同角度。 13. 如申請專利範圍第1項所述之設備,其中該一或多個第 一氣體噴嘴係離開該被處理基材之一表面一斜角,及該 一或多個第二氣體喷嘴係定位於與該基材之表面平行 的一平面中。 14. 一種用以分配於基材處理室中之氣體的設備,至少包 含·· a) 多個入口配置於一配氣環上,且該些氣體入口在 該基材處理室中之位置呈共平面關係; b) —或多個第一氣體喷嘴於離開室内表面一第一距 離處提供一第一氣體,其中該一或多個第一氣體噴嘴分 別安置於一入口中;以及 c) 一或多個第二氣體喷嘴於離開室内表面一第二距 離處提供一第二氣體,其中該一或多個第二氣體喷嘴分 別安置於一入口中,且其中該第二氣體於鄰近室内表面 處創造一較高部份壓力,以防止該第一氣體沉積於内表 面上。 第29頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------------------丨丨訂.丨丨丨丨―丨丨-線 (請先Μ讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 1248108 六、申請專利範圍 15·如申請專利範圍第14項所述之設備,其中該第二距離 與該第一距離間之比例係介於0.24至0.85之間。 16·如申請專利範圍第14項所述之設備,其中該一或多個 第一氣體噴嘴係定位於該基材支撐件周圍之上方處,及 該一或多個第二氣體喷嘴係定位於基材支撐件周圍之 上.方處。 1 7.如申請專利範圍第14項所述之設備,其中該一或多個 第一氣體喷嘴係與該一或多個第二氣體喷嘴呈不同角 度配置。 18·如申請專利範圍第14項所述之設備,其中該一或多個 第一氣體噴嘴係離開該被處理基材之表面一斜角,及該 一或多個第二氣體喷嘴係定位於與該基材之表面平行 的一平面中。 19. 一種於一化學氣相沉積室中沉積一薄膜於基材上之方 法’該方法至少包含步驟: a) 將一第一氣體經由離開室内表面一第一距離處的 一或多個第一氣體喷嘴引入; b) 將一第二氣體經由離開室内表面一第二距離處之 一或多個第二氣體喷嘴引入,其中該第二氣體於鄰近該 第30頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------_ :------------% • n n ϋ n I n I a n ϋ n ϋ I n I I 線_ (請先«讀背面之注意事項再填寫本·!) 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 1248108 六、申請專利範圍 室之内表面處創造一較高部份壓力,以防止該第一氣體 沉積於内表面上;以及 (請先«讀背面之注意寧項再填寫本頁) C)產生該些處理氣體之電漿。 20. 如申請專利範圍第19項所述之方法,更包含步驟·· d)於步驟a)之前,以一乾燥塗層塗覆室之内表面。 21. 如申請專利範圍第19項所述之方法,其中該第二距離 與該第一距離間之比例係介於0.24至0.85之間。 22. 如申請專利範圍第19項所述之方法,其中該第一氣體 係相對於基材表面以不同於第二氣體之角度被引入。 '23·如申請專利範圍第19項所述之方法,其中該第一氣體 係以50sccm至80sccm間之流速被引入,及其中該第二 氣體係以5Osccm至80sccm間之流速被引入。 24·如申請專利範圍第19項所述之方法,其中該第一氣體 包含SiF4及該第二氣體包含SiH4。 經濟部智慧財產局員工消費合作社印製 25.如申請專利範圍第19項所述之方法,其中該第一氣體 包含SiF4及氧及該第二氣體包含SiH4及氬。 26· —種分別於處理室内處理氣體之方法,至少包含步驟: 第31頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 48 12 108 六 A8 B8 C8 D8A8B8C8D8 1248108 VI. Scope of Application The three gas nozzles are placed above the substrate support in a center-aligned manner. 12. The apparatus of claim 1, wherein the one or more first gas nozzles are positioned at different angles to the one or more second gas nozzles. 13. The apparatus of claim 1, wherein the one or more first gas nozzles are at an oblique angle from a surface of the substrate to be treated, and the one or more second gas nozzles are positioned In a plane parallel to the surface of the substrate. 14. An apparatus for distributing a gas in a substrate processing chamber, comprising at least a plurality of inlets disposed on a gas distribution ring, wherein the gas inlets are in common in the substrate processing chamber a planar relationship; b) - or a plurality of first gas nozzles providing a first gas at a first distance from the interior surface, wherein the one or more first gas nozzles are respectively disposed in an inlet; and c) one or The plurality of second gas nozzles provide a second gas at a second distance from the indoor surface, wherein the one or more second gas nozzles are respectively disposed in an inlet, and wherein the second gas is created adjacent to the indoor surface A higher portion of the pressure prevents the first gas from depositing on the inner surface. Page 29 This paper scale applies to China National Standard (CNS) A4 specification (210 X 297 mm) ------------------ 丨丨 丨丨丨丨 丨丨丨丨 丨丨-line (please read the note on the back and fill out this page) Ministry of Economic Affairs Intellectual Property Bureau employee consumption cooperative printed A8 B8 C8 D8 1248108 VI. Patent application scope 15. Equipment as described in claim 14 The ratio between the second distance and the first distance is between 0.24 and 0.85. The apparatus of claim 14, wherein the one or more first gas nozzles are positioned above the substrate support and the one or more second gas nozzles are positioned Around the substrate support. The apparatus of claim 14, wherein the one or more first gas nozzles are disposed at different angles from the one or more second gas nozzles. The apparatus of claim 14, wherein the one or more first gas nozzles are at an oblique angle from a surface of the substrate to be treated, and the one or more second gas nozzles are positioned at In a plane parallel to the surface of the substrate. 19. A method of depositing a film on a substrate in a chemical vapor deposition chamber. The method comprises at least the steps of: a) passing a first gas through a first or a plurality of first distances away from the interior surface of the chamber Introducing a gas nozzle; b) introducing a second gas through a second distance from the indoor surface at a second distance or a plurality of second gas nozzles, wherein the second gas is adjacent to the 30th page of the paper scale applicable to the Chinese national standard ( CNS)A4 specification (210 X 297 mm) ------_ :------------% • nn ϋ n I n I an ϋ n ϋ I n II line _ (please First, read the precautions on the back and fill in this!! The Ministry of Economic Affairs, Intellectual Property Bureau, Staff and Consumer Cooperatives, printed A8 B8 C8 D8 1248108 6. Create a higher part of the pressure on the inner surface of the patent application room to prevent this A gas is deposited on the inner surface; and (please first read the back of the note and then fill out this page) C) Produce the plasma of the process gas. 20. The method of claim 19, further comprising the step of: d) coating the inner surface of the chamber with a dry coating prior to step a). 21. The method of claim 19, wherein the ratio of the second distance to the first distance is between 0.24 and 0.85. 22. The method of claim 19, wherein the first gas is introduced at an angle different from the second gas relative to the surface of the substrate. The method of claim 19, wherein the first gas is introduced at a flow rate between 50 sccm and 80 sccm, and wherein the second gas system is introduced at a flow rate between 5 Osccm and 80 sccm. The method of claim 19, wherein the first gas comprises SiF4 and the second gas comprises SiH4. The method of claim 19, wherein the first gas comprises SiF4 and oxygen and the second gas comprises SiH4 and argon. 26· A method for treating gas separately in a treatment chamber, comprising at least the steps: Page 31 This paper scale applies to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) 48 12 108 Six A8 B8 C8 D8 申請專利範圍 昀經由一或多個第一氣體噴嘴於離開該室内表面 第一距離處引入一第一氣體;以及 b)經由一或多個第二氣體嘴嘴於離開該室内表面 第二距離處引入一第二氣體,其中 τ硪弗—軋體於鄰近 室内表面處創造一較高部份壓力,以防止該第一氣體 積於該内表面上。 該 沉 27·如申請專利範圍第26項所述之方法,其中該第二距離 與該第一距離間之比例係介於0·24至〇 85之間。 28.如申請專利範圍第26項所述之方法,其中上述之第 氣體係相對於基材表面以不同於第二氣體之角度被 入。 引 經濟部智慧財產局員工消費合作社印製 29·如申請專利範圍第26項所述之方法,其中該第一氣體 係以50sccm至80sccm間之流速被引入,及其中該第二 氣體係以50sccm至80sccm間之流速被引入。 3 0.如申請專利範圍第26項所述之方法,其中該第一氣體 包含SiF4及氧及該第二氣體包含SiH4及氬。 第32頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇x 297公爱) i 111111--— I — -----線 (請先¾讀背面之注意事項再填寫本頁)Patent application </RTI> </ RTI> introducing a first gas at a first distance from the indoor surface via one or more first gas nozzles; and b) at a second distance from the indoor surface via one or more second gas nozzles A second gas is introduced, wherein the τ硪弗-rolling body creates a higher partial pressure at the adjacent indoor surface to prevent the first gas volume from being on the inner surface. The method of claim 26, wherein the ratio between the second distance and the first distance is between 0. 24 and 〇 85. 28. The method of claim 26, wherein the second gas system is introduced at an angle different from the second gas relative to the surface of the substrate. The method of claim 26, wherein the first gas system is introduced at a flow rate between 50 sccm and 80 sccm, and wherein the second gas system is 50 sccm. A flow rate between 80 sccm was introduced. The method of claim 26, wherein the first gas comprises SiF4 and oxygen and the second gas comprises SiH4 and argon. Page 32 This paper scale applies to China National Standard (CNS) A4 specification (21〇x 297 public) i 111111--— I — ----- line (please read the following on the back of the page)
TW88119093A 1998-11-13 1999-11-02 Gas distribution system for a CVD processing chamber TWI248108B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/191,346 US6066839A (en) 1997-11-14 1998-11-13 Temperature compensation method for a microwave oven

Publications (1)

Publication Number Publication Date
TWI248108B true TWI248108B (en) 2006-01-21

Family

ID=22705114

Family Applications (1)

Application Number Title Priority Date Filing Date
TW88119093A TWI248108B (en) 1998-11-13 1999-11-02 Gas distribution system for a CVD processing chamber

Country Status (1)

Country Link
TW (1) TWI248108B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI383120B (en) * 2006-11-21 2013-01-21 Applied Materials Inc A gas distribution assembly and a deposition apparatus
CN107690487A (en) * 2015-06-12 2018-02-13 应用材料公司 Syringe for semiconductor epitaxial growth
CN111304629A (en) * 2018-12-11 2020-06-19 北京北方华创微电子装备有限公司 Chamber assembly and reaction chamber

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI383120B (en) * 2006-11-21 2013-01-21 Applied Materials Inc A gas distribution assembly and a deposition apparatus
CN107690487A (en) * 2015-06-12 2018-02-13 应用材料公司 Syringe for semiconductor epitaxial growth
CN111304629A (en) * 2018-12-11 2020-06-19 北京北方华创微电子装备有限公司 Chamber assembly and reaction chamber

Similar Documents

Publication Publication Date Title
JP4801250B2 (en) Method for depositing a film on a substrate in a deposition chamber
JP4467191B2 (en) CVD process chamber with gas distribution system and film deposition method using the same
US6143128A (en) Apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
EP0179665B1 (en) Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US4401054A (en) Plasma deposition apparatus
US6083344A (en) Multi-zone RF inductively coupled source configuration
US5994662A (en) Unique baffle to deflect remote plasma clean gases
US20160145743A1 (en) Surface treated aluminum nitride baffle
TW202117931A (en) Gap fill deposition process
JP5608920B2 (en) Substrate ashing method using carbon dioxide / carbon monoxide based processing
US20050100682A1 (en) Method for depositing materials on a substrate
TW588401B (en) Method of plasma etching features on a dielectric layer on a substrate
JP2001244439A (en) Method of forming metal electrode
JPS6243335B2 (en)
US7371436B2 (en) Method and apparatus for depositing materials with tunable optical properties and etching characteristics
TW201250775A (en) Pattern-forming method and method for manufacturing semiconductor device
JP2023065378A (en) Deposition of metal silicon compound layer on substrate and chamber component
JP5244594B2 (en) RF coil assembly and substrate processing system
TWI248108B (en) Gas distribution system for a CVD processing chamber
JP2001345312A (en) Device and method for plasma treatment and method of manufacturing structure
JPH07226378A (en) Film forming method and plasma device using for this method
JP2743386B2 (en) Thin film formation method
TW202349460A (en) Enhanced euv underlayer effect with diffusion barrier layer
JP2020177958A (en) Substrate processing method and substrate processing device

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees