CN101414537A - 可调谐的多区气体喷射系统 - Google Patents

可调谐的多区气体喷射系统 Download PDF

Info

Publication number
CN101414537A
CN101414537A CNA2008101701889A CN200810170188A CN101414537A CN 101414537 A CN101414537 A CN 101414537A CN A2008101701889 A CNA2008101701889 A CN A2008101701889A CN 200810170188 A CN200810170188 A CN 200810170188A CN 101414537 A CN101414537 A CN 101414537A
Authority
CN
China
Prior art keywords
gas
outlet
vent
ejector
axis
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2008101701889A
Other languages
English (en)
Other versions
CN101414537B (zh
Inventor
戴维·J·库珀伯格
瓦希德·瓦海迪
道格拉斯·拉托
哈米特·辛格
尼尔·本杰明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101414537A publication Critical patent/CN101414537A/zh
Application granted granted Critical
Publication of CN101414537B publication Critical patent/CN101414537B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04DNON-POSITIVE-DISPLACEMENT PUMPS
    • F04D17/00Radial-flow pumps, e.g. centrifugal pumps; Helico-centrifugal pumps
    • F04D17/08Centrifugal pumps
    • F04D17/16Centrifugal pumps for displacing without appreciable compression
    • F04D17/168Pumps specially adapted to produce a vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

一种可调谐的多区喷射系统,用于一个等离子处理系统以便用等离子处理各个基片(13),比如各个半导体晶片。系统包括一个等离子处理室(10),一个基片支承(16)用于支承一个基片在处理室内,一个介电元件(20)具有一个内表面对着基片支承,介电元件形成处理室的一个壁,一个气体喷射器(22)固定至一部分或可移动地安装在介电窗口的一个开口内。气体喷射器包括多个气体出口,以可调节的流动率供给处理气体至处理室的多个区域,以及一个RF能源(19),比如一个平面或非平面的螺旋线圈(18),它通过介电元件与RF能源感应地耦合以及进入处理室以激励处理气体进入一个等离子状态。喷射器能够具有一个轴线上出口,以第一流动率供给处理气体至一个中心区,以及轴线外出口以一个第二流动率供给相同的处理气体至围绕中心区的一个环形区。这种排列允许改变气体的传送以满足特定的处理制度的需要,它借助允许独立地调节至处理室的多个区的气体流动。此外,与可消耗的莲蓬头排列比较,一个可移动安装的喷射器能够更容易地和更经济地更换。

Description

可调谐的多区气体喷射系统
本申请为2004年5月27日提交的、国家申请号为02823613.0且发明名称为“可调谐的多区气体喷射系统”的分案申请。
技术领域
本发明涉及一种系统和一种方法,用于在一个等离子处理系统中传送各种反应剂至一个基片,以便处理半导体基片,比如半导体晶片。更具体地说,本发明涉及一种系统和一种方法,用于由一个局部区喷射气体越过基片的中心,以增加处理的均匀性和效率。
背景技术
真空处理室通常借助供给处理气体至真空室和施加一个射频(RF)场至气体而在基片上浸蚀或化学气相沉积(CVD)材料。喷射处理气体进入室的方法可能对于基片表面上的化学反应形式的分布具有重要的作用,以及因此对于整个过程具有重要的作用。莲蓬头气体喷射和扩散运输系统是普遍使用的以保证处理气体在整个基片上均匀的分布。在感应耦合等离子浸蚀室的情况下,例如,浸蚀特点的评价在很大程度上取决于在整个基片上这些反应形式的与空间有关的密度以及在基片上的高能离子入射的分布。
美国专利U.S.Patent No.4,691,662 to Roppel et al.公开一种双等离子微波装置,用于浸蚀和沉积,其中处理气体是借助安装在处理室侧壁上的延伸越过基片的一部分的管道供给的。美国专利U.S.Patent No.5,522934 to Suzuki et al.公开一种气体喷射器装置,包括多个气体供给喷嘴,设置在基本上垂直于基片方向的多个平台上,其中惰性气体(而不是处理气体)通过室顶板的中心注入。上平台上的喷嘴比下平台上的喷嘴延伸更接近基片的中心。喷射孔位于气体供给喷嘴的末端。这些系统可以有效地传送处理气体至基片上的区域。然而,由于管道在基片和初次离子产生区之间延伸越过基片,当离子扩散由产生区至基片时,管道可能投射离子不均匀性的阴影到基片表面上。这样能够导致浸蚀和沉积均匀性的不希望的损失。
使用气体供给管道的其它方法,供给管道不延伸越过基片表面。如“Electron Cyclotron Resonance Microwave Discharges for Etching andthin-film Deposition,J.Vacuum Science and TechnologyA,Vol.7,pp.883-893(1989)by J.Asmassen”示出管道仅延伸至基片的边缘。“Low-temperature Deposition of Silicon Dioxide Films from ElectronCyclotron Resonant Microwave Plasmas,J.AppliedPhysics,Vol.65,pp.2457-2463(1989)by T.V.Herak et al.描述一个等离子CVD工具,包括多个气体喷射管道,它们供给单独的处理气体。多个管道安装在下室壁内,并带有气体传送孔位于基片支承的周边外面以及在管道的末端。这些管道排列可能引起处理漂移问题,作为管道末端加热的一个结果。
“New Approch to Low Temperature Depositon of High quality ThinFilms by Electron Cyclotron Resonance MicrowavePlasmas,J.Vac.Sci.Tech,B,Vol.10,pp.2170-2178(1992)by T.T.Chau et al.”描述一个等离子CVD工具,包括一个气体入口管道安装在下室壁内正位于基片支承周边的上面和外面。管道是弯曲的,从而使喷射轴是基本上平行于基片。一个补充的水平管道设置用于第二种处理气体。气体喷射孔位于管道的末端。带有孔的喷射器位于喷射器管道的末端可能在处理较小批的基片之后,比如少于100个,倾向于堵塞。喷射器孔的堵塞是损伤性的,因为它能够导致反应剂不均匀的分布,不均匀的薄膜沉积或基片浸蚀,总的沉积或浸蚀速率偏移,以及由于工具停机要求维修而产生的不经济性。
曾经建议各种系统以改进处理的均匀性,它借助在音速或超音速喷射处理气体,例如,使用一个单独的喷嘴对准基片的中心,如共有的美国专利所公开,见U.S.Patent No.6,230,651 to Ni et al.其它的方案使用一个莲蓬头装置,带有小孔分布,设计为产生超音速喷射。第二项设计能够改进整个基片上反应的中性密度,但是需要存在导电气体分布和挡板系统,它可以使感应耦合降级和能够成为过程的一个污染源。
美国专利U.S.Patent No.4,270,999 to Hassan et al.公开喷射处理气体用在音速等离子浸蚀和沉积用途的优点。Hassan等人指出,在喷嘴内获得音速促进由喷嘴的真空终点的一个爆炸排放,它引起在围绕基片的反应区内气体分子的高度的涡旋和均匀地弥散。
美国专利U.S Patent No.5,614,055 to Fairbairn et al.公开长形的超音速喷嘴,它以超音速喷射反应气体至覆盖基片的区域。喷嘴由室壁延伸至基片,并且每个喷嘴前端具有一个气体分布孔在末端。美国专利U.S.Patent No.4,943,345 to Asmussen et al.公开一个等离子CVD装置,包括超音速喷嘴,用于传送激励气体至基片。美国专利U.S.PatentNo.5,164,040 to Eres et al.公开脉冲的超音速喷嘴,用于CVD。虽然这些系统有意地用于改进处理的均匀性,它们遭受上面所述的缺点,这就是在喷射器的末端处孔的堵塞,它能对基片上的薄膜的均匀性产生有害影响。
某些系统已建议用于改进处理的均匀性,它借助使用多个的喷射区。共有的美国专利U.S.Patent No.6,013,155 to Mc Millin et al.公开一种RF等离子处理系统,其中气体是通过喷射器管由孔供给的,孔位于远离管子末端处的高电场线路集中。这种排列减少了孔的堵塞,因为孔的位置远离产生处理副产品堆积的区域。
美国专利U.S.Patent No.4,996,077 to Moslehi et al公开一个电子回旋加速器谐振(ECR)装置,包括气体喷射器,排列为围绕基片周边以提供均匀的非等离子气体的分布。非等离子气体的喷射是为了减少颗粒污染,以及喷射器取向为传送非等离子气体到被处理的基片表面上。
美国专利U.S.Patent No.5,252,133 to Miyazaki et al.公开一个多晶片非等离子CVD装置,包括一个垂直气体供给管,它沿纵轴有多个气体喷射孔。喷射孔沿支承多个基片的晶片舟的纵向侧面延伸,以便引导气体进入处理室。类似地,美国专利U.S.Patent No.4,992,301 to Shishiguchi etal公开多个垂直气体供给管,并沿管子的长度有多个气体喷射孔。
美国专利U.S.Patent No.6,042,687 to Singh et al描述带有两个独立的气体供给管系统。主要供给管喷射气体至基片和第二供给管喷射气体至基片周边。两个气体供给代表单独的组件,以及由单独的气体管线供给,它能够携带不同的气体混合物。具有独立的气体源和独立的气体流动控制的其它系统公开于美国专利U.S.Patent Nos.5,885,358和5,772,771。
随着工业倾向于增加基片尺寸,保证均匀的浸蚀和沉积用的方法和装置变得越来越重要。这点在平板显示器处理中尤其明显。普通的莲蓬头气体喷射系统能够传送气体至基片的中心,但是,为了定位喷射孔接近基片,工作室的高度必须减小,它能够导致均匀性不希望的损失。径向气体喷射系统可能无法提供适当的处理气体传送到大面积基片的中心,典型地发生在,例如平板处理中。在等离子处理系统中经常遇到的底泵送室设计中上述现象尤其真实。
上述的Fairbairn等人的专利也公开一个莲蓬头喷射系统,其中喷射孔位于反应器的顶板上。这种莲蓬头系统还包括多个埋置的磁铁以减少孔的堵塞。美国专利U.S.Patent No.5,134,965 to Tokuda et al.公开一个处理系统,其中处理气体是通过处理室顶板上的入口孔喷射的。气体供给至一个高密度等离子区。除了上述的系统外,美国专利U.S.PatentNo.4,614,639 to Hegedus公开一个平行板反应器,借助一个中心口供给处理气体,中心口具有一个扩口末端在顶壁上以及多个口围绕室的周边。美国专利U.S.Patent Nos.5,525,159(Hama etal)5,529,657(Ishii),5,580,385(Paranipe et al),5,540,800(Qian)和5,531,834(Ishizuka et al)公开等离子室装置,借助一个莲蓬头供给处理气体,以及由一个天线供电,它产生一个感应与室内的等离子耦合。用于提供跨过基片的均匀的气体分布的装置和系统公开于下列美国专利U.S.Patent Nos.6,263,829;6,251,187;6,143,078;5,734,143;和5,425,810。
尽管到目前为止的发展,仍需要优化射频等离子处理一个基片时的均匀性和沉积,同时防止气体供给孔的堵塞和处理副产品的堆集,以及改善在基片上面的对流运输。
发明内容
本发明提供一个等离子处理系统,它包括一个等离子处理室,一个真空泵与处理室连接,一个基片支承,在其上面的一个基片在处理室内处理,一个介电元件,其内表面对着基片支承,其中介电元件形成处理室的一个壁,一个气体喷射器延伸通过介电元件,这样使气体喷射器的末端暴露在处理室内,气体喷射器包括多个气体出口供给处理气体,它是在至少一些进入处理室的出口之间独立地改变,以及一个RF能源,它通过介电元件与RF能量感应地耦合,以及进入处理室以激励处理气体进入一个等离子状态以处理基片。本系统最好是一个高密度等离子化学气相沉积系统或一个高密度等离子浸蚀系统。
RF能源可以具有一个RF天线和气体喷射器能够喷射处理气体至室内一个主要的等离子产生区。气体出口可以位于气体喷射器的一个轴末端表面上,因此形成几个气体出口区。例如,气体出口可以包括一个中心气体出口(在轴线上区)在垂直于基片的暴露表面的轴向上延伸,以及多个倾斜的气体出口(轴线外区)在一个与轴向倾斜的一个锐角延伸。喷射器出口定位以改进跨过基片的反应形式的均匀性。一个单独的气体供给管分路以供给每个喷射区。
气体喷射能够在一个或多个喷射出口之间分配进行,这时使用在每个单独的气体供给管道内的可变流动限制装置,它供给至不同的喷射区。借助独立地改变流动限制装置的调节,通过多个区域的流动速率可以改变,以便产生相对于处理室轴线的改变的尺寸和不同角度的射流。这种在轴线上和轴线外喷射之间的平衡确定了对流场在喷嘴顶端的下游。这个流动场能够使用于改进室内的整个流动。它包括对流的和扩散的分量。作为其结果,反应形式的空间密度关系可以与改进处理均匀性的目的调制。
气体喷射器能够以一个亚音速,音速或超音速喷射处理气体。
在一个实施例中,气体喷射器包括多个平面的轴端面,它与介电窗口的内表面平齐。在另一个实施例中,气体喷射器可移动地安装在介电窗口和/或供给处理气体进入室的中心区。气体出口能够具有不同的形状和/或空间排列。例如,气体喷射器可以包括一个封闭的末端以及气体出口可以取向以相对于平行于基片的一个暴露表面的平面倾斜地以一个锐角喷射处理气体。在气体喷射器可移动地安装在介电窗口的开口内的情况下,至少用一个O形密封圈在气体喷射器和介电窗口之间密封。
本发明还提供一种等离子处理基片的方法,包括放置一个基片到处理室内的一个基片支承上,其中一个介电元件的一个内表面形成处理室的一个壁,对着基片支承,由一个气体喷射器供给处理气体进入处理室,气体喷射器延伸通过介电元件,这样使气体喷射器的末端暴露在处理室内,气体喷射器包括多个气体出口,供给处理气体进入处理室,以及激励处理气体进入一个等离子状态,它通过介电元件与由一个RF能源产生的RF能能量感应地耦合,进入处理室,处理气体是与基片暴露的表面反应的等离子相。按照本发明的一个优选的实施例,喷射器内的出口孔由多个气体供给管道供给,它由一个单独的气体源供给,通过每个供给管道的总流动的分量可以用一个控制活门装置改变,例如位于等离子室外面的一个活门和扼流元件网络;因此,在室内的流动图案借助改变喷射器内每个喷射区的传导率而调制。
附图说明
图1示出按照本发明的一个等离子处理系统;
图2a-b示出一个两区喷射器的细节,借助一个单独的主气体供给管供给处理气体,它分路以单独地供给气体至两个喷射区;
图2c示出一个两区喷射器,带有一个电传导外套;
图3a-c示出按照本发明的使用一个气体喷射装置的一个感应耦合的等离子反应器内的气体分布作用;
图4a-c示出流动率对使用一个选通浸蚀处理的空白多晶硅浸蚀速率的作用;
图5a-c示出流动率对使用一个浅槽隔离处理的空白硅浸蚀速率的作用;
图6a-b和图7a-b示出借助流动率调节的多晶硅选通和修整的光致抗蚀掩模的临界尺寸均匀性的改进;
图8a-b示出可以借助处理气体流动率的调节调谐平均的浸蚀特性。
具体实施方式
本发明提供一种改进的气体喷射系统,用于等离子处理基片,比如借助浸蚀或化学气相沉积(CVD)。喷射系统能够使用于喷射气体,比如含硅,卤素(例如F,Cl,Br等),氧,氢,氮等的气体。喷射系统能够单独地使用或与其它反应剂/惰性气体供给装置一起使用。
按照本发明的一个优选的实施例,提供一个气体喷射装置用于等离子室感应地耦合。在优选的装置内,一个气体喷射器位于室的上壁的中心处以及一个或多个气体出口传送处理气体到室内一个半导体基片的上面,比如一个晶片或被处理的平板显示器。按照本发明的气体喷射器能够改进中心至边缘的均匀性以及平均浸蚀或沉积特性,例如,临界尺寸(CD),CD偏移,型面和/或型面显微加载。
处理气体喷射进入感应耦合等离子浸蚀室的方法冲击基片表面上化学反应形式的分布。
浸蚀特点的评价在很大程度上取决于在整个基片上这些反应形式的与空间有关的密度以及在基片上的高能离子入射的分布。本发明涉及一种由一个局部区域喷射气体越过被处理的基片的中心的方法,它改进了处理性能。处理性能可以借助一些性能测量,比如浸蚀速率的均匀性,特征宽度和型面,图案转移的保真度和图案转移的均匀性。改进的性能能够达到,它是借助在喷射器出口之间分配处理气体的喷射,它设计为产生相对于处理室的轴线改变的尺寸和改变的角度的射流,例如,喷射器出口最好定位以改进跨过基片的反应形式的均匀性。最佳的气体喷射和因而最佳的处理性能可以借助通过喷射器出口的流动率的调节而达到。在一个优选的实施例中,通过在轴线上的以及轴线外的出口的流动率可以改变。这种在轴线上的和轴线外的喷射之间的平衡确定了对流场在喷嘴的顶端的下游。这个流动场能够使用于改进室内的整个流动,它包括对流的和扩散的分量。作为其结果,反应形式的空间密度关系能够被调制。喷射方案因此是可调谐的,以及喷射器和气体喷射管道的大量的污染进一步减少,它是借助保持通过出口的至少一个最小的处理气体流动,使室内产生等离子形式扩散。例如,可以希望保持通过出口的阻塞式流动。喷射方案还提供调谐气体喷射的可能性,以便优化单独多个硬件的性能。例如,对于不同的浸蚀用途(以及浸蚀用途中不同的接收阶段)希望不同的轴线上和轴线外流动率,以获得最佳的均匀性,喷射方案允许改变流动率而不需要工具的改动。
气体出口能够设置在喷射器的一个表面上,它低于、齐平或高于上室壁的表面。例如,气体喷射器能够包括一个圆柱形元件,具有气体出口在一个侧壁上以及一个单独的气体出口在其一个轴末端上,气体出口位于上壁和半导体基片的暴露的表面之间。按照本发明,使用一个位于上室壁中心部分的单独的气体喷射器可以达到改进的浸蚀结果。然而,多于一个气体喷射器能够设置在上室壁上,尤其是在这种情况下等离子是借助一个天线产生的,天线是借助一个介电层由室的内部分离的,或者窗口和/或室是使用于处理大的基片或多个基片。
气体出口的数目和/或由气体出口流出气体的喷射角度可以选择,以便在特定的基片处理制度中提供希望的气体分布。例如,在单晶片处理的情况下,室内出口孔的数目,尺寸,喷射角度和/或位置能够适合于一个特定的天线,设计使用于感应耦合RF能量进入室内,上壁和基片暴露的表面之间的间隙,以及准备在基片上进行的浸蚀处理。
图1示出一个等离子浸蚀反应器10,比如TCP 9100TM,由LamResearch Corporation制造,是本申请的代理人。按照本发明,气体喷射器安装在一个开口内,延伸通过介电窗口。真空处理室10包括一个基片支承12,通过一个静电夹头16施加一个静电夹持力至一个基片13,以及一个RF偏压至支承在其上面的一个基片,以及一个聚焦环14用于限制等离子体在基片上面的一个区域内,它是He背冷却的。一个能源用于保持室内的一个高密度(例如1011-1012ions/cm3)等离子体,比如一个天线18由一个适当的RF源供电以及附属的RF阻抗匹配电路19,感应耦合RF能量进入真空处理室10,从而提供一个高密度等离子体。真空处理室10包括适当的抽真空装置(未示出),连接至出口15,用于保持室的内部在希望的压力(例如,低于50m Torr(毫乇),典型地1-20m Torr)。一个均匀厚度的基本上平面的介电窗口20设置在天线18和处理室10的内部之间,以及在处理室的顶部形成真空壁。一个气体喷射器22设置在窗口20的一个开口内,以及多个气体出口,比如圆孔(未示出),用于传送由气体供给管23供给的处理气体至处理室10。一个任选的锥形或圆筒形衬垫30由窗口20延伸和围绕基片支承12。
在工作时,一个半导体基片,比如一个晶片位于基片支承12上,以及典型地借助一个静电夹头、机械夹头或其它夹持机构保持就位,这时使用He背冷却。随后处理气体借助使处理气体通过气体喷射器22而供给进入真空处理室10。窗口20可以是平面的和均匀厚度的,如图1所示,或者具有其它的形状,比如非平面的和/或非均匀厚度的几何形状。借助供给适当的RF功率至天线18在基片和窗口之间的空间内引发一个高密度等离子体。在单独的基片的浸蚀完成之后,经处理的基片由室内移出和另一个基片传送进入处理室进行处理。
气体喷射器22可以包括一个分离元件,由与窗口相同的或不同的材料制造。例如,气体喷射器可以用金属制造,比如铝,不锈钢或介电材料制造,比如石英,氧化铝,氮化硅,碳化硅等。按照一个优选的实施例,气体喷射器是可移动地安装在窗口的开口内。然而,气体喷射器也可以与窗口制成整体。例如,气体喷射器能够钎焊,烧结或用其它方法连接进入窗口的开口,或者气体喷射器能够机加工或用其它方法形成在窗口内,例如,窗口能够用陶瓷粉末比如Al2O3或Si3N4烧结法与气体喷射器一起成形,它设计为窗口的形状。
图2a-b示出本发明的一个实施例,其中喷射器22提供多区的气体喷射。在所示的实施例中,喷射器包括轴线上的喷射出口24,供给处理气体至一个第一区,至此区处理气体是在垂直于基片表面的一个轴向方向供给的,以及一个轴线外喷射出口26,供给处理气体至一个第二区,至此区处理气体是在不垂直于基片的一个倾斜方向供给的。两个区可以供给相同的处理气体(例如,由一个气体集气管供给的处理气体,其中一个或多个处理气体被混合)。例如,主气体供给管32可以使用一个T形接头34分路以供给两个喷射区。为了控制在每条管道内的气体流动,可变的流动限制器件36a和36b可以设置在每条单独的管道内,它供给不同的喷射区。器件36a和36b可以人工地调节或借助适当的电子控制自动化工作。根据流动限制器件36a和36b的调节的独立的改变,通过两个出口24和26的流动率能够改变。代替的实施例包括多个的出口和可变的流动限制活门和/或固定限制器的活门的网络,它应能使至每个喷射区的总传导调节至一个或多个预定的动力控制值。
在图2a的实施例中,所示中心气体喷射出口是作为中心镗孔25的继续,它允许镗孔/出口24,25使用于干涉测量。例如,镗孔25的上端能够被一个窗口27密封,它设置为与监控设备29通信,比如一个灯,摄谱仪,光学纤维和透镜装置,如美国专利U.S.Patent No.6,052,176所公开。这里列出其公开内容供参考。在这种排列中,轴线上的出口的直径比轴线外的出口的直径大,例如,在轴线上的出口为1cm直径和轴线外的出口为1mm直径。在图2b的实施例中,在轴线上的出口的直径比镗孔25的小。轴线上的出口和轴线外的出口的相对尺寸可以选择以达到一个希望的气体流动分布。例如,轴线外的出口的总横截面的面积可以小于、等于或大于轴线上的出口的总横截面的面积。
按照本发明的一个实施例,喷射器能够配置一个电传导护套,它减少喷射器的气体通道内的等离子体点火。如果喷射器是用非传导的材料制造,比如石英,在喷射器内的等离子放电能够被天线产生的电场保持。在喷射器内产生的反应形式可能引起在喷射器内部不希望的沉积或浸蚀。因此,参见图2c,为了减少保持的放电的形成,喷射器22可以设置一个电传导的护套40,镀有一层电传导薄膜。电传导护套可以位于喷射器的外表面,例如,沿着喷射器的侧壁。护套可以极大地减少喷射器内部的电场,从而防止在喷射器的气体通道内的等离子点火和/或等离子保持。如图2c所示,电传导护套40能够设计为一个管元件,比如一个圆环或一个开口的圆筒套管。护套可以任选地具有一层电传导涂层在喷射器的侧面和/或顶面(例如40’)。导电套管可以电接地或浮起,以进一步减少喷射器内的电场强度,它取决于接近其它的接地的和RF驱动的导电表面。
图3a-c示出一个感应耦合等离子反应器内喷射器流动率对反应形式密度的冲击,等离子反应器包括一个气体喷射器22,安装在窗口20的一个开口内(增加反应剂密度轮廓如箭头A所示,以及增加产物密度轮廓如箭头B所示)。
在图3a内,流动限制器件(未示出)调节为传送气体供给大部分通过轴线上的出口。在图3b内,流动限制器件(未示出)调节为传送气体供给大部分通过轴线外的出口。在图3e内,通过轴线上的出口和通过轴线外的出口供给气体流动的速率经调谐以产生对于反应剂和产物反应形式两者平坦的密度轮廓。这些图没有考虑喷射流动分布和等离子产生/密度型面之间的相互作用。反应剂使用的冲击也未示出。合理的可以假设,这种相互作用是存在的和也能冲击越过基片的等离子和反应剂中性密度型面。通过出口的流动速率可以选择以优化一个或多个等离子体和反应形式的均匀性。
按照一个优选的实施例,气体喷射器包括一个单独的轴线上的出口和多个轴线外的出口(例如,三个出口,以相距120°排列,四个出口,以相距90°排列等)。出口的排列可以使用于多晶硅浸蚀处理或铝浸蚀处理。例如,轴线外出口可以相距45°,以及位于由轴末端的周边延伸的锥形的侧面上。轴线外的角度可以形成一个与轴方向的锐角,直角或钝角。一个优选的轴线外出口的角度是相对于轴方向10至90°,更好是10至60°。
对于气体喷射器最好的安装排列是可移动的安装排列。例如,气体喷射器可以螺旋拧入窗口或借助适当的夹具夹持在窗口内。一个优选的可移动的安装排列是这样一种排列,其中气体喷射器可以简单地滑动配合入窗口,仅使用一个或几个O形密封圈在窗口和气体喷射器之间。例如,O形密封圈能够设置在围绕气体喷射器下部分的凹槽内,以提供气体喷射器和窗口的开口之间的密封。如果希望其它O形密封圈可以设置在气体喷射器的上部的凹槽内(未示出),以提供气体喷射器和窗口的外表面之间的密封。
气体喷射器有利地允许操作者改变处理气体对于一个等离子浸蚀反应器的供给排列,以优化反应器内的气体分布。例如,在等离子浸蚀铝时,希望的是将处理气体分配至等离子体内,而不是将处理气体传送至被浸蚀的基片。在等离子浸蚀多晶硅时,希望的是将处理气体分配至等离子体内以及将处理气体传送至被浸蚀的基片。
进一步的优化包括选择一个气体喷射器,它在窗口的内表面下面延伸一个希望的距离,和/或包括一个特定的气体出口排列。这就是,根据浸蚀过程,气体出口的数目,气体出口的位置,比如在轴末端上和/或沿气体喷射器的侧面,以及气体出口的角度能够选择,以提供最佳的浸蚀结果。例如,喷射的角度最好对于大尺寸的基片较大。
气体喷射器能够使用于等离子浸蚀铝,它借助喷射处理气体进入室的内部,从而使提供的气体按一个希望的分布图。作为一个实例,处理气体可以包括100至500sccm(标准立方厘米)的Cl2和BCl3或Cl2和N2或BCl3,Cl2和N2的混合物。
气体喷射器也可以使用于等离子浸蚀多晶硅,它借助喷射处理气体进入室的内部,从而提供的气体按一个希望的分布图。作为一个实例,处理气体可以包括100至500sccm的Cl2和HBr混合物,或仅Cl2,或仅HBr,或没有载体比如He和/或一个添加气体,比如O2
在处理一个半导体基片时,基片插入处理室10,以及借助一个机械的或静电的夹具夹持至一个基片支承上。基片在处理室内借助激励在处理室内的一种处理气体成为高密度等离子体而进行处理。一个能源保持室内的高密度(例如109-1012ions/cm3,最好为1010-1012ions/cm3)等离子体。例如,一个天线18,比如平面的多匝螺旋线圈,非平面的多匝线圈,一个具有其它形状的天线,由一个适当的RF源供电,以及适当的RF阻抗匹配电路感应耦合RF能量进入处理室以产生一个高密度等离子体。然而,等离子体可以借助其它的能源产生,比如ECR(电子回旋共振),平行板,螺旋形极化天线,螺旋共振器等能源。处理室可以包括一个适当的真空泵装置,用于保持室内部在一个希望的压力(例如低于5Torr,最好为1-100m Torr)。一个介电窗口,比如均匀厚度的平面介电窗口20或非平面的介电窗口,设置在天线18和处理室10的内部之间,以及形成在处理室10顶部的壁。
一个气体供给管道供给处理气体至处理室,包括上述的气体喷射器。处理气体包括反应气体和任选的载体气体,比如Ar。由于气体出口的孔尺寸和数目小,在气体喷射器和室的内部之间能够产生大的压力差。例如,当喷射器在压力>1Torr,以及室的内部在压力约10m Torr时,压力差为约100∶1。这样导致堵塞,在气体出口处以音速流动。如果希望,气体出口的内孔能够构造为在每个出口处提供超音速流动。
在音速下喷射处理气体阻止了等离子体渗透气体出口。在沉积材料,比如掺杂的或未掺杂的二氧化硅的情况下,这样的设计阻止等离子体分解气体,比如SiH4由室的内部进入喷射器。这样避免了在气体出口内随后非晶态硅残余物的形成。按照本实施例的等离子处理系统能够提供比普通的气体分配系统增加的沉积速率和改进的基片上的均匀性,它是借助在基片上面含硅处理气体的集中和借助优先地传送处理气体到基片的特定的区域。
按照本发明,一些材料的浸蚀均匀性能够改善,金属材料比如铝,导电半导体材料比如多晶硅,以及介电材料比如二氧化硅,包括光致抗蚀材料,使用卤素和卤碳基化学剂的选择性的底层材料。相反的是,通过一个莲蓬头的普通的喷射,它设置在介电窗口内或下面,能够导致跨过基片不均匀的浸蚀,例如,“中心快速抗蚀浸蚀”,它能够导致浸蚀特征和型面不良,以及在基片中心和边缘不同的特征。此外,在莲蓬头上聚合物的形成能够导致不希望的颗粒鳞片和基片污染。与莲蓬头装置有关的其它问题包括增加的费用,它涉及提供一个夹层结构用于传送气体通过窗口,温度控制,气体/等离子磨蚀莲蓬头,在莲蓬头气体出口内或莲蓬头和搭接窗口之间的间隙内等离子体的点火,缺乏处理的重复性,处理漂移等。相反,通过一个气体喷射环的边缘喷射能够导致“边缘快速浸蚀”和在室壁上的聚合物沉积。对氧化物的光致抗蚀选择性在这些情况下仅为1-4,而5-10是希望的。按照本发明的气体喷射器能够提供抗蚀性浸蚀率的均匀性的改进(典型地6%),并同时带有对氧化物的光致抗蚀选择性至少为5,最好为10或更大。因此本优选的喷射设计能够提供反应中间体和化学团更均匀地流动至基片表面,包括两种浸蚀形式,比如原子氯和氟,以及聚合形式,比如CxFyH2气体,例如CF,CF2,CF3等。
当基片尺寸增加时,也需要增加中心供给气体。由气体环装置供给气体的喷射系统不能够提供正确的处理气体传送至大面积的基片的中心,它典型地遭遇在平板处理时。这点对于在等离子处理系统中普通遇到的底泵送室设计尤其真实。在等离子浸蚀的情况下,没有按照本发明的中心气体供给,浸蚀副产品可能停滞在基片的中心上面,在此种情况下,运输基本上是单独地通过扩散。这样可以导致跨过基片不希望的不均匀浸蚀。按照本发明,处理气体是喷射在等离子区内,面对和紧接基片的中心。例如,气体喷射器的气体出口能够位于窗口的内表面下面足够远处,从而使气体出口浸入等离子体内。气体出口最好这样定位,使这里有正确的离子扩散和中性的形式,以保证一个均匀的浸蚀或沉积速率。因此,气体喷射器能够定位在这样一个区域内,其中由TCPTM线圈感应的方位电场降至零,它减少等离子产生区的扰动。并且,最好是使气体喷射器浸入一个适当的距离,比如不大于室顶板和基片之间距离的80%。这样保证由室上部区扩散的离子具有充分的空间以充填紧接气体喷射器下面的低离子密度。这样将减少在至基片的离子流内气体喷射器的任何“阴影”。
使用浸入的气体喷射器允许独立地选择中心气体供给位置和处理室的长宽比。这样有利于有效地使用处理气体和改进处理气体传送至大面积的基本的中心区,并且带有对等离子体均匀性最小的干扰。这种形状也是优越的,因为定位气体出口接近基片可以增加相对于基片上面紧接区内扩散运输的传导运输。除了改善反应剂的传送外,气体喷射器便于有效地运输浸蚀副产品移出基片区,它能够有利地影响均匀性和型面控制,尤其是在化学驱动用途中,比如铝浸蚀。
出口能够具有任何希望的形状,比如沿着整个长度的均匀的直径,或其它的形状,比如锥形,扩口表面或径向外形表面。气体出口能够取向以喷射气体在任何方向上,包括直接至基片,与基片成锐角,平行于基片或反向至上等离子边界表面(相对于喷嘴的纵轴成钝角)或它们的组合。希望的是达到一个化学团和反应中间体至基片表面的均匀的流动,以获得跨过大面积的基片均匀地浸蚀或沉积速率。如果希望,补充的气体喷射装置也可以设置接近基片的周边或其它的室壁。最好,没有尖锐的隅角存在于气体喷射器的末端,以减少接近顶端的局部电场增强。然而,也可能有这样的情况,其中这种电场增强是有利的。实例1
多晶硅浸蚀深度静力特性(平均的,标准的偏离和范围)进行测量作为轴线上和轴线外气体流动速率的一个函数。图4a-c示出一个选通浸蚀处理的浸蚀型面,其中图4a示出较高的轴线上气体喷射的作用,以及图4c示出较高的轴线外喷射的作用。占优势的是,轴线上流动条件产生一个浸蚀深度为212.9±4.7nm(±2.2%)和范围为18.3nm(±1.4%)(见图4a多晶硅浸蚀结果)。占优势的是,轴线外流动条件产生一个浸蚀深度为212.6±5.3nm(±2.5%)和范围为22.3nm(±1.7%)(见图4c多晶硅浸蚀结果)。与此对比,一个混合的流动条件产生浸蚀均匀性惊人的改善(见图4b多晶硅浸蚀结果)。在混合流动条件下,平均浸蚀深度为213.5nm(±1.1%)带有一个范围仅为7.7nm(±0.6%)。多晶硅浸蚀使用Cl2/HBr/O2混合物,总流动率为420sccm和室压力为10mT。RF天线(顶部)功率为800W,并带有一个-155V偏压在底电极上。喷射器角度为60°。
实例2
硅浸蚀深度静力特性(平均的,标准的偏离和范围)进行测量作为轴线上和轴线外气体流动速率的一个函数。图5a-c示出一个选通浸蚀处理的浸蚀速率型面,其中图5a示出较高的轴线上气体喷射的作用,占优势的是,轴线上流动条件产生一个浸蚀深度为1299A±27A(±2.1%)和范围为74A(±1.0)(见图5a多晶硅浸蚀结果)。一个混合气体流动条件产生一个浸蚀深度为1295A±23A(±1.8%)和范围为76A(±1.0%)(见图5b多晶硅浸蚀结果)。占优势的是,轴线外流动条件产生浸蚀均匀性惊人的改善(见图5c多晶硅浸蚀结果)。在轴线外流动条件下,平均浸蚀深度为1272A±14A(±1.1%),带有一个范围为41A(0.53%)。硅浸蚀使用HBr/O2混合物,室压力为40mT和一个底电极温度为60℃。RF天线(顶部)功率为1200W,并带有一个-320V偏压在底电极上。喷射器角度为45°。
实例3
图6a-b示出多晶硅的选通临界尺寸(CD)改变作为对于两个气体流速率的预浸蚀和后浸蚀之间的差。增加的轴线上流动示于图6a,与示于图6b的增加的轴线外流动比较。使用可调谐喷射导致更好的CD均匀性。尤其是,图6a所示的结果提供一个平均的CD改变为3.9nm,标准偏离为2.1nm和范围为7.5nm,而图6b所示的结果提供一个CD改变为3.4nm,标准偏离为1.6nm和范围为5.9nm。
实例4
图7a-b示出光致抗蚀性修整CD改变作为对于两个不同的气体流动率的预浸蚀和后浸蚀之间的差。使用可调谐的喷射导致更好的CD均匀性。处理使用一个Cl2/O2混合物,具有总流动100sccm,室压力为5mT和底电极温度为60℃。RF天线(顶部)功率为385W,并带有一个-34V自偏压在底电极上。喷射器角度为45°。尤其是,图7a所示的结果提供一个平均CD改变为-49.3nm,标准偏离为2.5nm和范围为9.1nm,而图7b所示的结果提供一个CD改变为-47.6nm,标准偏离为2.0nm和范围为7.5nm。
实例5
图8a-b示出多晶硅选通临界尺寸(CD)的改变作为对于两个不同的气体流动率的预浸蚀和后浸蚀之间的差。图8a示出,平均CD改变能够借助调节气体流动率而单独地调节。一个两阶段处理使用一个Cl2/O2/HBr/He/O2的混合物:在第一阶段室压力为15mT,并带有400
sccm总流动,575W天线(顶部/感应)功率,以及-138V自偏压在底电极上;在第二阶段,室压力为30mT,并带有575sccm总流动,750W天线功率,-80V自偏压在底电极上。尤其是,图8a所示的结果提供一个平均CD改变为0.1nm,标准偏离为2.4nm和范围为9.5nm,而图8b所示的结果提供一个CD改变为13.3nm,标准偏离为2.4nm和范围为8.9nm。
以上说明了本发明的原理,优选的实施例和工作方法。然而,本发明不应该局限于讨论过的特定的实施例。因此,以上所述的实施例应该理解为说明性的而不是限制性的,以及应该理解,在不脱离下列权利要求书限定的本发明的范围的条件下,技术熟练人员可以在这些实施例中做出各种改变。

Claims (39)

1.一种等离子处理系统,包括:
一个等离子处理室;
一个真空泵,连接至处理室;
一个基片支承,在其上面一个基片在处理室内处理;
一个介电元件,其内表面对着基片支承,其中介电元件形成处理室的一个壁;
一个气体喷射器,延伸通过介电元件,这样使气体喷射器的末端暴露在处理室内,气体喷射器包括多个气体出口,设置在处理室内介电元件内表面下方,所述气体出口以各种流动率供给处理气体,该流动率在进入处理室的至少某些出口之间独立地改变;以及
一个RF能源,通过介电元件将RF能量感应地耦合并进入处理室,以激励处理气体进入一个等离子状态以处理基片。
2.按照权利要求1的系统,其特征在于,该系统是一个高密度等离子化学气相沉积系统或一个高密度等离子浸蚀系统。
3.按照权利要求1的系统,其特征在于,RF能源具有一个RF天线,气体喷射器喷射处理气体至所述室内一个主要等离子产生区。
4.按照权利要求1的系统,其特征在于,气体出口包括一个单独的轴线上的出口,该轴线上的出口在气体喷射器的一个轴向末端表面上,还包括多个轴线外的出口,所述多个轴线外的出口在气体喷射器的一个侧表面上,所述轴线上出口和所述轴线外出口经由第一和第二气体管道由单个气体供给管供给处理气体,所述气体管道包括流动控制器,所述控制器独立于轴线外出口提供可调节的气体流至轴线上出口。
5.按照权利要求1的系统,其特征在于,气体出口包括一个中心气体出口,在垂直于基片的暴露表面的轴向上延伸,以及多个倾斜的气体出口,以一个锐角延伸至轴向,中心气体出口接受由第一气体管道供给的处理气体,倾斜的气体出口接受来自第二气体管道的处理气体,第一和第二气体管道接受来自相同的气体供给管的处理气体。
6.按照权利要求1的系统,其特征在于,气体喷射器以一个亚音速、音速或超音速喷射处理气体。
7.按照权利要求1的系统,其特征在于,气体喷射器包括一个平面的轴端面,该轴端面中具有一个轴线上的出口,以及所述气体喷射器包括一个锥形侧面,该锥形侧面中具有轴线外的出口,轴线上的出口接受来自喷射器的一个中心通道的处理气体,以及轴线外的出口接受来自围绕中心通道的环形通道的处理气体。
8.按照权利要求1的系统,其特征在于,气体喷射器是可移动地安装在介电元件内以及供给处理气体进入所述室的中心区。
9.按照权利要求1的系统,其特征在于,气体喷射器包括至少一个轴线上出口,该轴线上出口在轴向上喷射处理气体,所述轴向垂直于与基片的暴露的表面相平行的平面,以及所述气体喷射器包括轴线外出口,该轴线外出口以相对于与基片的暴露表面相平行的平面成锐角喷射处理气体。
10.按照权利要求1的系统,其特征在于,气体喷射器是可移动地安装在介电元件的开口内,一个真空密封件设置在气体喷射器和介电元件之间。
11.按照权利要求1的系统,其特征在于,RF能源包括一个RF天线,所述RF天线成平面或非平面螺旋线圈的形式,以及气体喷射器喷射处理气体至所述室内的一个主要的等离子产生区。
12.按照权利要求1的系统,其特征在于,一个主要的气体供给管分路为多数的气体供给管道,以供给气体出口。
13.按照权利要求1的系统,其特征在于,通过至少一些气体出口的气体流动率是使用可变的流动限制器件而独立地改变的。
14.按照权利要求1的系统,其特征在于,通过至少一些气体出口的气体流动率是使用一个活门和扼流元件网络而独立地改变的。
15.按照权利要求1的系统,其特征在于,气体喷射器还设置一个电传导护套,该电传导护套减少位于气体喷射器内的气体通道内的等离子点火。
16.一种等离子处理一个基片的方法,包括:
放置一个基片在一个处理室内的一个基片支承上,其中一个介电元件的一个内表面形成处理室的一个壁,所述壁对着基片支承;
由一个气体喷射器供给处理气体进入处理室,所述气体喷射器延伸通过介电元件,这样使气体喷射器的一个末端暴露在处理室内,气体喷射器包括多个气体出口,所述多个气体出口设置在处理室内介电元件内表面下方,所述气体出口供给处理气体进入处理室;
控制处理气体的流动率,使出口中至少一个的处理气体流动率与出口中至少另一个的处理气体流动率无关;
借助由RF能源产生的感应耦合RF能量激励处理气体成为等离子状态,通过介电元件进入处理室,处理气体是与基体的一个暴露的表面反应的等离子相。
17.按照权利要求16的方法,其特征在于,RF能源具有一个RF天线,该RF天线成平面或非平面螺旋线圈形式,气体喷射器喷射一些处理气体通过一个轴线上出口至所述处理室内一个中心区,以及通过轴线外的出口至围绕中心区的一个环形区。
18.按照权利要求16的方法,其特征在于,至少一些气体出口在不直接指向基片的暴露的表面的一个方向上喷射处理气体。
19.按照权利要求16的方法,其特征在于,气体喷射器在介电元件的一个内表面下面延伸,以及气体出口在多个方向上喷射处理气体。
20.按照权利要求16的方法,其特征在于,气体喷射器以一个亚音速、音速或超音速喷射处理气体。
21.按照权利要求16的方法,其特征在于,单独的各个基片是借助沉积或浸蚀每个基片上的一层在处理室内顺序地处理的。
22.按照权利要求16的方法,其特征在于,气体喷射器延伸进入处理室的一个中心区,以及气体出口喷射处理气体至基片的暴露的表面和介电元件的内表面之间的多个区。
23.按照权利要求16的方法,其特征在于,气体出口包括在气体喷射器的末端上的一个中心的轴线上出口和围绕着轴线上气体出口的多个轴线外出口,轴线外出口在多个不同的方向上喷射处理气体。
24.按照权利要求16的方法,其特征在于,包括借助通过气体出口喷射含氯的气体而等离子浸蚀基片上的一个铝层,至少一些气体出口在不垂直于基片的暴露表面的一个方向上喷射气体。
25.按照权利要求16的方法,其特征在于,包括借助通过在垂直于基片的暴露表面的一个轴向上的一个中心气体出口以及通过围绕中心出口的多个倾斜的气体出口喷射含氯和/或含溴气体而等离子浸蚀基片上的一个多晶硅层,倾斜的气体出口在取向与轴向成10°至90°角的各方向上喷射气体。
26.按照权利要求16的方法,其特征在于,包括借助通过在垂直于基片的暴露表面的一个轴向上的一个中心气体出口和/或通过围绕中心出口的多个倾斜的气体出口喷射含氟气体而等离子浸蚀基片上的一个氧化硅层,倾斜的气体出口在取向与轴向成10°至90°角的各方向上喷射气体。
27.按照权利要求16的方法,其特征在于,包括借助通过在垂直于基片的暴露表面的一个轴向上的一个中心气体出口以及通过围绕中心出口的多个倾斜的气体出口喷射含氯和/或含溴气体而等离子浸蚀基片上的一个多晶硅层,倾斜的气体出口在取向与轴向成10°至45°角的各方向上喷射气体。
28.按照权利要求16的方法,其特征在于,包括借助通过在垂直于基片的暴露表面的一个轴向上的一个中心气体出口和/或通过围绕中心出口的多个倾斜的气体出口喷射含氟气体而等离子浸蚀基片上的一个氧化硅层,倾斜的气体出口在取向与轴向成10°至45°角的各方向上喷射气体。
29.按照权利要求16的方法,其特征在于,一个单独的主气体供给管分路为多数气体供给管道以供给气体出口。
30.按照权利要求16的方法,其特征在于,通过至少一些气体出口的气体流动率是使用可变的流动限制器件而独立地改变的。
31.按照权利要求16的方法,其特征在于,通过至少一些气体出口的气体流动率是使用一个活门和扼流元件网络独立地改变的。
32.按照权利要求16的方法,其特征在于,通过至少一些气体出口的气流动率是独立地改变的以浸蚀基片上的一个层。
33.按照权利要求16的方法,其特征在于,通过至少一些气体出口的气体流动率是独立地改变的,以沉积一个层在基片上。
34.按照权利要求16的方法,其特征在于,气体喷射器还设置一个电传导护套,它减少位于气体喷射器内气体通道内的等离子点火。
35.一种气体喷射器,用于供给处理气体进入一个半导体处理室,包括:
一个喷射器壳体,该壳体包括一个轴端面、一个侧面、位于所述侧面中的至少第一和第二气体入口、至少第一和第二气体通道以及至少第一和第二气体出口,第一气体通道是与第一入口和第一出口流体贯通,第二气体通道是与第二入口和第二出口流体贯通,第一和第二气体通道是彼此分离的,从而提供通过第一和第二出口的独立的可调节的气体流动率,其中,所述至少一个第二气体出口包括位于喷射器壳体侧面上的多个轴线外出口。
36.按照权利要求35的喷射器,其特征在于,喷射器壳体包括一个平面的轴端面和一个锥形侧面,所述至少一个第一气体出口具有在轴端面上的一个轴线上出口,所述至少一个第二气体出口具有在锥形侧面上的轴线外出口,所述轴线上出口连接至喷射器内的中心通道以及轴线外出口连接至围绕中心通道的一个环形通道。
37.按照权利要求35的喷射器,其特征在于,还包括一个电传导护套,该电传导护套减少位于气体喷射器内的气体通道内的等离子点火。
38.按照权利要求35所述的喷射器,其特征在于,所述轴线外出口沿周向相互间隔开且轴线外出口的总数目为3个或4个。
39.按照权利要求35所述的喷射器,其特征在于,所述轴线外出口相互间隔45°。
CN2008101701889A 2001-10-15 2002-10-09 可调谐的多区气体喷射系统 Expired - Lifetime CN101414537B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US32879601P 2001-10-15 2001-10-15
US60/328,796 2001-10-15
US10/024,208 US20030070620A1 (en) 2001-10-15 2001-12-21 Tunable multi-zone gas injection system
US10/024,208 2001-12-21

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNA028236130A Division CN1639831A (zh) 2001-10-15 2002-10-09 可调谐的多区气体喷射系统

Publications (2)

Publication Number Publication Date
CN101414537A true CN101414537A (zh) 2009-04-22
CN101414537B CN101414537B (zh) 2011-11-09

Family

ID=26698179

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2008101701889A Expired - Lifetime CN101414537B (zh) 2001-10-15 2002-10-09 可调谐的多区气体喷射系统
CNA028236130A Pending CN1639831A (zh) 2001-10-15 2002-10-09 可调谐的多区气体喷射系统

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNA028236130A Pending CN1639831A (zh) 2001-10-15 2002-10-09 可调谐的多区气体喷射系统

Country Status (11)

Country Link
US (3) US20030070620A1 (zh)
EP (1) EP1444717B1 (zh)
JP (2) JP5043288B2 (zh)
KR (1) KR100954709B1 (zh)
CN (2) CN101414537B (zh)
AT (1) ATE358887T1 (zh)
AU (1) AU2002356543A1 (zh)
DE (1) DE60219343T2 (zh)
IL (2) IL161297A0 (zh)
TW (1) TW589658B (zh)
WO (1) WO2003034463A2 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103510067A (zh) * 2012-06-19 2014-01-15 威科Ald有限公司 具有多级净化结构的沉积设备中的反应器
WO2014079119A1 (zh) * 2012-11-21 2014-05-30 中国科学院微电子研究所 一种进气结构及等离子体工艺设备
CN104718603A (zh) * 2012-10-25 2015-06-17 应用材料公司 用于选择性气体注入和抽取的设备
CN105869979A (zh) * 2015-02-06 2016-08-17 株式会社日立国际电气 衬底处理装置、气体整流部、半导体器件的制造方法
CN110600356A (zh) * 2018-06-13 2019-12-20 台湾积体电路制造股份有限公司 等离子体设备、半导体制造方法以及气体输送源
CN111128667A (zh) * 2018-10-31 2020-05-08 三星电子株式会社 用于向等离子体腔室提供气体的装置和等离子体处理装置
CN113748485A (zh) * 2019-05-08 2021-12-03 Mks仪器有限公司 多边形环状等离子体源
CN113871283A (zh) * 2021-09-28 2021-12-31 北京北方华创微电子装备有限公司 半导体工艺设备及其工艺腔室
CN111128667B (zh) * 2018-10-31 2024-06-11 三星电子株式会社 用于向等离子体腔室提供气体的装置和等离子体处理装置

Families Citing this family (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
JP2005149956A (ja) * 2003-11-17 2005-06-09 Ulvac Japan Ltd 大面積高均一プラズマ処理方法及び装置
KR101025323B1 (ko) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 장치 및 에칭 방법
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
JP2006210727A (ja) * 2005-01-28 2006-08-10 Hitachi High-Technologies Corp プラズマエッチング装置およびプラズマエッチング方法
JP2008532324A (ja) * 2005-03-03 2008-08-14 アプライド マテリアルズ インコーポレイテッド 制御された処理結果分布を有するエッチング方法
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
JP4833778B2 (ja) * 2006-02-13 2011-12-07 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
CN101137266B (zh) * 2006-08-28 2012-04-11 北京北方微电子基地设备工艺研究中心有限责任公司 气体注射装置
KR20080023172A (ko) * 2006-09-08 2008-03-12 주성엔지니어링(주) 기판 가장자리 식각 장치
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
JP5074741B2 (ja) * 2006-11-10 2012-11-14 株式会社日立ハイテクノロジーズ 真空処理装置
US20080156264A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
JP5357037B2 (ja) * 2007-03-23 2013-12-04 パナソニック株式会社 プラズマドーピング装置及び方法
KR100872312B1 (ko) * 2007-05-04 2008-12-05 주식회사 디엠에스 에칭가스 제어시스템
US8144309B2 (en) * 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US20090162570A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Apparatus and method for processing a substrate using inductively coupled plasma technology
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
JP5223377B2 (ja) 2008-02-29 2013-06-26 東京エレクトロン株式会社 プラズマ処理装置用の電極、プラズマ処理装置及びプラズマ処理方法
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
CN101585019B (zh) * 2008-05-19 2013-03-27 北京北方微电子基地设备工艺研究中心有限责任公司 一种半导体加工装置以及用于该装置中的喷嘴结构
KR101223945B1 (ko) * 2008-08-18 2013-01-21 고쿠리츠 다이가쿠 호진 교토 다이가쿠 클러스터 분사식 가공 방법, 반도체 소자, 미소 기전 소자, 및 광학 부품
US8747963B2 (en) * 2009-01-23 2014-06-10 Lockheed Martin Corporation Apparatus and method for diamond film growth
US9076634B2 (en) * 2009-09-10 2015-07-07 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
US20120167962A1 (en) * 2009-09-11 2012-07-05 Ramot At Tel-Aviv University Ltd. System and method for generating a beam of particles
US20110305835A1 (en) * 2010-06-14 2011-12-15 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for a gas treatment of a number of substrates
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US20120152900A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods and apparatus for gas delivery into plasma processing chambers
US8288174B1 (en) 2011-03-24 2012-10-16 Tokyo Electron Limited Electrostatic post exposure bake apparatus and method
JP5955062B2 (ja) * 2011-04-25 2016-07-20 東京エレクトロン株式会社 プラズマ処理装置
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
JP5666991B2 (ja) * 2011-06-08 2015-02-12 東京エレクトロン株式会社 誘導結合プラズマ用アンテナユニットおよび誘導結合プラズマ処理装置
WO2013002885A1 (en) 2011-06-30 2013-01-03 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching and programmable gas delivery
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9293305B2 (en) * 2011-10-31 2016-03-22 Lam Research Corporation Mixed acid cleaning assemblies
JP5865916B2 (ja) * 2011-10-31 2016-02-17 京セラ株式会社 ガスノズル、これを用いたプラズマ装置およびガスノズルの製造方法
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US20130180954A1 (en) * 2012-01-18 2013-07-18 Applied Materials, Inc. Multi-zone direct gas flow control of a substrate processing chamber
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10541183B2 (en) 2012-07-19 2020-01-21 Texas Instruments Incorporated Spectral reflectometry window heater
CN103060777B (zh) * 2012-12-25 2014-12-31 王奉瑾 激光激发cvd镀膜设备
JP6061384B2 (ja) * 2013-01-17 2017-01-18 国立大学法人静岡大学 アルミ・樹脂接合体の製造方法及びアルミ・樹脂接合体
JP6046752B2 (ja) * 2013-01-30 2016-12-21 京セラ株式会社 ガスノズルおよびこれを用いたプラズマ装置
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US8893702B2 (en) 2013-02-20 2014-11-25 Lam Research Corporation Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
TWI473903B (zh) * 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
WO2014149200A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
US9142456B2 (en) 2013-07-30 2015-09-22 Lam Research Corporation Method for capping copper interconnect lines
US9275869B2 (en) 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
JP5917477B2 (ja) 2013-11-29 2016-05-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP6317921B2 (ja) * 2013-12-20 2018-04-25 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
TWM503056U (zh) * 2014-07-24 2015-06-11 Wen-Hsin Chiang 用於電漿反應裝置之襯套單元
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
KR20160021958A (ko) * 2014-08-18 2016-02-29 삼성전자주식회사 플라즈마 처리 장치 및 기판 처리 방법
JP6525567B2 (ja) * 2014-12-02 2019-06-05 キヤノン株式会社 インプリント装置及び物品の製造方法
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
US9966270B2 (en) * 2015-03-31 2018-05-08 Lam Research Corporation Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
WO2017165016A1 (en) * 2016-03-25 2017-09-28 Applied Materials, Inc. Chamber liner for high temperature processing
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR101872338B1 (ko) * 2016-09-28 2018-07-02 주식회사 월덱스 사파이어 소재를 이용한 고수명 이체형 가스분사장치 제조방법
FR3058162B1 (fr) * 2016-11-02 2021-01-01 Commissariat Energie Atomique Procede de depot de films minces de chalcogenure
JP7002268B2 (ja) * 2017-09-28 2022-01-20 東京エレクトロン株式会社 プラズマ処理装置
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US11077410B2 (en) * 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US10818479B2 (en) * 2017-11-12 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Grounding cap module, gas injection device and etching apparatus
CN111328174A (zh) * 2018-12-17 2020-06-23 北京北方华创微电子装备有限公司 反应腔室及等离子体产生方法
CN111383888B (zh) * 2018-12-27 2022-03-11 江苏鲁汶仪器有限公司 等离子体刻蚀机
CN111613508A (zh) * 2019-02-25 2020-09-01 北京北方华创微电子装备有限公司 进气装置及反应腔室
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
CN112713073B (zh) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种耐腐蚀气体输送部件及其等离子体处理装置
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
JP7313269B2 (ja) * 2019-12-23 2023-07-24 東京エレクトロン株式会社 プラズマ処理装置
US11854839B2 (en) 2020-04-15 2023-12-26 Mks Instruments, Inc. Valve apparatuses and related methods for reactive process gas isolation and facilitating purge during isolation
TWI767244B (zh) * 2020-05-29 2022-06-11 朗曦科技股份有限公司 半導體製程腔體之氣體噴頭
KR20220019359A (ko) * 2020-08-10 2022-02-17 주성엔지니어링(주) 가스분배유닛을 이용한 가스공급방법
KR20220021206A (ko) * 2020-08-13 2022-02-22 삼성전자주식회사 플라즈마 처리 장치
CN114121582B (zh) * 2020-08-27 2023-10-31 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法
KR20220131680A (ko) * 2021-03-22 2022-09-29 세메스 주식회사 기판 처리 장치
KR20230116436A (ko) 2022-01-28 2023-08-04 주식회사 유진테크 기판 처리 장치 및 기판 처리 방법
US20230377848A1 (en) * 2022-05-23 2023-11-23 6K Inc. Microwave plasma apparatus and methods for processing materials using an interior liner
CN114698218A (zh) * 2022-05-30 2022-07-01 中国空气动力研究与发展中心超高速空气动力研究所 瞬态等离子体电子密度分布的七通道微波干涉仪测量方法
CN115537765A (zh) * 2022-09-27 2022-12-30 盛吉盛(宁波)半导体科技有限公司 等离子体化学气相沉积装置和小尺寸沟槽填充方法

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US572771A (en) * 1896-12-08 Automatic machine-gun
JPS51144183A (en) 1975-06-06 1976-12-10 Hitachi Ltd Semiconductor element containing surface protection film
DE2608417C3 (de) 1976-03-01 1981-02-12 Degussa Ag, 6000 Frankfurt Verfahren und vorrichtung zur herstellung von russ
US4270999A (en) * 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
JPS59150417A (ja) * 1983-02-08 1984-08-28 Toshiba Corp 気相成長方法およびその装置
US4691662A (en) 1983-02-28 1987-09-08 Michigan State University Dual plasma microwave apparatus and method for treating a surface
JPS61100935A (ja) * 1984-10-23 1986-05-19 Fujitsu Ltd ドライエツチング装置
US4614639A (en) 1985-04-26 1986-09-30 Tegal Corporation Compound flow plasma reactor
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US5160543A (en) 1985-12-20 1992-11-03 Canon Kabushiki Kaisha Device for forming a deposited film
EP0308946B1 (en) 1987-09-22 1993-11-24 Nec Corporation Chemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4943345A (en) 1989-03-23 1990-07-24 Board Of Trustees Operating Michigan State University Plasma reactor apparatus and method for treating a substrate
US5104634A (en) 1989-04-20 1992-04-14 Hercules Incorporated Process for forming diamond coating using a silent discharge plasma jet process
US4980240A (en) 1989-04-20 1990-12-25 Honeywell Inc. Surface etched shadow mask
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5164040A (en) 1989-08-21 1992-11-17 Martin Marietta Energy Systems, Inc. Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets
JPH04355917A (ja) 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5252132A (en) 1990-11-22 1993-10-12 Mitsubishi Denki Kabushiki Kaisha Apparatus for producing semiconductor film
JP2839720B2 (ja) 1990-12-19 1998-12-16 株式会社東芝 熱処理装置
JPH05315290A (ja) * 1992-05-12 1993-11-26 Fujitsu Ltd ガス流量制御装置
US5531834A (en) 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
TW293983B (zh) 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5425810A (en) 1994-05-11 1995-06-20 Internation Business Machines Corporation Removable gas injectors for use in chemical vapor deposition of aluminium oxide
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5540800A (en) 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5580385A (en) 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3699142B2 (ja) * 1994-09-30 2005-09-28 アネルバ株式会社 薄膜形成装置
TW285746B (zh) 1994-10-26 1996-09-11 Matsushita Electric Ind Co Ltd
DE4440323A1 (de) 1994-11-11 1996-05-15 Sulzer Metco Ag Düse für einen Brennerkopf eines Plasmaspritzgeräts
JPH08158072A (ja) * 1994-12-02 1996-06-18 Nippon Soken Inc ドライエッチング装置
US5685942A (en) 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JP3150056B2 (ja) 1995-10-19 2001-03-26 東京エレクトロン株式会社 プラズマ処理装置
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5792569A (en) * 1996-03-19 1998-08-11 International Business Machines Corporation Magnetic devices and sensors based on perovskite manganese oxide materials
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US6077357A (en) 1997-05-29 2000-06-20 Applied Materials, Inc. Orientless wafer processing on an electrostatic chuck
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
TW416100B (en) * 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6007330A (en) 1998-03-12 1999-12-28 Cosmos Factory, Inc. Liquid precursor delivery system
US6294466B1 (en) * 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6052176A (en) * 1999-03-31 2000-04-18 Lam Research Corporation Processing chamber with optical window cleaned using process gas
US6257168B1 (en) 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
US6486069B1 (en) 1999-12-03 2002-11-26 Tegal Corporation Cobalt silicide etch process and apparatus
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
KR100607991B1 (ko) * 2004-07-07 2006-08-02 삼성전자주식회사 화상제어장치용 광센서의 광량편차보정방법 및 인쇄기의화상제어장치

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103510067A (zh) * 2012-06-19 2014-01-15 威科Ald有限公司 具有多级净化结构的沉积设备中的反应器
CN104718603A (zh) * 2012-10-25 2015-06-17 应用材料公司 用于选择性气体注入和抽取的设备
WO2014079119A1 (zh) * 2012-11-21 2014-05-30 中国科学院微电子研究所 一种进气结构及等离子体工艺设备
CN105869979A (zh) * 2015-02-06 2016-08-17 株式会社日立国际电气 衬底处理装置、气体整流部、半导体器件的制造方法
CN105869979B (zh) * 2015-02-06 2017-11-28 株式会社日立国际电气 衬底处理装置、气体整流部、半导体器件的制造方法
US11854769B2 (en) 2018-06-13 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
CN110600356B (zh) * 2018-06-13 2022-02-18 台湾积体电路制造股份有限公司 等离子体设备、半导体制造方法以及气体输送源
US11355318B2 (en) 2018-06-13 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
US11361943B2 (en) 2018-06-13 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
CN110600356A (zh) * 2018-06-13 2019-12-20 台湾积体电路制造股份有限公司 等离子体设备、半导体制造方法以及气体输送源
CN111128667A (zh) * 2018-10-31 2020-05-08 三星电子株式会社 用于向等离子体腔室提供气体的装置和等离子体处理装置
CN111128667B (zh) * 2018-10-31 2024-06-11 三星电子株式会社 用于向等离子体腔室提供气体的装置和等离子体处理装置
CN113748485A (zh) * 2019-05-08 2021-12-03 Mks仪器有限公司 多边形环状等离子体源
CN113748485B (zh) * 2019-05-08 2024-05-14 Mks仪器有限公司 多边形环状等离子体源
CN113871283A (zh) * 2021-09-28 2021-12-31 北京北方华创微电子装备有限公司 半导体工艺设备及其工艺腔室
CN113871283B (zh) * 2021-09-28 2024-05-17 北京北方华创微电子装备有限公司 半导体工艺设备及其工艺腔室

Also Published As

Publication number Publication date
CN1639831A (zh) 2005-07-13
US20100041238A1 (en) 2010-02-18
WO2003034463A3 (en) 2003-06-19
DE60219343D1 (de) 2007-05-16
US10403475B2 (en) 2019-09-03
TW589658B (en) 2004-06-01
KR20050034610A (ko) 2005-04-14
JP2005507159A (ja) 2005-03-10
CN101414537B (zh) 2011-11-09
EP1444717A2 (en) 2004-08-11
KR100954709B1 (ko) 2010-04-23
JP2011029645A (ja) 2011-02-10
JP5364054B2 (ja) 2013-12-11
DE60219343T2 (de) 2007-12-13
US20150235811A1 (en) 2015-08-20
JP5043288B2 (ja) 2012-10-10
WO2003034463A2 (en) 2003-04-24
ATE358887T1 (de) 2007-04-15
AU2002356543A1 (en) 2003-04-28
IL161297A (en) 2008-03-20
US9051647B2 (en) 2015-06-09
EP1444717B1 (en) 2007-04-04
IL161297A0 (en) 2004-09-27
US20030070620A1 (en) 2003-04-17

Similar Documents

Publication Publication Date Title
CN101414537B (zh) 可调谐的多区气体喷射系统
KR100665646B1 (ko) 플라즈마 처리용 가스 주입 시스템
US6270862B1 (en) Method for high density plasma chemical vapor deposition of dielectric films
US6013155A (en) Gas injection system for plasma processing
KR100386388B1 (ko) 반도체장치제조방법
US6185839B1 (en) Semiconductor process chamber having improved gas distributor
US8673785B2 (en) Gas distribution system having fast gas switching capabilities
CN100358080C (zh) 半导体衬底处理中所用的反应室气体分配系统及处理反应室中的衬底的方法
US6042687A (en) Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US20130008604A1 (en) Method and apparatus for enhancing flow uniformity in a process chamber
KR20000022193A (ko) 고밀도 플라즈마 화학기상증착 장치 및 그 방법
JPH07197249A (ja) 薄膜形成装置および方法
KR20220015630A (ko) 가스 분배 장치와 가스 분배 방법과 플라즈마 반응 장치 및 기판 처리 시스템

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20111109