KR100386388B1 - 반도체장치제조방법 - Google Patents

반도체장치제조방법 Download PDF

Info

Publication number
KR100386388B1
KR100386388B1 KR1019970005425A KR19970005425A KR100386388B1 KR 100386388 B1 KR100386388 B1 KR 100386388B1 KR 1019970005425 A KR1019970005425 A KR 1019970005425A KR 19970005425 A KR19970005425 A KR 19970005425A KR 100386388 B1 KR100386388 B1 KR 100386388B1
Authority
KR
South Korea
Prior art keywords
plasma
coil
chamber
semiconductor wafer
gas
Prior art date
Application number
KR1019970005425A
Other languages
English (en)
Other versions
KR970063563A (ko
Inventor
마이클 제이. 하티그
존 씨. 아놀드
Original Assignee
모토로라 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24424805&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR100386388(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 모토로라 인코포레이티드 filed Critical 모토로라 인코포레이티드
Publication of KR970063563A publication Critical patent/KR970063563A/ko
Application granted granted Critical
Publication of KR100386388B1 publication Critical patent/KR100386388B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

유도 결합 플라즈마 반응기 및 반도체 웨이퍼(28)를 처리하는 방법이 공개된다. 유도 결합 플라즈마 반응기(10)는 복수의 채널(38,44)을 가지고 있는 플라즈마 발생원(16)을 포함하고 있으며, 이때 처리 가스는 각각의 채널에 독립적으로 공급되게 된다. 가스 공급 시스템(20)은 복수의 가스 공급 라인(34,35,36)을 포함하고 있고, 이들 각각은 상기 플라즈마 발생원(16)의 복수의 채널(38,44)측에 개별적인 흐름 속도 및 혼합물의 가스를 공급할 수 있다. 각각의 채널은 독립적으로 전력 공급된 RF 코일(54,56)에 의해 둘러싸여 있으며, 이에 따라 플라즈마 밀도는 상기 플라즈마 발생원(16)의 각각의 채널(38,44)내에서 차이가 있을 수 있다. 동작 시에, 반도체 웨이퍼(28)상에 배치된 재료층(66)은 그 반도체 웨이퍼(28)의 각각의 위치(64)에서 플라즈마 특성의 국부적인 공간 제어에 의해 균일하게 에칭 또는 증착된다.

Description

반도체 장치 제조 방법
본 발명은 전반적으로 플라즈마 처리 기술에 관한 것으로, 특히 유도 결합(inductively coupled) 플라즈마 시스템과, 이에 관련된 에칭 및 증착 방법에 관한 것이다.
반도체 장치 기술이 복잡해짐에 따라, 더욱더 많은 장치 기능들이 더욱더 소형인 장치 구조에 포함되고 있는 추세이다. 장치 제조업체에서는 고정밀 장치를 위해 극대규모 집적회로(ULSI) 장치 제조에 대한 요구를 충족해 주기 위해 정교한 처리 장치를 필요로 한다. 하지만, 처리 장치가 복잡해짐에 따라 처리 비용이 따라서 증가하며, 그리고 그 처리 장치의 구입 및 유지에 많은 비용이 든다. 증가된 제조비용의 문제를 처리하기 위해, 제조업체에서는 집적회로 장치가 형성되는 반도체 기판의 크기를 늘리고 있다. 반도체 기판의 크기를 늘림으로써 제품의 단위 원가가 줄어들 수 있다. 최근에, 직경이 8" 이상인 반도체 웨이퍼는 최첨단 기술의(state-of-the-art) 제조 설비에서 흔히 볼 수 있다. 웨이퍼 직경을 늘림으로써 제조업체에서는 단일의 기판상에 다수의 장치를 제조할 수 있게 되었지만, 큰 직경의 반도체 웨이퍼에 적용될 제조 공정의 균일성을 제어하는데 많은 어려움이 따를 수 있다.
플라즈마 에칭 공정에서는, 반도체 웨이퍼의 표면에 증착되어 있는 재료층의 에칭의 균일성에 다수의 인자들이 영향을 미칠 수 있다. 이들 인자로는 플라즈마 균일성, 웨이퍼 표면에서의 이온 플럭스(ion flux) 균일성, 에칭 시스템에의 반응성 가스 공급, 및 웨이퍼 표면의 반응물의 제거 등을 들 수 있다. 일반적인 플라즈마 에칭 반응기는 주로, 플라즈마를 생성하기 위한 단일의 전원과, 처리 가스를 주입하기 위한 하나의 주입지점을 가지도록 설계된다. 시스템을 단일의 전원 및 가스 공급으로 제한함으로써, 큰 직경의 웨이퍼에서의 공정의 에칭율 균일성을 최적화하는 에칭 시스템 능력이 크게 떨어진다. 예컨대, 사실상 상기 반도체 웨이퍼의 표면에 걸쳐서 에칭 처리를 공간적으로 다르게 하는 방법은 없다. 또한, 일반적으로 플라즈마 에칭 시스템에는 구성요소의 배열이 고정되어 있는 처리 챔버가 제공되어 있다. 챔버 구조는 반도체 제조에 흔히 사용되는 특정 박막 재료의 에칭 특성에 영향을 줄 수 있기 때문에, 특정 챔버 배열은 에칭 시스템이 단지 한 종류 또는 극소수의 다른 종류의 재료를 에칭해야 하는 것으로 제한되어야 함을 말해 주고 있다.
전자 사이클로트론 공명(ECR) 에칭 및 유도 결합 플라즈마(ICP) 에칭과 같은 진보된 에칭 기술이 극소형의 크기를 가지고 있는 반도체 장치를 에칭하기 위해 개발되어 왔다. 이들 시스템은 아직도 고밀도 플라즈마를 발생할 수 있는 다이오드 시스템보다 휠씬 낮은 압력에서 동작한다. 또한, 상기 ECR 에칭 시스템 및 ICP 에칭 시스템과 같은 시스템은 반도체 기판이 높은 전계에 노출되지 않게 함으로써 종레 다이오드 에칭 시스템보다 우수한 이점을 제공한다. 상기 반응기의 플라즈마 발생 소자로부터 상기 기판을 분리함으로써, 이온 전송 효율 및 이온 이방성(ion anisotropy)이 개선될 수 있으며, 이에 따라 보다 우수한 공정 제어가 얻어진다.
플라즈마 증착 기술에서, 웨이퍼 직경이 증가함에 따라, 유사한 균일성의 제한이 존재하게 된다. 보다 양호한 증착 균일성은 매우 낮은 동작 압력에서 흔히 달성된다. 하지만, 낮은 압력에서, 균일한 두께를 가지는 큰 직경의 기판상에 박막층을 증착하기 위해서 고밀도 플라즈마를 필요로 한다.
현재, 플라즈마 에칭 시스템과 플라즈마 증착 시스템중 어느 것도 큰 직경의 반도체 기판을 가지고 에칭 및 증착 균일성 문제를 처리하기 위해 플라즈마를 공간적으로 변화시키는 어떠한 수단도 제공해 주지 않는다. 따라서, 큰 직경의 반도체 웨이퍼상에 배치되어 있는 재료층을 균일하게 에칭하기 위해서는 반응기 설계 및 에칭 처리 기술에 대한 또 다른 개발이 필요해진다.
도 1은 본 발명의 일실시예에 따라 배열된 유도 결합 플라즈마 반응기의 개략도.
도 2는 본 발명에 따라 배열된 플라즈마 발생원의 일부분에 대한 단면도.
도 3은 본 발명의 플라즈마 발생원측에 처리 가스를 전달하는데 적합한 가스 플리넘의 평면도.
도 4는 도 1에 예시된 유도 결합 플라즈마 반응기에 사용된 플라즈마 발생원의 다른 실시예에 대한 단면도.
도 5는 도 1에 도시된 유도 결합 플라즈마 반응기에 적합한 플라즈마 발생원의 또 다른 실시예에 대한 단면도.
도 6은 반도체 웨이퍼의 일반적인 모습에 대한 평면도.
도 7은 본 발명의 유도 결합 플라즈마 반응기에 에칭될, 덮는(overlying) 재료층을 가지고 있는 반도체 웨이퍼의 일부분에 대한 단면도.
※ 도면의 주요부분에 대한 부호의 설명 ※
10 : ICP 반응기(inductively coupled plasma reactor)
12 : 처리 챔버 14: 척
16 : 플라즈마 발생원 18 : RF 전력 공급 시스템
20 : 가스 공급 시스템 22 : 진공 시스템
28 : 반도체 웨이퍼
32 : RF 바이어스 전원 공급 장치
본 발명의 구현시에, 유도 결합 플라즈마 반응기와 이 유도 결합 플라즈마 반응기를 사용하여 재료층을 에칭 또는 증착하는 방법이 제공된다. 본 발명의 플라즈마 반응기는 반응챔버내에 장착된 복수의 동축 멀티플 코일 플라즈마 발생원(coaxial multiple coil plasma source)을 포함하고 있다. 이 플라즈마 발생원은 반도체 웨이퍼를 수용 및 지지할 수 있도록 구성된 척(chuck)과는 간격을 두고 있다. 상기 플라즈마 발생원은 복수의 채널을 포함하고 있고, 이들 각각의 채널은 독립적으로 제어되는 가스 공급 시스템 및 상기 채널을 둘러싸고 있는, 독립적으로 제어되는 RF 코일을 가지고 있다.
동작시에, 반도체 웨이퍼는 상기 척 상에 배치되고, 가스 제어 시스템은 상기 반응기에 플라즈마 형성 가스를 채우는 작용을 한다. 독립적인 상기 RF 코일에는 RF 전력이 인가되며, 그리고 플라즈마가 챔버 내에서 점화된다. 상기 재료층은 각각의 채널을 둘러싸고 있는 코일에서 RF 전력과 주파수, 및 상기 플라즈마 발생원내의 각각의 채널로부터 나오는 가스 흐름 속도 및 가스 화합물을 조절함으로써 에칭 균일성을 제어하는 동안 에칭된다. 이와 동일한 방법으로, 플라즈마 밀도 및 혼합물이 공간적으로 제어되면서, 기판상에 재료층이 증착되게 된다. 매우 정밀하고 균일한 에칭 및 증착은 반도체 웨이퍼의 표면을 따라서 방사상 거리에 따라 플라즈마 밀도 및 혼합물을 독립적으로 제어함으로써 얻어진다.
바람직한 실시예
이하에서는 설명의 간단화 및 명료화를 위해, 도면에 도시된 구성 요소는 반드시 일정하게 비례하여 도시되어 있지는 않다. 예컨대, 구성 요소들의 일부의 치수는 서로에 대해 확대되어 있다. 또한, 적절한 경우에는, 대응 구성 요소를 지시하기 위해 도면간에 참조부호를 반복하였다.
본 발명은 플라즈마 밀도 및 혼합물이 플라즈마 반응기 내에서 공간적으로 달라질 수 있는 유도 결합 플라즈마 반응기를 위한 것이다. 플라즈마 밀도 및 혼합물의 공간적 변화를 달성하기 위해, 개수가 달라질 수 있는 다수의 오목한 패널(recessed channel)을 가지고 있는 다중 동축 멀티플 코일 유도 플라즈마 발생원이 제공되어 있다. 각각의 채널은 독립적으로 전력이 공급되는 RF 코일에 의해둘러싸여져 있음과 아울러, 처리 가스 구멍을 포함하고 있다. 처리 가스 흐름 속도와 화합물이 플라즈마 발생원내의 각각의 채널내에서 독립적으로 달라질 수 있도록 가스 제어 기구가 제공되어 있다.
또한, 본 발명은, 재료층을 증착 또는 에칭하는 방법에 관한 것이다. 이 에칭 방법에서, 반도체 웨이퍼는 플라즈마 반응 챔버내에 장착되어 있는 척에 배치되어 있다. 이 척은 상기 플라즈마 발생원과 간격을 두고 장착되어 있으며, 이에 따라 상기 반도체 웨이퍼의 중심은 상기 플라즈마 발생원의 중심 채널에 대향 배치되어 있다. 상기 플라즈마 발생원의 채널 구조에 대해 상기 반도체 웨이퍼를 배치함으로써, 상기 플라즈마 발생원에 의해 발생된 가변 플라즈마 밀도 및 혼합물에 의해, 상기 반도체 웨이퍼에서의 에칭율의 국부적인 제어가 얻어진다. 따라서, 반도체 웨이퍼를 덮는 재료층의 에칭율은 상기 반도체 웨이퍼의 직경을 가로질러 독립적으로 달라질 수 있다.
증착 방법에서, 상기 반도체 웨이퍼는 상기 척에 배치되며, 상기 반도체 웨이퍼 상에 재료층이 증착된다. 상기 플라즈마 발생원과의 위치 일치에 의해 균일한 두께의 재료층이 상기 반도체 웨이퍼의 직경을 가로질러 플라즈마 밀도와 혼합물을 변경함으로써 증착될 수 있다.
RF 전력의 세기와 주파수의 국부적인 제어와 더불어, 처리 가스 흐름 속도 및 혼합물의 국부적인 제어를 함으로써, 본 발명의 유도 결합 플라즈마 반응기는 에칭 공정 동안에 처리 파라미터 제어의 정도를 개선할 수 있다. 또한, 본 발명의 반응기 및 방법은 큰 직경의 기판을 덮는 재료층의 에칭율 또는 증착 두께의 고정밀 제어를 위한 수단을 제공한다. 따라서, 큰 직경을 가지고 있는 반도체 웨이퍼는 본 발명에 의해 제공된 국부적인 플라즈마 밀도 제어를 통해 균일하게 처리될 수 있다.
도 1에는 ICP 반응기(10)가 도시되어 있다. 이 유도 결합 플라즈마 반응기(10)는 척(14)을 수용하고 있는 처리 챔버(12)를 포함하고 있다. 이 처리 챔버(12)의 상부에는 상기 척(14)과 공간적으로 대향하여 플라즈마 발생원(16)이 배치되어 있다. 상기 처리 챔버(12)에는 RF 전력 공급 시스템(18)으로부터 RF 전력이 공급된다. 후술되는 바와 같이, 상기 RF 전력 공급 시스템(18)은 독립적인 전력 레벨과 주파수에서 각각 동작할 수 있는 복수의 독립적인 RF 전력 공급 발생기를 포함하고 있다. 또한, 상기 처리 챔버(12)에는 가스 공급 시스템(20)으로부터 처리 가스가 공급된다. 후술되는 바와 같이, 상기 가스 공급 시스템(20)은 복수의 독립된 가스 공급 라인으로 상기 처리 챔버(12)측에 처리 가스를 공급할 수 있다. 상기 처리 챔버(12)내의 진공 압력은 진공 시스템(22)에 의해 제어된다. 반응 부산물과 처리 가스는 진공 패널(24)을 통해 상기 처리 챔버(12)로부터 배출되며, 바람직한 실시예에서 상기 진공 패널(24)은 진공 챔버(12)에서 상기 척(14) 아래에 배치되어 진공라인(26)측에 연결되어 있다. 당업자는 다른 처리 챔버 설계가 가능하고 그리고 다른 진공 포트(port) 배열이 가능함을 알 것이다. 또한, 상기 척(14)의 온도 제어는 냉각 시스템(도시되지 않음)에 의해 제공될 수 있다. 액체 냉각제 또는 가스 냉각제는 상기 척(14)내에 매립되어 있는 냉각 채널을 통해 전달될 수 있다.
동작시, 반도체 웨이퍼(28)가 상기 척(14)상에 배치되고, 처리 가스가 상기가스 공급 시스템(20)으로부터 상기 처리 챔버(12)내로 도입된다. 처리 챔버(12) 내에서의 원하는 진공 압력은 상기 진공 시스템(22)에 의해 얻어지고, RF 전력이 플라즈마(30)를 점화하는 RF 전력 공급 시스템(18)으로부터 인가된다. 플라즈마 에칭의 경우에, 상기 반도체 웨이퍼(28)상에서의 플라즈마(30)내의 이온화된 종(species)의 충격 에너지는 RF 바이어스 전원(32)으로부터 상기 척(14)측에 RF 바이어스를 인가함으로써 또한 제어된다.
도 1에 도시된 바와 같이, 플라즈마 발생원(16)은 복수의 채널을 포함하고 있으며, 이들 각각의 채널에는 독립적인 가스 공급 라인(34,35,36)에 의해 가스가 공급된다. 도 2에는 상기 플라즈마 발생원(16)의 일부분이 횡단면도로서 예시되어 있다. 상기 가스 공급 라인(36)은 내부 가스 플리넘(plenum)(40)을 통해 중앙채널(38)측에 가스를 공급한다. 가스 구멍(42)은 상기 중앙 채널(38)과 상기 내부 가스 플리넘(40)사이를 연통하도록 한다. 이와 유사하게, 가스 공급 라인(35)은 외부 가스 플기넘(46)을 통해 제 1 채널(44)측에 처리 가스를 공급한다.
도 3에 평면도로서 도시된 바와 같이, 원형 플리넘 캡(48)을 통해 처리 가스가 상기 중앙 채널(38)과 상기 제 1 채널(44)측으로 분배된다. 플리넘 캡(50)은 상기 중앙 채널(38)측으로 가스를 분배하는 내부 가스 플리넘(40)을 수용하고 있다. 따라서, 플리넘 캡(52)은 외부 가스 플리넘(46)측으로 가스를 분배한다. 상기 가스 공급 라인(36)은 플리넘 캡(50)의 중앙부에 부착되어 있다. 상기 가스 공급 라인(35)은 도 3에 도시된 바와 같이 다수의 위치에서 상기 플리넘 캡(52)에 부착될 수 있다. 이와 유사하게, 가스 구멍(43)이 상기 제 1 채널(44)의 원형 구조의주위의 다수의 위치에 제공되어 있다.
도 2 및 도 3에 도시된 바와 같이, 상기 제 1 채널(44)은 상기 중앙 채널(38)에 대해 동심원적으로 배열되어 있다. 또한, 본 발명의 일실시예에서, 플라즈마 발생원(16)내의 추가적인 채널이 상기 중앙 패널(38) 및 제 1 채널(44)에 대해 동심원적으로 배열되어 있다. 예컨대, 도 1에 도시된 맨 바깥측 채널은 상기 제 1 채널(44)에 대해 동심원적으로 배열되어 있다. 연속적인 동심원적 배역에 의해, 다수의 채널이 플라즈마(30)의 공간적 제어를 원하는 정도에 따라, 상기 플라즈마 발생원(16)내에서 구성될 수 있다.
도 2에 도시된 바와 같이, 중앙 RF 코일(54)은 상기 중앙 채널(38)을 둘러싸고 있다. 또한, 제 1 RF코일(56)은 상기 제 1 채널(44)을 둘러싸고 있다. 상기 중앙 RF코일(54)과 제 1 RF코일(56)은 상기 RF 전력 공급 시스템(18)에 의해 독립적으로 제어된다. 각각의 RF코일은 상기 채널 내에 있는 처리 가스에 독립적인 전력 레벨과 RF 주파수를 공급해 줄 수 있다. 상기 RF 코일(54,56)은 각각의 채널 내의 처리 가스로부터 절연 하우징(58)에 의해 격리되어 있다. 상기 RF 코일을 따라 흐르는 전류는 각각의 채널 내의 플라즈마를 점화하기 위해 처리 가스 종(species)과 유도 결합한다. 당업자는 각각의 RF코일에 독립적으로 전원을 공급함으로써, 그리고 각각의 채널에 독립적으로 처리 가스를 공급함으로써, 플라즈마 밀도와 혼합물이 상기 플라즈마 발생원(16)내의 각각의 채널내에서 독립적으로 조절될 수 있음을 알 수 있다.
상기 플라즈마 발생원(16)의 동심원적 채널 설계가 플라즈마 밀도와 혼합물이 국부적으로 달라질 수 있을 정도의 실질적인 제어를 제공해 주기는 하지만, 본 발명에 따라 설계된 ICP 반응기의 추가적인 실시예가 도 4 및 도 5에 예시되어 있다. 반도체 웨이퍼(28)가 경험하는 플라즈마 상태는 플라즈마 발생원(16)의 일부분과 상기 반도체 웨이퍼(28)의 표면간의 분리간격을 조절함으로써 제어될 수 있다. 도 4에 도시되어 있는 바와 같이, 중앙 채널(38)은 상기 반도체 웨이퍼(28)에 매우 인접해 있고, 제 1 채널(44)은 상기 반도체 웨이퍼(28)로부터 수직으로 떨어져 있다.
또 다른 구성이 도 5에 예시되어 있다. 본 발명의 이 실시예에서, 중앙채널(38)은 제 1 채널(44)보다 더 넓은 간격을 두고 반도체 웨이퍼(28)로부터 수직으로 떨어져 있다. 플라즈마 발생원(16)의 구성 요소와 에칭될 반도체 웨이퍼간의 수직 분리 간격을 조절함으로써, 추가적인 제어정도가 상기 반도체 웨이퍼의 표면에서의 플라즈마 상태의 변경을 위해 제공된다. 또한, 변화 가능한 플라즈마 상태가 척(14)에 인가되는 RF 바이어스의 정도를 변화시키는 것과 조합될 수 있으며, 이에 따라 반도체 웨이퍼(28)에의 이온 충격의 훨씬 정밀한 제어가 가능해진다.
본 발명의 또 다른 실시예에서, RF 차폐기가 플라즈마 발생원(16)의 각각의 코일의 외부에 배치된다. 도 5에 도시된 바와 같이, 중앙 RF 차폐기(60)는 중앙 RF 코일(54)을 둘러싸고 있고, 그리고 제 1 RF 차폐기(62)는 제 1 RF 코일(56)을 둘러싸고 있다. 이들 RF 차폐기(60,62)는 상기 플라즈마 발생원(16)에서 독립적으로 전력 공급되는 코일들간의 RF 인터페이스를 최소화시킨다. 상기 RF 차폐기는 알루미늄과 같은 전도체, 또는 페라이트 재료와 같은 고투자율의 강자성체로 구성될 수 있다.
적절한 구성 재료를 선택하더라도, 상기 RF 차폐기(60,62)는 차폐기가 둘러싸고 있는 상기 RF코일의 인접 영역에 자계를 한정함으로써 각각의 채널내의 자계를 높일 수 있다. 도 5에 예시된 특정한 ICP 반응기의 실시예에서는 차폐기(60,62)가 도시되어 있지만, 당업자는 본 발명으로부터 고안된 플라즈마 발생원의 어떠한 구조에라도 상기 차폐기(60,62)가 유사한 방법으로 포함될 수 있음을 알 수 있다.
이제, 반도체 기판 상에의 재료층의 에칭에 적용된 바와 같은 본 발명의 ICP 반응기의 처리 제어 능력에 대해 설명한다. 반도체 웨이퍼(28)의 일반화된 모습이 도 6에 평면도로서 도시되어 있다. 상기 반도체 웨이퍼(28)는 반경 "R"과 주변 "P"에 의해 특성이 부여된 일반적인 원형 구조를 가지고 있다. 상기 반도체 웨이퍼(28)는 또한 이 반도체 웨이퍼(28)의 표면상에 배치되어 방사상 길이에 의해 표시된 복수의 위치(64)에 의해 특성이 부여될 수 있다. 이 방사상 길이는 영에서부터 주변 P의 방사상 거리까지 변한다.
도 7에는 반도체 웨이퍼(28)의 일부분에 대한 단면이 예시되어 있다. 상기 반도체 웨이퍼(28)의 표면상에는 재료층(66)이 배치되어 있다. 본 발명의 처리로부터, 집적 회로 장치의 제조에 흔히 사용되는 상이한 종류의 다수의 재료의 제거를 생각하고 있다. 예컨대, 상기 재료층(66)은 다결정 실리콘, 또는 내화성 금속 규화물 등과 같은 반도체 재료가 될 수 있다. 또한, 상기 재료층(66)은 알루미늄, 실리콘이 합금된 알루미늄, 실리콘 및 구리가 합금된 알루미늄, 구리 원소 등과 같은전도성 재료일 수 있다. 또한, 상기 재료층(66)은 이산화규소, 질화규소, 실리콘 옥시니트라이드(silicon oxynitride), 보론 옥시니트라이드(boron oxynitride)등과 같은 절연 재료일 수 있다.
본 발명의 구현시에, 상기 재료층(66)이 반도체 재료인 경우에, 염소, 염화수소, 염소 처리된 할로겐화 탄소, 불소 및 불소 첨가 화합물, 클로로플루오로카본, 브롬, 브롬화 수소, 요오드, 요오드화 수소 등과 같은 할로겐화 처리 가스 및 할로겐, 및 그 혼합물이 상기 재료를 에칭하는데 사용될 수 있다. 또한, 상기 재료층(66)이 절연 재료인 경우에는, 불소, 불화 수소, 불소 첨가 할로겐화 탄소 등, 및 그 혼합물이 상기 절연 재료를 에칭하는데 사용될 수 있다. 상기 재료층(66)이 전도재료인 경우에는, 처리 가스로는 염소, 염소 처리된 붕소 화합물과 함께, 불소 첨가 화합물을 들 수 있다.
상기 재료층(66)을 에칭하기 위해, 도 6 및 도 7에 "C"로 표기된 중심점이 플라즈마 발생원(16)의 중앙 채널(38)과 대략 수직으로 배열되도록 하는 방법으로 상기 반도체 웨이퍼(28)가 ICP 반응기(10)의 척(14)상에 배치된다. 플라즈마 발생원(16)의 동심원적 배열된 채널들과 상기 반도체 웨이퍼(28)를 위치 정렬시킨 상태에서, 상기 반도제 기판(28)의 위치(64)에서의 국부적인 에칭율이 상기 플라즈마 발생원(16)에 의해 발생된, 공간적으로 변하는 플라즈마 상태에 의해 독립적으로 제어될 수 있다. 이 방법으로, 상기 재료층(66)의 에칭율의 방사상 제어가 달성되며, 이에 따라 주변 P에 인접한 재료층(66)은 상기 중심점 C에서, 그리고 상기 반도체 웨이퍼(28)의 여러 위치(64)에서 그 재료층(66)의 일부분과 동시에 에칭될 수있다.
플라즈마 증착의 경우에, 상기 재료층(66)과 같은 재료층이 반도체 웨이퍼(28)상에 증착된다. 증착을 위해, 가스 공급 시스템(20)으로부터 처리 챔버(12)내로 처리 가스가 도입되며, 이때 플라즈마 유도 반응이 행해져서 상기 반도체 웨이퍼(28)상에 박막층이 형성된다. 예컨대, 다결정 실리콘과 같은 반도체 재료를 증착하고자 하는 경우에는, 시레인(silane)과 같은 실리콘 함유 가스, 또는 디클로로 시레인과 같은 할로겐화 시레인이 도입된다. 이산화규소나 질화규소와 같은 절연 재료를 증착하고자 하는 경우에는, 테트라에틸오소시레인(TEOS), 할로겐화 시레인 및 암모니아 등과 같은 처리 가스가 도입될 수 있다. 또한, 내화성 금속, 또는 내화성 금속 규화물 재료 등이 내화성 금속를 함유한 가스를 도입해 줌으로써 증착될 수 있다.
당업자는 이상의 설명이 ICP 반응기(10)에 재료층을 에칭 또는 증착하는데에 본 발명에 의해 이용될 수 있는 상이한 다수의 처리 가스에 대한 대표적인 설명임을 알 수 있다. 본 발명으로부터, ICP 반응기에서 형성될 수 있는 모든 재료의 증착 및 에칭을 고려하고 있다.
재료층(66)을 증착하기 위해, 도 6 및 도 7에 "C"로 표기된 중심점이 플라즈마 발생원(16)의 중앙 채널(38)과 대략 수직으로 정렬되도록 하는 방법으로, 반도체 웨이퍼(28)는 ICP 반응기(10)의 척(14)상에 배치되게 된다. 플라즈마 발생원(16)의 동심윈적으로 배열된 채널들과 상기 반도체 웨이퍼(28)를 위치 정렬시킨 상태에서, 상기 반도체 기판(28)의 위치(64)에서의 국부적인 증착율은 플라즈마 발생원(16)에 의해 발생된, 공간적으로 변할 수 있는 플라즈마 상태에 의해 독립적으로 제어될 수 있다. 이 방법으로, 상기 재료층(66)의 증착율의 방사상의 제어가 달성되며, 이에 따라 주변(P)에 인접한 상기 재료층(66)의 일부분이 상기 중심점(C), 및 상기 반도체 웨이퍼(28)의 여러 위치(64)에서 상기 재료층(66)의 일부분과 동시에 형성되게 된다.
당업자는 추가적인 노력없이 본 발명을 구현할 수 있고 본 발명의 동작에 따른 이점을 완전하게 실현할 수 있다. 따라서, 다음의 예는 본 발명을 예시하는 것이며, 본 발명을 한정하는 것으로 의도되지는 않았다.
예 Ⅰ
먼저, 반도체 기판(28)상에 재료층(66)이 증착되도록 그 반도체 기판(28)에 대해 화학 증착 공정이 행해진다. 다음에, ICP 반응기(10)의 척(14)상에 상기 반도체 기판(28)이 배치된다. 에칭될 상기 재료층의 혼합물에 따라 처리 가스가 선택된다. 예컨데, 상기 재료층(66)이 다결정 실리콘인 경우에는, 염소와 같은 할로겐 가스, 및 염화 수소와 브롬화 수소와 같은 수소화 할로겐 가스가 불활성 가스 희석제와 함께 도입된다. 가스 공급 시스템(20)으로부터의 전체적인 가스 흐름은 40 sccm과 200 sccm 사이의 값으로 조절되며, 진공 시스템(22)은 처리 챔버(12)내에서 대략 1∼10 밀리토르(millitorr)의 처리압력이 달성되도록 조절된다. 다음에, RF 전력이 RF 전력 공급 시스템(18)으로부터 플라즈마 발생원(16)내의 RF코일(54,56)측으로 인가된다. 바람직하게는 대략 100∼5000 와트의 RF 전력이 상기 RF 코일(54,56)측에 인가된다. 또한, 0∼5000 와트의 RF 전력이 RF바이어스 전력 공급장치(32)로부터 상기 척(14)에 인가된다. 다음에, 공정의 완료를 위해, 상기 재료층의 플라즈마 에칭이 행해진다.
예 Ⅱ
반도체 기관(28)이 ICP 반응기(10)의 척(14)상에 배치된다. 처리 가스는 증착될 재료층의 혼합물에 따라 선택된다. 예컨대, 재료층(66)이 에피텍셜 실리콘인 경우에, 수소와 시레인이 대략 3 : 1의 흐름비로 처리 챔버(12)내로 도입된다. 가스 공급 시스템(20)으로부터의 전체적인 가스 흐름은 대략 40 sccm의 값으로 조절되고, 진공 시스템(22)은 상기 처리 챔버(12)내에서 대략 1 밀리토르 내지 25 밀리토르의 처리압력이 달성되도록 조절된다. 다음에, RF 전력이 RF 전력 공급 시스템(18)으로부터 플라즈마 발생원(16)의 RF코일(54,56)측으로 인가된다. 바람직하게는, 대략 500∼1500와트의 RF 전력이 대략 13.56 MHz의 주파수로 RF코일(54,56)에 인가된다. 또한, 대략 400∼700 ℃의 온도에서 상기 척(14)을 유지하면서 대략 0∼60 볼트의 DC전원이 상기 척(14)에 인가된다. 다음에, 공정의 완료를 위해 상기 재료층의 플라즈마 증착이 행해진다.
따라서, 본 발명에 따라 앞서 설명한 이점을 완전하게 충족해 주는, 재료층 에칭을 위한 유도 결합 플라즈마 반응기 및 방법이 제공되는 것이 명백하다. 지금까지 특정 실시예를 참조하여 본 발명에 대해 설명 및 예시하였지만, 이들 실시예에 본 발명이 한정됨을 의도한 것은 아니다. 당업자는 본 발명의 취지로부터 이탈하지 않고 변형예 및 수정예가 행해질 수 있음을 알 수 있다. 예컨대, 본 발명으로부터, 게이트 전극, 전기 접촉부, 상호 전기 접속부 등과 같은 각종 장치 구조를 만들기 위해 이전에 정의된 리소그래픽 패턴을 가지고 있는 재료층의 에칭을 고려하고 있다. 또한, 본 발명으로부터 반도체 장치에 박막층을 형성하는데 사용된 매우 다양한 재료의 증착 또는 에칭을 위해 다른 종류의 다수의 화학 작용제의 사용을 고려하고 있다. 그러므로, 첨부된 특허청구의 범위 등에 설명된 모든 변형예 및 수정예가 본 발명에 포함되도록 의도되었다.

Claims (5)

  1. 제 1 플라즈마 발생 영역 및 제 2 플라즈마 발생 영역을 가지고 있고, 상기 제 1 플라즈마 발생 영역이 주변을 가지고 있으며, 상기 제 2 플라즈마 발생 영역이 상기 제 1 플라즈마 발생 영역의 상기 주변을 둘러싸도록 구성된 유도 결합 플라즈마 반응기(10)를 제공하는 단계와;
    상기 유도 결합 플라즈마 반응기(10) 내에 반도체 기판(28)을 배치하는 단계와;
    상기 제 1 플라즈마 발생 영역 및 제 2 플라즈마 발생 영역을 이용하여 상기 유도 결합 플라즈마 반응기(10) 내에서 플라즈마(30)를 유도 발생하는 단계와;
    상기 플라즈마(30)를 사용하여 상기 유도 결합 플라즈마 반응기(10) 내에서 상기 반도체 기판(28)을 처리하는 단계를 포함하는 반도체 장치 제조 방법.
  2. 챔버, 제 1 RF 코일 및 제 2 RF 코일을 가지고 있고, 상기 제 1 RF 코일은 상기 챔버의 제 1 부분에 인접해 있고, 상기 제 2 RF 코일은 상기 챔버의 제 2 부분에 인접해 있으며, 상기 챔버의 제 1 부분은 상기 챔버의 제 2 부분으로부터 단이 지도록 구성된 유도 결합 플라즈마 반응기(10)를 제공하는 단계와;
    상기 챔비 내에 반도체 기판(28)을 배치하는 단계와;
    상기 제 1 RF 코일 및 제 2 RF 코일을 사용하여 상기 챔버 내에서 플라즈마(30)를 유도 발생하는 단계와;
    상기 플라즈마(30)를 사용하여 상기 반도제 기판(28) 상의 재료층을 에칭하는 단계를 포함하는 반도체 장치 제조 방법.
  3. 챔버, 제 1 RF 코일 및 제 2 RF 코일을 가지고 있고, 상기 제 1 RF 코일은 상기 제 2 RF 코일과 동심원적으로 배열되도록 구성된 유도 결합 플라즈마 반응기(10)를 제공하는 단계와;
    상기 챔버 내에 반도체 기판(28)을 배치하는 단계와;
    상기 제 1 RF 코일에 제 1 RF 전력을, 상기 제 2 RF 코일에 제 2 RF 전력을 인가하여 상기 챔버 내에서 플라즈마(30)를 유도 발생시키며, 상기 제 1 RF 전력이 상기 제 2 RF 전력과 동일하지 않은 단계와;
    상기 플라즈마(30)를 사용하여 상기 반도체 기판(28) 상의 재료층을 에칭하는 단계를 포함하는 반도체 장치 제조 방법.
  4. 챔버, 척, 제 1 RF 코일 및 제 2 RF 코일을 가지고 있고, 상기 척이 상기 챔버 내에 배치되도록 구성된 유도 결합 플라즈마 반응기(10)를 제공하는 단계와;
    상기 척 상에 반도체 기판(28)을 배치하는 단계와;
    상기 제 1 RF 코일에 제 1 RF 전력을, 상기 제 2 RF 코일에 제 2 RF 전력을 인가하여, 상기 챔버 내에서 플라즈마(30)를 유도 발생시키며, 상기 제 1 RF 전력이 상기 제 2 RF 전력과 동일하지 않은 단계와;
    상기 척에 RF 바이어스를 인가하는 단계와;
    상기 플라즈마(30)를 사용하여 상기 반도체 기판(28) 상의 절연층을 에칭하는 단계를 포함하는 반도체 장치 제조 방법.
  5. 챔버, 제 1 RF 코일 및 제 2 RF 코일을 가지고 있고, 상기 제 1 RF 코일은 상기 챔버의 제 1 부분의 위에 배치되어 있고, 상기 제 2 RF 코일은 상기 챔버의 제 2 부분의 위에 배치되어 있으며, 상기 챔버의 제 2 부분은 상기 챔버의 제 1 부분을 둘러싸도록 구성된 유도 결합 플라즈마 반응기(10)를 제공하는 단계와;
    상기 챔버 내에 반도체 기판(28)을 배치하는 단계와;
    상기 제 1 RF 코일 및 제 2 RF 코일을 이용하여 상기 챔버 내에서 플라즈마(30)를 유도 발생하는 단계와;
    상기 플라즈마(30)를 사용하여 상기 반도체 기판(28) 상의 재료층을 에칭하는 단계를 포함하는 반도체 장치 제조 방법.
KR1019970005425A 1996-02-22 1997-02-22 반도체장치제조방법 KR100386388B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US605,697 1996-02-22
US605.697 1996-02-22
US08/605,697 US5683548A (en) 1996-02-22 1996-02-22 Inductively coupled plasma reactor and process

Publications (2)

Publication Number Publication Date
KR970063563A KR970063563A (ko) 1997-09-12
KR100386388B1 true KR100386388B1 (ko) 2003-08-14

Family

ID=24424805

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970005425A KR100386388B1 (ko) 1996-02-22 1997-02-22 반도체장치제조방법

Country Status (8)

Country Link
US (1) US5683548A (ko)
EP (1) EP0792947B1 (ko)
JP (1) JP3959145B2 (ko)
KR (1) KR100386388B1 (ko)
DE (1) DE69734619T2 (ko)
MX (1) MX9700586A (ko)
SG (1) SG63686A1 (ko)
TW (1) TW373226B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100481311B1 (ko) * 2002-09-19 2005-04-07 최대규 플라즈마 프로세스 챔버
KR100849763B1 (ko) 2001-01-23 2008-07-31 웨이퍼마스터스, 인코퍼레이티드 플라즈마 생성 장치 및 방법

Families Citing this family (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
JP4654176B2 (ja) * 1996-02-22 2011-03-16 住友精密工業株式会社 誘導結合プラズマ・リアクタ
JPH09251935A (ja) * 1996-03-18 1997-09-22 Applied Materials Inc プラズマ点火装置、プラズマを用いる半導体製造装置及び半導体装置のプラズマ点火方法
US5951773A (en) * 1996-03-18 1999-09-14 Hyundai Electronics Industries Co., Ltd. Inductively coupled plasma chemical vapor deposition apparatus
EP0805475B1 (en) * 1996-05-02 2003-02-19 Tokyo Electron Limited Plasma processing apparatus
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
JP3640478B2 (ja) * 1996-09-20 2005-04-20 アネルバ株式会社 プラズマ処理装置
EP0838839B1 (en) * 1996-09-27 2008-05-21 Surface Technology Systems Plc Plasma processing apparatus
DE19643865C2 (de) * 1996-10-30 1999-04-08 Schott Glas Plasmaunterstütztes chemisches Abscheidungsverfahren (CVD) mit entfernter Anregung eines Anregungsgases (Remote-Plasma-CVD-Verfahren) zur Beschichtung oder zur Behandlung großflächiger Substrate und Vorrichtung zur Durchführung desselben
US5981899A (en) * 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US6267074B1 (en) * 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JP3483725B2 (ja) * 1997-04-02 2004-01-06 株式会社日立製作所 プラズマ処理装置及び処理方法
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US5982100A (en) * 1997-07-28 1999-11-09 Pars, Inc. Inductively coupled plasma reactor
JP3814813B2 (ja) * 1997-09-01 2006-08-30 株式会社エフオーアイ プラズマ発生装置
US6028395A (en) * 1997-09-16 2000-02-22 Lam Research Corporation Vacuum plasma processor having coil with added conducting segments to its peripheral part
JP4104193B2 (ja) * 1997-11-14 2008-06-18 株式会社エフオーアイ プラズマ処理装置
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6136165A (en) * 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
JP4001355B2 (ja) * 1998-03-02 2007-10-31 株式会社エフオーアイ プラズマ発生装置
JP3868620B2 (ja) * 1998-03-02 2007-01-17 株式会社エフオーアイ プラズマ発生装置
US6273022B1 (en) 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US5980686A (en) * 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
JP3567736B2 (ja) * 1998-05-25 2004-09-22 株式会社日立製作所 プラズマ処理装置
US6204607B1 (en) * 1998-05-28 2001-03-20 Applied Komatsu Technology, Inc. Plasma source with multiple magnetic flux sources each having a ferromagnetic core
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6218640B1 (en) * 1999-07-19 2001-04-17 Timedomain Cvd, Inc. Atmospheric pressure inductive plasma apparatus
JP4046207B2 (ja) * 1998-08-06 2008-02-13 株式会社エフオーアイ プラズマ処理装置
US6235640B1 (en) * 1998-09-01 2001-05-22 Lam Research Corporation Techniques for forming contact holes through to a silicon layer of a substrate
JP4056144B2 (ja) * 1998-09-10 2008-03-05 株式会社エフオーアイ プラズマ処理装置
US6218251B1 (en) 1998-11-06 2001-04-17 Advanced Micro Devices, Inc. Asymmetrical IGFET devices with spacers formed by HDP techniques
KR100311234B1 (ko) * 1999-01-18 2001-11-02 학교법인 인하학원 고품위 유도결합 플라즈마 리액터
US6348389B1 (en) * 1999-03-11 2002-02-19 Taiwan Semiconductor Manufacturing Company Method of forming and etching a resist protect oxide layer including end-point etch
US6165567A (en) * 1999-04-12 2000-12-26 Motorola, Inc. Process of forming a semiconductor device
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
JP3385528B2 (ja) 1999-07-06 2003-03-10 日本電気株式会社 ドライエッチング装置とドライエッチング方法
US6368988B1 (en) * 1999-07-16 2002-04-09 Micron Technology, Inc. Combined gate cap or digit line and spacer deposition using HDP
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
EP1230664B1 (en) * 1999-11-15 2008-05-07 Lam Research Corporation Processing systems
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
DE19960092A1 (de) * 1999-12-14 2001-07-12 Bosch Gmbh Robert Beschichtungsverfahren
US6367412B1 (en) * 2000-02-17 2002-04-09 Applied Materials, Inc. Porous ceramic liner for a plasma source
WO2001065895A2 (en) * 2000-03-01 2001-09-07 Tokyo Electron Limited Electrically controlled plasma uniformity in a high density plasma source
JP2001267305A (ja) * 2000-03-17 2001-09-28 Hitachi Ltd プラズマ処理装置
JP2002008996A (ja) 2000-06-23 2002-01-11 Mitsubishi Heavy Ind Ltd 給電アンテナ及び給電方法
US6632322B1 (en) 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
US6459066B1 (en) 2000-08-25 2002-10-01 Board Of Regents, The University Of Texas System Transmission line based inductively coupled plasma source with stable impedance
US6875700B2 (en) * 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
JP2002100623A (ja) * 2000-09-20 2002-04-05 Fuji Daiichi Seisakusho:Kk 薄膜半導体製造装置
US6471830B1 (en) 2000-10-03 2002-10-29 Veeco/Cvc, Inc. Inductively-coupled-plasma ionized physical-vapor deposition apparatus, method and system
JP4120561B2 (ja) * 2000-10-03 2008-07-16 松下電器産業株式会社 プラズマ処理方法およびプラズマ処理装置
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
US7510664B2 (en) * 2001-01-30 2009-03-31 Rapt Industries, Inc. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces
JP4471514B2 (ja) * 2001-02-26 2010-06-02 株式会社エフオーアイ プラズマ処理装置
US6652711B2 (en) 2001-06-06 2003-11-25 Tokyo Electron Limited Inductively-coupled plasma processing system
TW586155B (en) * 2001-07-19 2004-05-01 Matsushita Electric Ind Co Ltd Dry etching method and apparatus
KR200253559Y1 (ko) * 2001-07-30 2001-11-22 주식회사 플라즈마트 회전방향으로 균일한 플라즈마 밀도를 발생시키는유도결합형 플라즈마 발생장치의 안테나구조
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6660177B2 (en) * 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
US6887341B2 (en) * 2001-11-13 2005-05-03 Tokyo Electron Limited Plasma processing apparatus for spatial control of dissociation and ionization
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
KR100500852B1 (ko) * 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
DE10247913A1 (de) * 2002-10-14 2004-04-22 Robert Bosch Gmbh Plasmaanlage und Verfahren zum anisotropen Einätzen von Strukturen in ein Substrat
KR100469890B1 (ko) * 2002-10-24 2005-02-02 주식회사 아이피에스 건식식각용 반도체 제조장치
KR100488348B1 (ko) * 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7371992B2 (en) * 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
KR100532365B1 (ko) * 2003-04-10 2005-11-30 주식회사 아이피에스 균일한 플라즈마를 제공하는 유도 결합형 다중 코일플라즈마 소스
US7297892B2 (en) * 2003-08-14 2007-11-20 Rapt Industries, Inc. Systems and methods for laser-assisted plasma processing
US7304263B2 (en) * 2003-08-14 2007-12-04 Rapt Industries, Inc. Systems and methods utilizing an aperture with a reactive atom plasma torch
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US20050255245A1 (en) * 2004-01-13 2005-11-17 Fanton Mark A Method and apparatus for the chemical vapor deposition of materials
KR100661350B1 (ko) * 2004-12-27 2006-12-27 삼성전자주식회사 Mems 소자 패키지 및 그 제조방법
JP4961111B2 (ja) * 2005-02-28 2012-06-27 富士フイルム株式会社 光電変換膜積層型固体撮像素子とその製造方法
KR100709354B1 (ko) * 2005-06-17 2007-04-20 삼성전자주식회사 다채널 플라즈마 가속장치
KR100689848B1 (ko) * 2005-07-22 2007-03-08 삼성전자주식회사 기판처리장치
JP4593413B2 (ja) 2005-09-15 2010-12-08 株式会社日立ハイテクノロジーズ プラズマ処理方法及び処理装置
JP4405973B2 (ja) * 2006-01-17 2010-01-27 キヤノンアネルバ株式会社 薄膜作製装置
KR101501426B1 (ko) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 차압 측정들에 의한 가스 유동 제어
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
JP4094040B2 (ja) * 2006-08-18 2008-06-04 株式会社エフオーアイ プラズマ発生装置
US7829815B2 (en) * 2006-09-22 2010-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable electrodes and coils for plasma density distribution control
JP2008091750A (ja) * 2006-10-04 2008-04-17 Hitachi Kokusai Electric Inc アッシング装置
WO2008100314A1 (en) * 2007-02-15 2008-08-21 Applied Materials, Inc. System and method for chemical vapor deposition process control
JP5413202B2 (ja) * 2007-02-15 2014-02-12 アプライド マテリアルズ インコーポレイテッド 平坦及び3次元のpecvd被覆において局所的分圧を制御するための局所的直線マイクロ波ソースアレイポンピング
JP4932857B2 (ja) 2007-02-16 2012-05-16 ラム リサーチ コーポレーション 誘導コイル、プラズマ発生装置およびプラズマ発生方法
US20080236491A1 (en) 2007-03-30 2008-10-02 Tokyo Electron Limited Multiflow integrated icp source
US7976674B2 (en) * 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
GB0713821D0 (en) 2007-07-17 2007-08-29 P2I Ltd A plasma deposition apparatus
US8021487B2 (en) * 2007-12-12 2011-09-20 Veeco Instruments Inc. Wafer carrier with hub
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP5262206B2 (ja) * 2008-03-12 2013-08-14 豊田合成株式会社 Iii族窒化物半導体層の製造方法及びiii族窒化物半導体発光素子の製造方法
US7994724B2 (en) * 2009-03-27 2011-08-09 Ecole Polytechnique Inductive plasma applicator
FI124414B (fi) * 2010-04-30 2014-08-29 Beneq Oy Lähde ja järjestely substraatin käsittelemiseksi
US20110278260A1 (en) * 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
JP5735232B2 (ja) * 2010-08-02 2015-06-17 株式会社イー・エム・ディー プラズマ処理装置
US9793126B2 (en) * 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US20130059092A1 (en) * 2011-09-07 2013-03-07 Applied Materials, Inc. Method and apparatus for gas distribution and plasma application in a linear deposition chamber
US10271416B2 (en) * 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
DE102012017453A1 (de) 2012-09-04 2014-03-06 Manz Ag Plasmabehandlungseinrichtung und Verfahren zur Behandlung zumindest eines Substrats
CN103906338B (zh) * 2012-12-31 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子体装置
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
GB201309583D0 (en) 2013-05-29 2013-07-10 Spts Technologies Ltd Apparatus for processing a semiconductor workpiece
KR101526507B1 (ko) * 2013-11-15 2015-06-09 피에스케이 주식회사 기판 처리 장치 및 방법
US20170092470A1 (en) * 2015-09-28 2017-03-30 Applied Materials, Inc. Plasma reactor for processing a workpiece with an array of plasma point sources
JP6857799B2 (ja) * 2016-03-29 2021-04-14 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法、電子デバイスの製造方法
KR102015381B1 (ko) * 2017-03-29 2019-08-29 세메스 주식회사 플라즈마 발생 유닛 및 이를 포함하는 기판 처리 장치
KR101932859B1 (ko) * 2017-10-16 2019-03-20 성균관대학교산학협력단 플라즈마 소스 및 이를 이용한 플라즈마 발생장치
US11177067B2 (en) * 2018-07-25 2021-11-16 Lam Research Corporation Magnetic shielding for plasma sources
JP7169885B2 (ja) * 2019-01-10 2022-11-11 東京エレクトロン株式会社 誘導結合プラズマ処理装置
KR102189337B1 (ko) * 2019-07-17 2020-12-09 주식회사 유진테크 플라즈마 처리 장치
US11150120B2 (en) * 2019-09-22 2021-10-19 Applied Materials, Inc. Low temperature thermal flow ratio controller
US20210098230A1 (en) * 2019-09-27 2021-04-01 Applied Materials, Inc. Monolithic modular high-frequency plasma source
TWI714366B (zh) * 2019-11-26 2020-12-21 聚昌科技股份有限公司 線圈垂直位置可動態調整之蝕刻機結構
JP2022049494A (ja) * 2020-09-16 2022-03-29 キオクシア株式会社 半導体製造装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59129772A (ja) * 1983-01-18 1984-07-26 Ushio Inc 光化学蒸着装置
DE3830249A1 (de) * 1988-09-06 1990-03-15 Schott Glaswerke Plasmaverfahren zum beschichten ebener substrate
US5091049A (en) * 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5449432A (en) * 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100849763B1 (ko) 2001-01-23 2008-07-31 웨이퍼마스터스, 인코퍼레이티드 플라즈마 생성 장치 및 방법
KR100481311B1 (ko) * 2002-09-19 2005-04-07 최대규 플라즈마 프로세스 챔버

Also Published As

Publication number Publication date
MX9700586A (es) 1997-08-30
EP0792947A2 (en) 1997-09-03
SG63686A1 (en) 1999-03-30
TW373226B (en) 1999-11-01
KR970063563A (ko) 1997-09-12
EP0792947A3 (en) 1999-04-14
DE69734619D1 (de) 2005-12-22
EP0792947B1 (en) 2005-11-16
US5683548A (en) 1997-11-04
JPH09237698A (ja) 1997-09-09
JP3959145B2 (ja) 2007-08-15
DE69734619T2 (de) 2006-06-08

Similar Documents

Publication Publication Date Title
KR100386388B1 (ko) 반도체장치제조방법
MXPA97000586A (es) Reactor de plasma acoplado inductivamente yproceso para fabricar un dispositivo semiconductor
EP1444717B1 (en) Tunable multi-zone gas injection system
US6415736B1 (en) Gas distribution apparatus for semiconductor processing
US6499425B1 (en) Quasi-remote plasma processing method and apparatus
KR101494469B1 (ko) 반도체 기판을 균일하게 에칭하기 위한 가스 주입
US5824605A (en) Gas dispersion window for plasma apparatus and method of use thereof
KR100697158B1 (ko) 반도체 처리공정을 위한 가스 분산 장치 및 기판의 처리 방법
US8383002B2 (en) Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
KR101901158B1 (ko) 금속 및 금속 산화물 막들의 에칭을 위한 방법들
US20080023143A1 (en) Capacitively coupled plasma reactor with magnetic plasma control
US20090056629A1 (en) Cathode liner with wafer edge gas injection in a plasma reactor chamber
KR19980071217A (ko) Hdp-cvd 챔버용 플라즈마 소오스
KR20110038150A (ko) 필드 강화 유도 결합 플라즈마 반응기
JP5250611B2 (ja) 誘導結合プラズマ・リアクタ
CA2387432C (en) Method and apparatus for etching and deposition using micro-plasmas
KR20210033442A (ko) 에칭 방법 및 에칭 장치
JP7329131B2 (ja) プラズマ処理装置およびプラズマ処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131114

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20140521

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20150519

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20160510

Year of fee payment: 14

EXPY Expiration of term