KR100697158B1 - 반도체 처리공정을 위한 가스 분산 장치 및 기판의 처리 방법 - Google Patents

반도체 처리공정을 위한 가스 분산 장치 및 기판의 처리 방법 Download PDF

Info

Publication number
KR100697158B1
KR100697158B1 KR1020017016763A KR20017016763A KR100697158B1 KR 100697158 B1 KR100697158 B1 KR 100697158B1 KR 1020017016763 A KR1020017016763 A KR 1020017016763A KR 20017016763 A KR20017016763 A KR 20017016763A KR 100697158 B1 KR100697158 B1 KR 100697158B1
Authority
KR
South Korea
Prior art keywords
gas
showerhead
baffle plate
gas supply
baffle
Prior art date
Application number
KR1020017016763A
Other languages
English (en)
Other versions
KR20020028921A (ko
Inventor
딘드사라진더
하오팡리
렌쯔에릭
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Publication of KR20020028921A publication Critical patent/KR20020028921A/ko
Application granted granted Critical
Publication of KR100697158B1 publication Critical patent/KR100697158B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체 기판의 표면에 걸쳐 균일하게 또는 불균일하게 가스를 분산시킬 수 있는 가스 분산 시스템을 제공한다. 가스 분산 시스템은 지지 플레이트(20) 및 샤워헤드(20)가 함께 고정되어 이들사이에 가스 분산 챔버(24)를 정의한다. 배플 에셈블리(26)는 가스 분산 챔버내에 위치한 하나 또는 그 이상의 배플 플레이트를 포함한다. 배플 배열은 배플 챔버의 중앙 영역(42)에 공정 가스를 공급하는 제1 가스 공급부(40) 및 배플 챔버의 주변 영역(46)에 제2 공정 가스를 공급하는 제2 가스 공급부(44)를 구비한다. 제1 및 제2 가스 공급부의 배출구에 인접한 부위에서의 가스 압력이 더 크기 때문에 샤워헤드의 백사이드의 가스 압력이 단일 가스 공급부를 구비하는 경우에 비해 보다 균일하게 될 수 있다.

Description

반도체 처리공정을 위한 가스 분산 장치 및 기판의 처리 방법 {Gas distribution apparatus for semiconductor processing and method of processing a substrate}
본 발명은 집적회로 웨이퍼와 같은 반도체 기판을 처리하기 위해 사용되는 반응 챔버에 관한 것으로서, 보다 상세하게는 이러한 반응 챔버 내에 사용되는 가스 분산시스템의 개선에 관한 것이다.
반도체 공정은 금속, 유전체 및 반도체 물질막의 화학기상증착(CVD)등과 같은 증착 공정, 이러한 막들의 식각, 포토레지스트 마스크막의 애슁을 포함한다. 식각의 경우, 플라즈마 식각이 금속, 유전체 및 반도체물질막을 식각하기 위해 전통적으로 사용되어왔다. 평행 플레이트 플라즈마 반응기는 전형적으로 하나 또는 그 이상의 배플을 포함하는 가스챔버, 식각가스가 통과하는 샤워헤드 전극, 바닥(Bottom) 전극 상에 놓여지는 실리콘 웨이퍼를 지지하는 받침대, RF 파워 소오스 및 가스챔버에 가스를 공급하기 위한 가스주입 소오스를 포함한다. 가스는 플라즈마를 형성하기 위해 상기 전극에 의해 이온화되며, 상기 플라즈마는 샤워헤드 전극 아래에 지지되어진 웨이퍼를 식각한다.
반도체 기판들의 플라즈마 처리를 위한 샤워헤드 전극들은 미합중국 특허 제 5,074,456호, 제5,472,565호, 제5,534,751호 및 제5,569,356호에 개시되어 있다. 다른 샤워헤드 전극 가스 분산 시스템이 미합중국 특허 제4,209,357호, 제4,263,088호, 제4,270,999호, 제4,297,162호, 제4,534,816호, 제4,579,618호, 제4,590,042호, 제,4,593,540호, 제4,612,077호, 제4,780,169호, 제4,854,263호, 제5,006,220호, 제5,134,965호, 제5,494,713호, 제5,529,657호, 제5,593,540호, 제5,595,627호, 제5,614,055호, 제5,716,485호, 제5,746,875호 및 제5,888,907호에 개시되어 있다.
집적회로 제조에 있어서 유전체 물질막내에 콘택 및 비아와 같은 개구부를 식각하는 것이 일반적으로 요구되고 있다. 유전체 물질막은 플루오르 첨가된 실리콘 산화막(FSG)과 같은 도핑된 실리콘 산화막, 실리콘 이산화막과 같은 도핑되지 않은 실리콘 산화막, 보론 포스페이트 실리케이트 그래스(BPSG) 및 포스페이트 실리케이트 그래스(PSG)와 같은 실리케이트 글래스막, 도핑된 또는 도핑되지 않은 열 성장 실리콘 산화막, 도핑된 또는 도핑되지 않은 TEOS 증착 실리콘 산화막 등을 포함한다. 상기 유전체 도펀트는 붕소, 인 및/또는 비소를 포함한다. 상기 유전체막은 다결정 실리콘막과, 알루미늄, 구리, 티타늄, 텅스텐, 몰리브덴 또는 이들의 합금 등의 금속막과, 티타늄 질화막 등의 질화막과, 티타늄 실리사이드, 코발트 실리사이드, 텅스텐 실리사이드, 몰리브덴 실리사이드 등과 같은 금속 실리사이드막 등의 도전막 또는 반도체막 위로 덮힌다. 평행 플레이트 플라즈마 반응기가 실리콘 산화막내에 개구부를 식각하기 위해 사용되는 플라즈마 식각기술은 미합중국 특허 제5,013,398호에 개시되어 있다.
미합중국 특허 제5,736,457호는 단일 및 듀얼 "다마신" 금속배선 공정을 개 시한다. "단일 다마신" 방식에서는 비아들과 도전체들은, 도전체 또는 비아중 어느 하나를 위한 금속배선 패턴이 유전체막 내에 식각되고, 금속층이 상기 유전체막내의 식각된 홈 또는 비아홀내에 충전되며, 과잉의 금속이 화학기계적 평탄화(CMP) 또는 에치백 공정에 의해 제거되는, 서로 분리된 공정에 의해 형성된다. "듀얼 다마신" 방식에서는, 비아 또는 도전체를 위한 금속배선 패턴들은 유전체막내에 식각되어지고, 상기 식각된 그루브 및 비아 개구부는 단일의 금속 충전 및 과잉 금속 제거 공정에서 금속으로 충전된다.
웨이퍼의 전면에 대해 균일한 식각율을 얻기 위해서는 웨이퍼 표면에 플라즈마를 균일하게 분산시키는 것이 요구된다. 현재의 가스 분산 챔버 디자인은 식각 가스를 균일하게 분산시킬 수 있도록 최적화된 다수의 배플들을 포함하여 웨이퍼에 대하여 원하는 식각 효과를 달성할 수 있다. 그러나 현재의 배플 및 샤워헤드 전극 디자인은 웨이퍼와 샤워헤드 전극간의 특정 간극에 대해서 균일한 가스 분산이 이루어지도록 실험적으로 최적화된 것이어서 웨이퍼와 샤워헤드 전극간의 다양한 간극에 적용하기가 어렵다. 게다가, 종래의 가스 분산 디자인은 샤워헤드 전극의 백사이드로 식각 가스를 균일하게 분산시키기 위해서 기하학적으로 제조하기 어려운 수백개의 개구부 또는 컴플렉스를 구비하는 배플을 포함하고 있다. 이십 인치(300㎜) 웨이퍼와 같은 큰 웨이퍼를 식각할 경우에는 공정 가스를 샤워헤드 전체에 걸쳐 균일한 압력으로 분산되도록 조절하는 것이 더 어려워진다. 따라서 식각 가스의 균일한 분산을 유지하기 위해서는 개구부 및 배플의 수를 상당히 증가시켜야 한다. 배플들내의 개구부의 수와 배플의 수가 증가함에 따라 가스 분산 장치의 복잡도와 가스 분산 장치의 제조 비용이 크게 증가한다.
본 발명은 여전히 샤워헤드를 통해 전달되는 바람직한 가스 분산을 달성할 수 있으면서도 적은 수의 배플 플레이트를 필요로 하여 제조하기 쉬운 디자인의 가스 분산 시스템을 제공한다. 가스 플로우는 어떤 크기의 기판 및/또는 샤워헤드와 처리될 반도체 기판 사이의 어떤 간극에 대해서도 최적화될 수 있다. 또, 본 발명은 샤워헤드 전극으로부터 냉각된 지지 플레이트로의 열 전달을 개선할 수 있으므로 전극 표면에 걸쳐 향상된 온도 균일성을 달성할 수 있다. 게다가, 본 발명은 가스 분산 시스템의 샤워헤드 전극 구성요소들간의 지속적인 전기 접촉을 통상적으로 제공할 수 있다.
본 발명에 따른 가스 분산 장치는 가스 분산 챔버를 정의하기 위해 고정된 지지 플레이트 및 샤워헤드를 포함한다. 챔버는 샤워헤드 전면에 걸쳐 바람직한 압력 분포를 달성하기 위해 사용될 수 있는 하나 또는 그 이상의 배플 플레이트를 구비하는 배플 어셈블리를 포함한다. 다수의 가스 공급부는 가스 분산 챔버내로 공정 가스를 공급하여 공정 가스가 배플 어셈블리를 거쳐 샤워헤드를 통해 아래로 플로우되도록 한다.
본 발명의 제1 실시예는 상부 배플 플레이트를 구비하는 배플 어셈블리를 포함한다. O-링과 같은 밀봉 부재는 상부 배플 플레이트와 지지 플레이트 사이의 중간 위치에 존재한다. 지지 부재는 그 사이의 공간을 내부와 외부 영역으로 분할한다. 제1 가스 공급부로부터 공급된 가스는 내부 영역으로 향하고 제2 가스 공급부 로부터 공급된 가스는 외부 영역으로 향한다. 이와 같은 배열은 내부와 외부 영역에 서로 다른 화학적 특성 및/또는 서로 다른 압력을 가진 가스를 공급하는 것이 가능하도록 한다. 그 결과, 공정 변수를 먼저 선택하거나 기판의 처리 공정 동안 공정 변수를 조절함으로써 기판의 전면에 걸쳐 가스의 화학적 특성 및/또는 가스 압력을 보다 우수하게 조절할 수 있다.
필요하다면, 중간 및/또는 하부 배플 플레이트를 세 개의 플리넘(plenums)을 정의하기 위해 배열할 수 있다. 제1 플리넘은 상부 및 중간 배플 플레이트 사이에 위치한다. 제2 플리넘은 중간 및 하부 배플 플레이트 사이에 위치하고, 제3 플리넘은 하부 배플 플레이트와 샤워헤드 사이에 위치한다. 플리넘들은 샤워헤드에 걸쳐 보다 균일한 공정 가스 압력 분포를 형성하는데 사용될 수 있다.
본 발명의 제2 실시예에서는 지지 부재가 아래쪽에 가스 분산 챔버를 정의하는 리세스를 구비한다. 지지 부재는 리세스 챔버의 중앙부로 제1 공정 가스를 공급하는 제1 가스 배출구 및 리세스의 주변부로 제2 공정 가스를 공급하는 제2 가스 배출구를 구비한다. 상부 배플 플레이트 및 하부 배플 플레이트는 배플 챔버내에 고정된다. 상부 배플 플레이트는 제1 가스 공급부로부터 배타적으로 가스를 수용하도록 배열되고 하부 배플 플레이트는 제2 가스 공급부로부터 배타적으로 가스를 수용하도록 배열된다. 상부 배플 플레이트내의 제1 가스 통로 세트는 제2 배플 플레이트내의 가스 통로와 유체 흐름이 연결되어 플로우가 연결된 통로의 세트를 형성하여 이를 통해 제1 공정 가스가 상부 배플 플레이트로부터 하부 배플 플레이트의 아래쪽으로 직접 통과한다. 제2 공정 가스는 하부 배플 플레이트내의 제2 가스 통 로들의 세트를 통해 샤워헤드의 백사이드에 인접한 하부 배플 플레이트의 아래쪽 면으로 플로우한다. 이러한 배열에서는, 하부 배플 플레이트의 아래쪽으로 플로우되기 전까지는 제1 공정 가스와 제2 공정 가스가 실질적으로 혼합되지 않는다. 하부 배플과 샤워헤드사이의 공간은, 샤워헤드를 통과하는 가스가 선택적으로 조절되어, 예컨대 샤워헤드에 걸쳐 균일한 또는 불균일한 가스의 화학적 특성 및/또는 압력을 달성할 수 있도록 하는 소정 거리 이격된 환형 채널들을 구비할 수 있다. 제1 가스 공급부 및 제2 가스 공급부로부터 공급된 가스는 샤워헤드 내의 제3 개구부 세트들을 통해 기판 전면을 가로지르는 영역으로 플로우한다.
본 발명의 목적들 및 장점들이 다음의 도면들과 결합한 이하의 상세한 설명을 읽음으로써 잘 이해될 것이다.
도 1은 본 발명에 따른 가스 분산 챔버의 단면도이다.
도 2는 본 발명의 제1 실시예의 확대 측단면도이다.
도 3은 본 발명의 제1 실시예의 단면도이다.
도 4는 본 발명의 제2 실시예의 확대 측단면도이다.
도 5는 본 발명의 제2 실시예의 단면도이다.
도 6은 본 발명의 제2 실시예의 하부 배플 플레이트의 측단면도이다.
도 7a-b는 본 발명의 가스 분산 시스템에 의해 수행될 수 있는 식각 공정을 나타낸다.
본 발명의 보다 나은 이해를 위하여, 이하의 상세한 설명은 첨부한 도면에 대해 언급하고 있으며, 여기서 본 발명의 바람직한 예시적 실시예들이 묘사 및 설명되고 있다. 게다가 도면들에서 같은 요소를 확인하기 위해 사용된 참조번호는 전체에 걸쳐 동일하다.
본 발명에 따르면, 공정 가스가 하나 또는 그 이상의 가스 공급부로부터 샤워헤드 아래에 위치한 기판으로 균일하게 분산될 수 있다. 샤워헤드는 반도체 기판 상에 공정 가스를 분산시키는 것이 바람직한 어떤 형태의 반도체 처리 장치에도 사용될 수 있다. 이러한 장치는 CVD 시스템, 애셔(asher), 용량결합 플라즈마 반응기, 유도결합 플라즈마 반응기, ECR 반응기 등을 포함한다.
지지 플레이트(20) 및 샤워헤드(22)가 함께 고정되어 밀폐된 가스 분산 챔버(24)를 정의하는 평행 플레이트 플라즈마 반응기용 가스 분산 시스템이 도 1에 도시되어 있다. 하나 또는 그 이상의 배플 플레이트들을 구비하는 배플 어셈블리(26)가 지지 플레이트(20)와 샤워헤드(22) 사이에 위치하고 있다. 본 발명에 따르면, 배플 어셈블리(26)의 기하학 및 배열은 샤워헤드(22)의 백사이드(28)에 가스를 균일하게 분산시킬 수 있도록 형성되어 있다. 화학 기상 증착 공정 또는 건식 식각 플라즈마 공정과 같은 반도체 웨이퍼 처리 공정에서, 공정의 일관성 및 수율을 향상시키기 위해서는 기판 전면에 걸쳐 공정 가스의 제어된 분산이 바람직하다.
도 2 및 3에 도시되어 있는 바와 같이, 본 발명의 제1 실시예에서는 배플 어셈블리(26)가 배플 플레이트(30A) 및 선택적인 배플 플레이트들(30B 및 30C)을 포 함한다. 배플 플레이트들(30A-30C)은 샤워헤드(22) 주변에서 위로 돌출된 면(34)에 의해 정의되는 리세스(32) 내에 놓여진다. 상부 배플 플레이트(30A)는 O-링(38)에의해 지지 플레이트(20)의 바닥 표면(36)으로부터 이격되어 있다. O-링(38)은 상부 배플 플레이트(30A) 및 지지 플레이트(20) 사이의 공간을 두 영역으로 분할하는데, 각각의 영역에는 서로 다른 화학적 특성, 압력 및/또는 플로우 율을 가지는 공정 가스가 공급될 수 있다. 제1 가스 공급부(40)로부터 상부 배플 플레이트(30A)와 지지 플레이트(20) 사이의 중앙 영역(42)으로 가스가 플로우된다. 제2 가스 공급부(44)로부터 환형 채널(44a)로 가스가 플로우되어 이어서 상부 배플 플레이트(30A)와 지지 플레이트(20) 사이의 주변 영역(46)으로 플로우된다. 중앙 및 바닥 플레이트들(30B, 30C)은 상부 배플 플레이트(30A)의 아래에 배열되어 이 플레이트들 사이에 오픈 플리넘들(open plenums)(48A, 48B) 및 바닥 플레이트(30C)와 샤워헤드(22) 사이에 오픈 플리넘(48C)을 정의한다.
각 가스 공급부는 가스 공급부 배출구의 부근에서는 가장 높은 가스 압력을 배출구로부터 멀어지는 방향으로 감소된 가스 압력을 나타내는 압력 분산을 상부 배플 플레이트(30A)의 표면에 걸쳐 형성한다. 따라서, 상부 배플 플레이트(30A)의 상부 표면의 주변 영역(46)과 중앙 영역(42) 사이의 상대적인 가스 압력은 제1 및 제2 가스 공급부들(40, 44)에 연결된 제1 및 제2 매스(mass) 플로우 조절기들(50A, 50B)를 사용하여 조절할 수 있다. 각 유량 조절기들(50A, 50B)에는 가스 공급기들(50C, 50D, 50E, 50F)로부터 공급되는 둘 또는 그 이상의 가스들의 플로우 율을 조절함으로써 바람직한 가스 혼합물이 공급될 수 있다.
공정 가스는 상부 배플 플레이트(30A) 및 지지 플레이트(20) 사이의 중앙 영역(42)과 주변 영역(46)에 걸쳐 분산되어 상부 배플 플레이트(30A) 내의 개구부들(52A)을 통해 상부 및 중앙 배플 플레이트들(30A, 30B) 사이의 오픈 플리넘(48A)으로 통과한다. 이후, 가스는 중앙 배플 플레이트(30B)내의 개구부들(52B)을 통해 아래로 플로우되어 중앙 및 바닥 배플 플레이트들(30B, 30C) 사이의 오픈 플리넘(52C) 사이로 아래로 플로우된 후, 이어서 바닥 배플 플레이트(30C) 내의 개구부들(52C)를 통해 바닥 배플 플레이트(30C)와 샤워헤드(22) 사이의 오픈 플리넘(48C)으로 플로우된 후, 최종적으로 기판에 이르기 전에 샤워헤드(22) 내의 개구부들(54)을 통해 플로우된다. 가스가 오픈 플리넘에 들어갈 때마다, 불균일한 압력 분포가 감쇠되어 고압 영역으로부터 저압 영역으로 불균일한 압력이 다소 균등하게 된다. 따라서, 배플 플레이트들(30) 사이에 복수개의 플리넘들(48)을 정의하도록 가스 분산 시스템을 형성함으로써, 샤워헤드(22)의 백사이드(28)에서 실질적으로 균일한 압력 분산을 달성할 수 있다.
가스 분산 시스템의 제2 실시예가 도 4 내지 도 6에 도시되어 있다. 제2 실시예의 배플 어셈블리는 두 개의 배플 플레이트(56A, 56B)를 포함한다. 상부 배플 플레이트(56A)는 지지 플레이트(20)에 접촉하는 영역을 포함하고 하부 배플 플레이트(56B)는 샤워헤드(22)와 접촉하는 영역을 포함한다. 지지 플레이트(20), 배플 어셈블리(26) 및 샤워헤드(22)들 간의 표면과 표면 사이의 접촉들은 샤워헤드(22), 배플 어셈블리(26) 및 지지 플레이트(22)들 사이의 열 전달을 촉진하고, 샤워헤드가 상부 전극으로 사용되는 경우에는 샤워헤드(22), 배플 어셈블리(26) 및 지지 플 레이트(22) 사이의 전기적인 도전성 경로를 제공할 수 있다.
공정 동안, 온도 조절된 지지 플레이트(20)는 열 싱크(sink)로 작용하여, 배플 어셈블리(26)를 통해 샤워헤드(22)로부터 열을 빨아들인다. 예를 들면, 냉각제가 지지 플레이트(20) 내의 냉각 채널들(58)들을 통해 순환할 수 있어서 기판 처리 공정 동안 발생한 열을 방산할 수 있다.
제2 실시예에서는, 제1 가스 공급부(60)는 상부 배플 플레이트(56A) 내의 중앙 리세스(62)에 가스를 공급할 수 있도록 형성된다. 제2 가스 공급부(64)는 하부 배플 플레이트(56B) 상의 주변 영역(68)에 가스를 분산시키는 환형 분기관(66)에 가스를 공급한다. 분기관(66)은 지지 플레이트(20)와 일체형이거나 가스 분산 시스템과 분리된 개별적인 구성요소일 수 있다.
상부 배플 플레이트(56A)는 보통 중앙에 위치한 제1 가스 공급부(60)로부터 상부 배플 플레이트(56A)의 주변 영역으로 가스를 분산시키는 방사상으로 연장된 채널(70)을 포함한다. 채널(70)은 상부 플레이트(20)의 바닥 표면(36)과 접촉하는 접촉 표면(72) 사이에 정의된다. 열 및 전류는 상부 배플 플레이트(56A)로부터 지지 플레이트(20)로 표면(72)을 통해 플로우한다. 마찬가지로, 하부 배플 플레이트(56B)의 상부 표면은 주변 영역에 위치한 분기관(66)으로부터 하부 배플 플레이트(56B)의 중앙 부분 내의 환형 채널(76)로 가스를 분산시키는 방사상으로 연장된 채널(74)을 포함한다. 방사상으로 연장된 채널(74)은 상부 배플 플레이트(56A)와 열적 전기적으로 접촉하는 접촉 표면(78) 사이에 정의된다. 비록 채널들(70,74,76)이 상부 및 하부 배플들의 상부 표면에 도시되어 있으나, 채널들 은 지지 플레이트(20) 및 상부 배플 플레이트의 하부 표면에 형성될 수도 있다.
상부 배플 플레이트내의 방사상으로 연장된 채널(70)에 위치한 개구부들(80)은 하부 배플 플레이트(56B) 내의 제1 개구부들 세트(82)로 가스의 플로우가 연결된다. 즉, 상부 배플 플레이트(56A) 내의 개구부들(80)과 하부 배플 플레이트(56B)내의 제1 개구부들 세트(82)가 제1 가스 공급부(60)로부터 상부 및 하부 배플 플레이트들(56A, 56B)을 통해 보통 연속적이고 끊이지 않는 유동 경로를 정의한다. 제2 가스 공급부(64)로부터 공급된 가스는 하부 배플 플레이트(56B) 내의 채널(74) 내의 제2 개구부들 세트(84)를 통해 플로우한다. 플로우가 연결된 개구부들(80, 82) 및 제2 개구부들 세트(84)는 제1 가스 공급부(60)와 제2 가스 공급부(64)로부터 주입된 가스들이 혼합되는 것을 방지하도록 배열된다. 이와 같은 배열은 약간의 가스가 상부 및 하부 배플 플레이트 사이에서 이동하는 것이 가능하도록 할 수 있다. 이와 같은 이동을 방지하기 위해서, 두 개의 가스가 함께 혼합되는 것을 방지할 수 있도록 상부 및 하부 배플 플레이트를 점착적인 방법 또는 야금적인 방법에 의해 함께 연결할 수 있다.
바람직하기로는, 플로우가 연결된 개구부들(80, 82)은 핀을 사용하여 위치를 정하는 등 정렬된 피쳐를 짝지어주는 것과 같은 적절한 기술을 사용하여 상부 배플 플레이트내의 개구부들(80)과 하부 배플 플레이트 내의 제1 개구부들 세트(82)를 정렬함으로써 형성된다. 그러나, 개구부(80)를 개구부(82)에 연결하기 위한 다른 기술은 상부 및 하부 배플 플레이트들 사이에 패터닝된 개스켓등을 삽입하거나 상부 및 하부 배플 플레이트 사이의 개구부들 사이에 개별적인 관을 제공하는 기술을 포함한다.
하부 배플 플레이트(56B)의 바닥 표면은 샤워헤드(22)의 상부 표면과 열적으로 전기적으로 접촉하는 아래쪽으로 돌출된 환형의 벽 부분들(86)을 구비한다. 플로우가 연결된 개구부들(80, 82) 및 제2 개구부들 세트(84)는 아래쪽으로 돌출된 벽 부분들(86)에 의해 정의되고 방사상으로 이격된 환형 채널들(88)을 향해 열려있다. 채널들(88)은 샤워헤드의 상부 표면 내에 또는 하부 배플 플레이트와 샤워헤드 사이의 공간에 형성될 수 있고, 채널들(88)은 샤워헤드로부터 열을 전도하여 빼앗고/또는 샤워헤드에 전원을 공급하기 위한 접촉부를 구비하거나 구비하지 않는 오픈 플리넘일 수 있다.
반도체 처리 공정 동안, 제1 가스 공급부(60)로부터 공급된 가스는 상부 배플 플레이트(56A) 및 하부 배플 플레이트(56B)내의 플로우가 연결된 개구부들(80,82)을 통해 플로우하고, 제2 가스 공급부(64)로부터 공급된 가스는 하부 배플 플레이트(56B)내의 제2 개구부들 세트(84)를 통해 플로우한다. 제1 및 제2 가스 공급부들(60, 64)로부터 공급된 가스는 샤워헤드(22)의 상부 표면 상의 하부 배플 플레이트의 아래쪽 면 내의 채널(88) 내에서 혼합되어 샤워헤드(22) 내의 제3 개구부들 세트(90)를 통해 기판으로 플로우한다.
상부 배플 플레이트(56A)의 전면에 걸쳐, 가스 압력은 상부 배플 플레이트(56A)의 중심부에 위치한 제1 가스 공급부(60)의 인접 영역에서 가장 높고 상부 배플 플레이트(56A)의 주변 영역 부근에서 가장 낮다. 공정 가스는 상부 및 하부 배플 플레이트들(56A, 56B)내의 플로우가 연결된 개구부들(82,84)을 통해 하 부 배플 플레이트(56B)의 아래쪽에 있는 오픈 채널(88)로 아래쪽으로 플로우한다. 동작시, 만약 제1 및 제2 가스 공급부가 동일한 압력으로 가스를 공급하면, 제1 가스 공급부(60)로부터 공급된 가스는 하부 배플 플레이트(56B)의 중앙 인접부에서 압력이 가장 높고 하부 배플 플레이트(56B)의 주변부에서 압력이 낮은 압력 분포를 형성하는 반면, 제2 가스 공급부(64)로부터 공급된 가스는 하부 배플의 주변부에서 압력이 높고 중앙부에서 압력이 낮은 압력 분포를 형성한다. 결과적으로, 본 발명에 따른 배플의 배열에 의해 샤워헤드의 백사이드에서 보여지는 압력은 샤워헤드 백사이드 전면에 걸쳐 보다 균일하게 형성될 수 있다.
또 다른 공정 계획에서는, 가스 분산 시스템이 샤워헤드(22)의 백사이드(28)의 전면에 걸쳐 조절되고 불균일한 가스 압력을 제공할 수 있다. 예를 들면, 샤워헤드(22)의 백사이드(28) 주변부 근방에서 압력이 높은 것이 바람직하다면, 제2 가스 공급부(64)로부터의 플로우를 제1 가스 공급부(60)로부터의 플로우에 비해 선택적으로 증가시킬 수 있다. 역으로, 샤워헤드(22)의 백사이드(28) 중앙부 근방에서 압력이 높은 것이 바람직하다면, 제1 가스 공급부(60)로부터의 플로우를 제2 가스 공급부(64)로부터의 플로우에 비해 증가시킬 수 있다. 따라서, 단일 웨이퍼 처리 공정의 경우에는, 가스 분산 시스템은 웨이퍼의 하나 또는 그 이상의 환형 대역에 화학적으로 서로 다른 특성의 가스를 공급할 수 있다. 가스의 화학적 특성, 플로우 율, 압력을 각 환형 대역의 주변의 원주를 따라 균일하게 형성하되 대역과 대역 사이에는 방사상으로 가스의 화학적 특성, 플로우 율, 압력을 변화시킬 수 있으므로 웨이퍼 표면에서의 공정 조건이 웨이퍼의 전면에 걸쳐 변화하는 웨이퍼 처리 공정 시에는 웨이퍼를 균일하게 처리하는 것이 가능하다.
도 7A-B는 본 발명에 따라 듀얼 다마신 구조가 어떻게 단일 단계에서 식각될 수 있는지를 나타내는 개략도이다. 도 7A는 실리콘 산화막 등의 제1 유전체막(540), 실리콘 질화막 등의 제1 정지막(560), 실리콘 산화막 등의 제2 유전체막(580), 실리콘 질화막 등의 제2 정지막(600) 및 실리콘 웨이퍼 등의 기판(620)으로 이루어진 스택 구조를 덮고 있는 포토레지스트 마스크 막(520)내에 트렌치에 대응하는 개구부(500)가 제공되는 전-식각 조건을 도시한다. 단일 식각 단계를 통해 제1 정지막(560)을 통해 비아를 식각하기 위해서 제1 정지막(560)이 개구부(640)를 구비한다. 도 7B는 개구부(500)가 제1 유전체막(540)을 통해 제1 정지막(560)까지 연장되고 개구부(640)가 제2 유전체막(580)을 통해 제2 정지막(600)까지 연장되도록 하는 식각 후의 구조를 도시한다. 이와 같은 배열은 "자기-정렬 듀얼-다마신"이라 칭할 수 있다.
식각 공정 동안, 제1 및 제2 실시예의 제1 및 제2 가스 공급부를 통해 공급되는 공정 가스 조건은 상대적으로 변화될 수 있는데, 예를 들면 트렌치(500)의 식각시에는 아르곤, 산소 및 불화탄화수소(예: CHF3 및 C4F8)의 혼합가스를 공급하고, 비아(640)의 식각시에는 웨이퍼 중앙 영역으로의 산소의 플로우를 감소시킬 수 있다. 저유전율의 유전체막을 식각할 경우에는 공정 가스는 C2H4 등의 탄화수소 가스를 포함할수 있고 산소 가스에 대한 탄화수소 가스의 플로우 율의 비를 방사상으로 변화시켜 균일한 식각을 달성할 수 있다. 따라서, 본 발명에 따르면 웨이퍼의 중앙 과 가장자리로의 가스의 흐름을 조정하여 플라즈마 챔버내에서 가장자리가 빨리 식각되고 중심부가 빨리 식각되는 것을 보상할 수 있다. 예를 들면, 종래의 플라즈마 식각기에서는 포토레지스트가 침식될때가지 가장자리가 빨리 식각되는 조건이 발생한 후에 중심부가 빨리 식각되는 조건이 발생할 수 있다. 본 발명에 따른 가스 분산 장치의 경우, 웨이퍼가 포토레지스트막을 구비할 경우에는 중앙부에 보다 많은 산소를 공급할 수 있는 반면 포토레지스트막이 침식되어 없어진 후에는 중앙부로의 산소의 플로우를 감소시킬 수 있다. 그 결과, 가장자리가 빨리 식각되는 조건과 중앙부가 빨리 식각되는 조건을 보상함으로써 보다 균일한 식각을 달성할 수 있다.
본 발명에 따른 공정은 불소가 첨가된 실리콘 산화막(FSG)와 같은 도핑된 실리콘 산화막, 실리콘 이산화막과 같은 도핑되지 않은 실리콘 산화막, 스핀-온-글래스막(SOG), 보론 포스페이트 실리케이트 글래스(BPSG) 및 포스페이트 실리케이트 그래스(PSG)와 같은 실리케이트 글래스막, 도핑된 또는 도핑되지 않은 열적 성장된 실리콘 산화막, 도핑된 또는 도핑되지 않은 TEOS 증착 실리콘 산화막 등과 같은 다양한 유전체 막에 대한 플라즈마 식각을 포함하는 다양한 플라즈마 공정에 적용될 수 있다. 유전체 도펀트는 붕소, 인 및/또는 비소를 포함한다. 상기 유전체막은 다결정 실리콘막과, 알루미늄, 구리, 티타늄, 텅스텐, 몰리브덴 또는 이들의 합금 등의 금속막과, 티타늄 질화막 등의 질화막과, 티타늄 실리사이드막, 코발트 실리사이드막, 텅스텐 실리사이드막, 몰리브덴 실리사이드막 등과 같은 금속실리사이드막 등의 도전막 또는 반도체막 위로 덮힐 수 있다.
상기 플라즈마는 여러가지 형태의 플라즈마 반응기내에서 발생된 고밀도 플 라즈마일 수 있다. 이러한 플라즈마 반응기는 고밀도 플라즈마를 발생시키기 위하여 전형적으로 RF 에너지, 마이크로파 에너지, 자기장 등을 사용하는 고에너지 소오스를 갖는다. 예를 들어, 고밀도 플라즈마는 유도결합 플라즈마 반응기라고도 불리는 트랜스포머 결합 플라즈마(TCPTM), 전자-사이크로트론 공명기(ECR) 플라즈마 반응기, 헬리콘 플라즈마 반응기 또는 이와 유사한 곳에서 발생될 수 있다. 고밀도 플라즈마를 제공할 수 있는 대량의 플라즈마 반응기의 예가 공동소유인 미합중국 특허 제5,820,723호에 개시되어 있으며, 그 개시내용은 참조문헌으로써 본 명세서와 함께 결합된다.
본 발명은 바람직한 실시예를 참조하여 설명되어 있다. 그러나, 본 발명의 사상으로부터 이탈됨이 없이 상기에서 서술한 것과 다른 특별한 형태로 본 발명을 실현하는 것이 가능하다는 것은 당업계의 숙련가에게 있어서는 명백한 일일 것이다. 상기 바람직한 실시예는 설명적인 것이며 한정적인 것으로 생각되어서는 아니된다. 본 발명의 요지는 전술한 발명의 상세한 설명보다는 차라리 첨부한 클레임에 의해 주어지며, 클레임들의 범위내에 놓이는 모든 변형들 및 등가물들은 그 내에 수용되는 것으로 의도되어진다.

Claims (39)

  1. 하부 표면에 리세스를 구비하는 지지부재로, 상기 리세스의 중앙부로 통하는 제1 가스 공급부 및 상기 리세스의 주변부로 통하는 제2 가스 공급부를 구비하는 지지부재;
    상기 리세스에 위치한 배플 배열로 상기 제1 가스 공급부로부터 공급된 가스가 상기 배플 배열내의 제1 개구부를 통과하고 상기 제2 가스 공급부로부터 공급된 가스가 상기 배플 배열내의 제2 개구부를 통과하는 배플 배열; 및
    상기 지지부재에 의해 지지되는 샤워헤드로 상기 제1 및 제2 개구부를 통과한 가스들이 서로 혼합되어 상기 샤워헤드 내의 제3 개구부 세트를 통과하도록 된샤워헤드를 포함하는 것을 특징으로 하는 반도체 기판 처리 공정에 사용되는 반응 챔버에 유용한 가스 분산 장치.
  2. 제1항에 있어서, 상기 샤워헤드는 플라즈마 반응 챔버의 상부 전극이고, 상기 지지부재는 플라즈마 반응 챔버의 온도 조절 부재인 것을 특징으로 하는 가스 분산 장치.
  3. 제1항에 있어서, 상기 지지부재는 상기 온도 조절 부재에 부착된 지지 링을 구비하는 것을 특징으로 하는 가스 분산 장치.
  4. 제1항에 있어서, 상기 배플 배열은 상부 및 하부 배플 플레이트를 구비하고, 상기 제2 가스 공급부는 상기 상부 및 하부 배플 플레이트들 사이에 위치한 하나 또는 그 이상의 가스 플로우 채널로 가스를 공급하고, 상기 제2 가스 공급부로부터 공급된 가스는 상기 배플 플레이트들의 바깥쪽 영역으로부터 상기 배플 플레이트들의 안쪽 영역 방향으로 상기 채널을 통해 플로우하는 것을 특징으로 하는 가스 분산 장치.
  5. 제4항에 있어서, 상기 채널은 상기 상부 배플 플레이트의 하부 표면에 형성되거나 상기 하부 배플 플레이트의 상부 표면에 형성되는 것을 특징으로 하는 가스 분산 장치.
  6. 제5항에 있어서, 상기 하부 배플 플레이트의 상부 표면은 상기 상부 배플 플레이트의 하부 표면과 열적으로 접촉하는 것을 특징으로 하는 가스 분산 장치.
  7. 제5항에 있어서, 상기 샤워헤드는 전극이고, 상기 상부 및 하부 배플 플레이트는 전기적으로 도전성 물질로 이루어지고, 상기 하부 배플 플레이트의 상기 상부 표면은 상기 상부 배플 플레이트의 상기 하부 표면과 전기적으로 접촉하는 것을 특징으로 하는 가스 분산 장치.
  8. 제1항에 있어서, 상기 배플 배열은 상부 및 하부 배플 플레이트들을 포함하고, 상기 제1 및 제2 개구부들을 통과한 가스는 상기 하부 배플 플레이트와 상기 샤워헤드 사이에 위치한 가스 플로우 채널 내에서 혼합되는 것을 특징으로 하는 가스 분산 장치.
  9. 제8항에 있어서, 상기 채널은 상기 하부 배플 플레이트의 하부 표면 또는 상기 샤워헤드의 상부 표면에 형성되고, 상기 하부 배플 플레이트의 상기 하부 표면은 상기 샤워헤드의 상기 상부 표면과 접촉하는 것을 특징으로 하는 가스 분산 장치.
  10. 제8항에 있어서, 상기 샤워헤드는 전극이고, 상기 상부 및 하부 배플 플레이트들은 전기적으로 도전성 물질로 이루어지고, 상기 채널은 상기 하부 배플 플레이트의 하부 표면 또는 상기 샤워헤드의 상부 표면에 형성되고, 상기 하부 배플 플레이트의 상기 하부 표면은 전기적으로 열적으로 상기 샤워헤드의 상기 상부 표면과 접촉하는 것을 특징으로 하는 가스 분산 장치.
  11. 제1항에 있어서, 상기 샤워헤드는 샤워헤드 전극을 포함하는 것을 특징으로 하는 가스 분산 장치.
  12. 제1항에 있어서, 상기 배플 배열은 배플 플레이트 및 밀봉 부재를 구비하고, 상기 밀봉 부재는 상기 배플 플레이트와 상기 지지 부재 사이의 공간을 중앙 영역과 주변 영역으로 분리시키고, 상기 제1 가스 공급부는 상기 중앙 영역으로 상기 제2 가스 공급부는 상기 주변 영역으로 통해있는 것을 특징으로 하는 가스 분산 장치.
  13. 제12항에 있어서, 상기 밀봉 부재는 O-링인 것을 특징으로 하는 가스 분산 장치.
  14. 제1항에 있어서, 상기 제1 가스 공급부에 연결된 제1 유량 조절기, 상기 제2 가스 공급부에 연결된 제2 유량 조절기 및 가스의 화학적 특성 또는 상기 제1 및 제2 가스 공급용 개구부로부터 공급되는 공정 가스의 플로우 율을 조절하기 위하여 상기 제1 및 제2 유량 조절기들에 연결된 조절기를 더 포함하는 것을 특징으로 하는 가스 분산 장치.
  15. 자신의 내부에 배플 챔버를 정의하는 지지 부재로 상기 배플 챔버의 중앙부로 통하는 제1 가스 공급부 및 상기 배플 챔버의 주변부로 통하는 제2 가스 공급부를 구비하는 지지부재, 상기 배플 챔버에 위치한 배플 배열로 상기 제1 가스 공급부로부터 공급된 가스가 상기 배플 배열내의 제1 개구부를 통과하고 상기 제2 가스 공급부로부터 공급된 가스가 상기 배플 배열내의 제2 개구부를 통과하도록 된 배플 배열, 및 상기 지지부재에 의해 지지되는 샤워헤드로 상기 제1 및 제2 개구부를 통과한 가스들이 서로 혼합되어 상기 샤워헤드의 제3 개구부 세트를 통과하도록 된 샤워헤드를 포함하는 가스 분산 시스템의 반응 챔버에서 기판을 처리하는 방법에 있어서,
    상기 반응 챔버에 반도체 기판을 제공하는 단계;
    상기 제1 및 제2 가스 공급부로 공정 가스를 공급하여 상기 공정 가스가 상기 배플 배열을 통과할 때가지는 혼합되지 않고 상기 배플 배열을 플로우한 후 혼합된 공정 가스가 상기 샤워헤드를 통과해서 상기 반응 챔버의 내부로 들어가도록 하는 단계; 및
    상기 샤워헤드를 통과한 공정 가스로 상기 반도체 기판을 처리하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  16. 제15항에 있어서, 상기 샤워헤드는 상기 샤워헤드를 통과하는 상기 공정 가스에 에너지를 가하여 플라즈마 상태로 만드는 샤워헤드 전극인 것을 특징으로 하는 기판 처리 방법.
  17. 제16항에 있어서, 상기 샤워헤드 전극에 RF 전원을 인가하여 상기 공정 가스를 플라즈마화하여 상기 반도체 기판의 노출된 표면과 접촉하도록 하여 상기 반도체 기판상의 막을 식각하는 것을 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  18. 제15항에 있어서, 상기 반도체 기판이 실리콘 웨이퍼를 포함하고 상기 기판 처리 방법이 상기 웨이퍼상의 유전체막, 반도체막 또는 도전막의 건식 식각하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  19. 제15항에 있어서, 상기 기판 처리 방법이 상기 반도체 기판상에 물질막을 증착하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  20. 제15항에 있어서, 상기 샤워헤드가 샤워헤드 전극을 포함하고, 상기 지지 부재는 온도-조절 부재이며, 상기 기판 처리 방법이 상기 온도-조절 부재를 통해 냉각제를 통과시킴으로써 상기 샤워헤드 전극으로부터 열을 빼앗는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  21. 제15항에 있어서, 상기 배플 배열은 상부 및 하부 배플 플레이트를 구비하고, 상기 제2 가스 공급부는 상기 상부 및 하부 배플 플레이트 사이에 위치한 하나 또는 그 이상의 가스 플로우 채널로 가스를 공급하고, 상기 제2 가스 공급부로부터 공급된 가스는 상기 배플 플레이트들의 바깥쪽 영역으로부터 상기 배플 플레이트들의 안쪽 영역 방향으로 상기 채널을 통해 플로우하는 것을 특징으로 하는 기판 처리 방법.
  22. 제15항에 있어서, 상기 제1 가스 공급부로 공급된 공정 가스의 플로우 율 또는 가스 압력을 조절하고 상기 제2 가스 공급부로 공급된 공정 가스의 플로우 율 또는 가스 압력을 조절하여 상기 샤워헤드의 백사이드 전면에 걸쳐서 가스 압력 분포를 제공할 수 있도록 하는 것을 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  23. 제15항에 있어서, 상기 배플 배열이 상부 및 하부 배플 플레이트를 구비하고, 상기 기판 처리 방법이 상기 샤워헤드, 상기 하부 배플 플레이트, 상기 상부 배플 플레이트 또는 지지 부재의 접촉 표면을 통해 상기 샤워헤드로부터 열을 빼앗아 상기 샤워헤드를 냉각시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  24. 제15항에 있어서, 상기 배플 배열은 상부 및 하부 배플 플레이트를 구비하고, 상기 제1 및 제2 개구부들을 통과한 가스는 상기 하부 배플 플레이트와 상기 샤워헤드 사이에 위치한 방사상으로 이격된 환형 개스 플로우 채널에서 혼합되는 것을 특징으로 하는 기판 처리 방법.
  25. 제24항에 있어서, 상기 채널은 상기 하부 배플 플레이트의 하부 표면 또는 상기 샤워헤드의 상부 표면에 형성되고, 상기 하부 배플 플레이트의 상기 하부 표면은 상기 샤워헤드의 상기 상부 표면과 접촉하여 상기 반도체 기판의 처리 공정 동안 상기 샤워헤드로부터 열이 제거되도록 하는 것을 특징으로 하는 기판 처리 방법.
  26. 제15항에 있어서, 상기 제1 가스 공급부를 통과하여 플로우하는 공정 가스는 상기 제2 가스 공급부를 통하여 플로우하는 공정 가스와 동일한 화학적 특성의 가 스인 것을 특징으로 하는 기판 처리 방법.
  27. 제15항에 있어서, 상기 제1 가스 공급부를 통과하여 플로우하는 공정 가스는 상기 제2 가스 공급부를 통하여 플로우하는 공정 가스와 서로 다른 화학적 특성의 가스인 것을 특징으로 하는 기판 처리 방법.
  28. 제15항에 있어서, 상기 기판은 적어도 제1 및 제2 단계에서 식각되는 반도체 웨이퍼이고, 상기 제1 가스 공급부를 통과하는 공정 가스를 상기 제2 가스 공급부를 통과하는 공정 가스에 대해 상대적으로 조절하여 상기 제1 및 제2 단계동안 가장자리가 빨리 식각되고 중심부가 빨리 식각되는 조건을 보상하는 것을 특징으로 하는 기판 처리 방법.
  29. 제15항에 있어서, 상기 기판의 유전체막의 노출된 영역을 통해 상기 기판의 전기적으로 도전성 또는 반도성막까지 개구부를 식각하는 것을 특징으로 하는 기판 처리 방법.
  30. 제29항에 있어서, 상기 식각 단계는 다마신 구조의 제조 공정의 일부로 수행되는 것을 특징으로 하는 기판 처리 방법.
  31. 제29항에 있어서, 상기 반도체 기판은 포토레지스트 마스크막, 유전체막, 제1 정지막, 제2 유전체막 및 제2 정지막을 구비하고, 상기 식각 단계는 상기 식각 단계의 제1 스테이지 동안 상기 포토레지스트 막내에 패터닝되어 있는 트렌치가 상기 제1 유전체막을 통해 상기 제1 정지막까지 식각되도록 하고 상기 식각 단계의 제2 스테이지 동안 비아 또는 콘택 개구부가 상기 제2 유전체막을 통해 상기 제2 정지막까지 식각되도록 수행되며, 상기 제1 및 제2 가스 공급부를 통해 공급되는 상기 공정 가스는 상기 제1 스테이지 동안의 공정 가스 조성 또는 플로우 율이 상기 제2 스테이지 동안의 공정 가스 조성 또는 플로우 율과 다르도록 조절되는 것을 특징으로 하는 기판 처리 방법.
  32. 제4항에 있어서, 상기 채널은 상기 상부 배플 플레이트의 하부 표면에 형성되고 상기 하부 배플 플레이트의 상부 표면에 형성되는 것을 특징으로 하는 가스 분산 장치.
  33. 제8항에 있어서, 상기 채널은 상기 하부 배플 플레이트의 하부 표면 및 상기 샤워헤드의 상부 표면에 형성되고, 상기 하부 배플 플레이트의 상기 하부 표면은 상기 샤워헤드의 상기 상부 표면과 접촉하는 것을 특징으로 하는 가스 분산 장치.
  34. 제8항에 있어서, 상기 샤워헤드는 전극이고, 상기 상부 및 하부 배플 플레이트들은 전기적으로 도전성 물질로 이루어지고, 상기 채널은 상기 하부 배플 플레이트의 하부 표면 및 상기 샤워헤드의 상부 표면에 형성되고, 상기 하부 배플 플레이트의 상기 하부 표면은 전기적으로 열적으로 상기 샤워헤드의 상기 상부 표면과 접촉하는 것을 특징으로 하는 가스 분산 장치.
  35. 제1항에 있어서, 상기 제1 가스 공급부에 연결된 제1 유량 조절기, 상기 제2 가스 공급부에 연결된 제2 유량 조절기 및 가스의 화학적 특성 및 상기 제1 및 제2 가스 공급용 개구부로부터 공급되는 공정 가스의 플로우 율을 조절하기 위하여 상기 제1 및 제2 유량 조절기들에 연결된 조절기를 더 포함하는 것을 특징으로 하는 가스 분산 장치.
  36. 제15항에 있어서, 상기 제1 가스 공급부로 공급된 공정 가스의 플로우 율 및 가스 압력을 조절하고 상기 제2 가스 공급부로 공급된 공정 가스의 플로우 율 및 가스 압력을 조절하여 상기 샤워헤드의 백사이드 전면에 걸쳐서 가스 압력 분포를 제공할 수 있도록 하는 것을 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  37. 제15항에 있어서, 상기 배플 배열이 상부 및 하부 배플 플레이트를 구비하고, 상기 기판 처리 방법이 상기 샤워헤드, 상기 하부 배플 플레이트, 상기 상부 배플 플레이트 및 지지 부재의 접촉 표면을 통해 상기 샤워헤드로부터 열을 빼앗아 상기 샤워헤드를 냉각시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  38. 제24항에 있어서, 상기 채널은 상기 하부 배플 플레이트의 하부 표면 및 상기 샤워헤드의 상부 표면에 형성되고, 상기 하부 배플 플레이트의 상기 하부 표면은 상기 샤워헤드의 상기 상부 표면과 접촉하여 상기 반도체 기판의 처리 공정 동안 상기 샤워헤드로부터 열이 제거되도록 하는 것을 특징으로 하는 기판 처리 방법.
  39. 제29항에 있어서, 상기 반도체 기판은 포토레지스트 마스크막, 유전체막, 제1 정지막, 제2 유전체막 및 제2 정지막을 구비하고, 상기 식각 단계는 상기 식각 단계의 제1 스테이지 동안 상기 포토레지스트 막내에 패터닝되어 있는 트렌치가 상기 제1 유전체막을 통해 상기 제1 정지막까지 식각되도록 하고 상기 식각 단계의 제2 스테이지 동안 비아 또는 콘택 개구부가 상기 제2 유전체막을 통해 상기 제2 정지막까지 식각되도록 수행되며, 상기 제1 및 제2 가스 공급부를 통해 공급되는 상기 공정 가스는 상기 제1 스테이지 동안의 공정 가스 조성 및 플로우 율이 상기 제2 스테이지 동안의 공정 가스 조성 및 플로우 율과 다르도록 조절되는 것을 특징으로 하는 기판 처리 방법.
KR1020017016763A 1999-06-30 2000-06-12 반도체 처리공정을 위한 가스 분산 장치 및 기판의 처리 방법 KR100697158B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/343,690 1999-06-30
US09/343,690 US6245192B1 (en) 1999-06-30 1999-06-30 Gas distribution apparatus for semiconductor processing

Publications (2)

Publication Number Publication Date
KR20020028921A KR20020028921A (ko) 2002-04-17
KR100697158B1 true KR100697158B1 (ko) 2007-03-21

Family

ID=23347196

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017016763A KR100697158B1 (ko) 1999-06-30 2000-06-12 반도체 처리공정을 위한 가스 분산 장치 및 기판의 처리 방법

Country Status (10)

Country Link
US (2) US6245192B1 (ko)
EP (1) EP1200981B1 (ko)
JP (1) JP4732646B2 (ko)
KR (1) KR100697158B1 (ko)
CN (1) CN1238881C (ko)
AU (1) AU5608700A (ko)
DE (1) DE60036291T2 (ko)
IL (1) IL147033A0 (ko)
TW (1) TW460915B (ko)
WO (1) WO2001003159A1 (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100979920B1 (ko) 2003-09-08 2010-09-03 주성엔지니어링(주) 액정표시장치용 증착 장치
KR20150034992A (ko) * 2013-09-27 2015-04-06 엘지디스플레이 주식회사 가스분사유닛 및 이를 구비하는 기판처리장치
KR101804126B1 (ko) * 2011-12-21 2017-12-05 주식회사 원익아이피에스 가스분사장치 및 기판처리장치
KR101805582B1 (ko) * 2011-12-21 2017-12-07 주식회사 원익아이피에스 가스분사장치 및 기판 처리 장치
KR20170136479A (ko) * 2017-11-30 2017-12-11 주식회사 원익아이피에스 가스분사장치 및 기판 처리 장치
US10829855B2 (en) 2016-05-20 2020-11-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing

Families Citing this family (577)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US6329297B1 (en) * 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US20050026436A1 (en) * 2000-12-21 2005-02-03 Hogan Timothy J. Method for improving ash rate uniformity in photoresist ashing process equipment
JP4791637B2 (ja) 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
JP3946641B2 (ja) * 2001-01-22 2007-07-18 東京エレクトロン株式会社 処理装置
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
KR100735932B1 (ko) * 2001-02-09 2007-07-06 동경 엘렉트론 주식회사 성막 장치
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
KR100501778B1 (ko) * 2001-03-28 2005-07-20 동경 엘렉트론 주식회사 플라즈마 처리 장치
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
KR100439949B1 (ko) * 2001-11-08 2004-07-12 주식회사 아이피에스 박막증착용 반응용기
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US20040250763A1 (en) * 2002-01-11 2004-12-16 Ovshinsky Stanford R. Fountain cathode for large area plasma deposition
KR100447248B1 (ko) * 2002-01-22 2004-09-07 주성엔지니어링(주) Icp 에쳐용 가스 확산판
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20030173346A1 (en) * 2002-03-18 2003-09-18 Renken Wayne Glenn System and method for heating and cooling wafer at accelerated rates
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US7156951B1 (en) 2002-06-21 2007-01-02 Lam Research Corporation Multiple zone gas distribution apparatus for thermal control of semiconductor wafer
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP2004143521A (ja) * 2002-10-24 2004-05-20 Sony Corp 薄膜形成装置
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
KR100500246B1 (ko) 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
EP1629522A4 (en) * 2003-05-30 2008-07-23 Aviza Tech Inc GAS DISTRIBUTION SYSTEM
KR100941959B1 (ko) * 2003-05-31 2010-02-11 주성엔지니어링(주) 액정표시장치용 박막증착장치
US7413612B2 (en) * 2003-07-10 2008-08-19 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
KR100526928B1 (ko) * 2003-07-16 2005-11-09 삼성전자주식회사 식각장치
KR100563818B1 (ko) * 2003-08-01 2006-03-28 동부아남반도체 주식회사 플라즈마 에칭 챔버의 배플 플레이트를 위한 치구
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
JP4273932B2 (ja) * 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
EP1788118A3 (en) * 2003-11-25 2007-07-04 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride
US20070248515A1 (en) * 2003-12-01 2007-10-25 Tompa Gary S System and Method for Forming Multi-Component Films
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
JP4707959B2 (ja) * 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
US20060054280A1 (en) * 2004-02-23 2006-03-16 Jang Geun-Ha Apparatus of manufacturing display substrate and showerhead assembly equipped therein
JP4451684B2 (ja) * 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US20050223984A1 (en) * 2004-04-08 2005-10-13 Hee-Gyoun Lee Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US20050223983A1 (en) 2004-04-08 2005-10-13 Venkat Selvamanickam Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252447A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Gas blocker plate for improved deposition
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7543371B2 (en) * 2004-06-23 2009-06-09 Hitachi Global Storage Technologies Netherlands B.V. Apparatus for a disk drive actuator pivot set height tooling with an active servo compensation
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
JP2006080347A (ja) * 2004-09-10 2006-03-23 Hitachi High-Technologies Corp プラズマ処理装置
US7387811B2 (en) * 2004-09-21 2008-06-17 Superpower, Inc. Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD)
KR101069195B1 (ko) * 2004-09-23 2011-09-30 엘지디스플레이 주식회사 평판표시장치의 제조를 위한 대기개방형 박막처리장치 및이를 이용한 박막처리방법
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
TWI257541B (en) * 2004-10-05 2006-07-01 Realtek Semiconductor Corp Display data output up/down frequency method, display control chip and display device
KR100667676B1 (ko) 2004-10-15 2007-01-12 세메스 주식회사 플라즈마 처리 장치의 가스분사장치
US7572340B2 (en) * 2004-11-29 2009-08-11 Applied Materials, Inc. High resolution substrate holder leveling device and method
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
DE102005004312A1 (de) * 2005-01-31 2006-08-03 Aixtron Ag Gasverteiler mit in Ebenen angeordneten Vorkammern
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
JP4701776B2 (ja) * 2005-03-25 2011-06-15 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US7674393B2 (en) 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
KR101272321B1 (ko) * 2005-05-09 2013-06-07 한국에이에스엠지니텍 주식회사 복수의 기체 유입구를 가지는 원자층 증착 장치의 반응기
US7313310B2 (en) * 2005-05-25 2007-12-25 Honeywell International Inc. Plasma directing baffle and method of use
KR100599056B1 (ko) * 2005-07-21 2006-07-12 삼성전자주식회사 포토레지스트 제거 장치 및 방법
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
CN102751159A (zh) * 2005-09-01 2012-10-24 松下电器产业株式会社 等离子体处理设备
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
TWI331770B (en) * 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US7662723B2 (en) * 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US7892358B2 (en) * 2006-03-29 2011-02-22 Tokyo Electron Limited System for introducing a precursor gas to a vapor deposition system
US20070231489A1 (en) * 2006-03-29 2007-10-04 Tokyo Electron Limited Method for introducing a precursor gas to a vapor deposition system
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
KR101355638B1 (ko) * 2006-11-09 2014-01-29 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
ES2331489T3 (es) * 2007-03-05 2010-01-05 Applied Materials, Inc. Instalacion de revestimiento y sistema de conduccion de gas.
US20080216958A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US8171877B2 (en) * 2007-03-14 2012-05-08 Lam Research Corporation Backside mounted electrode carriers and assemblies incorporating the same
US8375890B2 (en) 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
WO2008118483A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (cvd) apparatus
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8287647B2 (en) * 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
US20080260968A1 (en) * 2007-04-23 2008-10-23 Atto Co., Ltd. Method of forming amorphous carbon layer using cross type hydrocarbon compound and method of forming low-k dielectric layer using the same
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
JP5058727B2 (ja) * 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
CN101488446B (zh) * 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
CN101489344B (zh) * 2008-01-14 2011-07-06 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备、其气体分配装置及工艺气体提供方法
CN101499407B (zh) * 2008-02-02 2010-07-28 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及应用该分配装置的半导体处理设备
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
JP2009230810A (ja) * 2008-03-24 2009-10-08 Hitachi Global Storage Technologies Netherlands Bv 垂直磁気記録ヘッドの製造方法
US8075701B2 (en) * 2008-06-30 2011-12-13 Lam Research Corporation Processes for reconditioning multi-component electrodes
US8276604B2 (en) * 2008-06-30 2012-10-02 Lam Research Corporation Peripherally engaging electrode carriers and assemblies incorporating the same
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
CN101315880B (zh) * 2008-07-17 2010-06-02 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及采用该气体分配装置的等离子体处理设备
KR101223945B1 (ko) * 2008-08-18 2013-01-21 고쿠리츠 다이가쿠 호진 교토 다이가쿠 클러스터 분사식 가공 방법, 반도체 소자, 미소 기전 소자, 및 광학 부품
TWI437622B (zh) * 2008-11-26 2014-05-11 Ind Tech Res Inst 氣體噴灑模組
KR101592623B1 (ko) * 2008-12-10 2016-02-11 램 리써치 코포레이션 실리콘 전극 세척용 이머시브 산화 및 에칭 프로세스
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US20100252047A1 (en) * 2009-04-03 2010-10-07 Kirk Seth M Remote fluorination of fibrous filter webs
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
CN102754190B (zh) * 2009-07-15 2015-09-02 应用材料公司 Cvd腔室的流体控制特征结构
KR101108879B1 (ko) * 2009-08-31 2012-01-30 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
JP5728482B2 (ja) 2009-09-25 2015-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP3160877U (ja) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
CN102051595B (zh) * 2009-10-29 2013-04-03 无锡华润上华半导体有限公司 化学气相沉积装置及其喷头
TWI436831B (zh) 2009-12-10 2014-05-11 Orbotech Lt Solar Llc 真空處理裝置之噴灑頭總成
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
TW201134979A (en) * 2010-04-13 2011-10-16 Ind Tech Res Inst Gas distribution shower module and film deposition apparatus
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
CN101914762B (zh) * 2010-08-31 2013-03-06 广东省中科宏微半导体设备有限公司 一种用于金属有机物化学气相沉积设备的进气喷头结构
US8444456B2 (en) * 2010-11-02 2013-05-21 Lam Research Corporation Electrode securing platens and electrode polishing assemblies incorporating the same
CN102061458B (zh) * 2010-11-29 2012-05-30 保定天威集团有限公司 基板镀膜设备的气体分布系统及方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN103403843B (zh) 2011-03-04 2016-12-14 诺发系统公司 混合型陶瓷喷淋头
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9543123B2 (en) * 2011-03-31 2017-01-10 Tokyo Electronics Limited Plasma processing apparatus and plasma generation antenna
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
JP6068462B2 (ja) 2011-06-30 2017-01-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高速ガス交換、高速ガス切換、及びプログラミング可能なガス送出のための方法及び装置
US9245719B2 (en) 2011-07-20 2016-01-26 Lam Research Corporation Dual phase cleaning chambers and assemblies comprising the same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI638587B (zh) * 2011-10-05 2018-10-11 美商應用材料股份有限公司 對稱電漿處理腔室
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8955547B2 (en) 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9293305B2 (en) 2011-10-31 2016-03-22 Lam Research Corporation Mixed acid cleaning assemblies
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
CN205382207U (zh) * 2012-07-25 2016-07-13 应用材料公司 气体扩散组件、低温多晶硅处理腔室系统及令处理气体流入处理腔室的组件
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) * 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
JP6144902B2 (ja) 2012-12-10 2017-06-07 東京エレクトロン株式会社 マイクロ波放射アンテナ、マイクロ波プラズマ源およびプラズマ処理装置
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN103993293B (zh) * 2013-02-15 2018-06-26 诺发系统公司 带温度控制的多室喷头
DE102013101534A1 (de) 2013-02-15 2014-08-21 Aixtron Se Gasverteiler für einen CVD-Reaktor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
JP6356415B2 (ja) 2013-12-16 2018-07-11 東京エレクトロン株式会社 マイクロ波プラズマ源およびプラズマ処理装置
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
KR102386812B1 (ko) * 2014-05-16 2022-04-15 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR102292661B1 (ko) * 2014-06-20 2021-08-25 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104835876B (zh) * 2015-04-27 2018-01-05 北京金晟阳光科技有限公司 气体均匀布气装置
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWI571529B (zh) * 2015-12-18 2017-02-21 國立清華大學 具導流板之封閉式流道反應槽系統
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) * 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10249525B2 (en) * 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10954596B2 (en) * 2016-12-08 2021-03-23 Applied Materials, Inc. Temporal atomic layer deposition process chamber
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
KR102493945B1 (ko) * 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
WO2019033052A1 (en) * 2017-08-11 2019-02-14 Applied Materials, Inc. APPARATUS AND METHODS FOR IMPROVING CHEMICAL VAPOR PHASE (CVD) DEPOSITION UNIFORMITY
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP1605832S (ko) 2017-11-06 2018-06-04
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
EP3768874A4 (en) 2018-03-19 2022-03-30 Applied Materials, Inc. METHODS FOR DEPOSITING COATINGS ON AEROSPACE ELEMENTS
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020028062A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Methods and apparatus for ald processes
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
TW202020218A (zh) 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11587807B2 (en) * 2018-10-28 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Annealing apparatus and method thereof
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
CN109600898B (zh) * 2018-12-13 2020-04-17 大连理工大学 一种喷淋式电极及放电系统
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11456173B2 (en) 2019-04-08 2022-09-27 Applied Materials, Inc. Methods for modifying photoresist profiles and tuning critical dimensions
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
CN113924386A (zh) * 2019-05-15 2022-01-11 应用材料公司 用于处理系统的动态多区流动控制
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
JP2022534564A (ja) * 2019-05-29 2022-08-02 ラム リサーチ コーポレーション 均一性調整のためのシャワーヘッドインサート
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11881384B2 (en) 2019-09-27 2024-01-23 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) * 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11804363B2 (en) * 2019-11-08 2023-10-31 Applied Materials, Inc. Chamber components for gas delivery modulation
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885691B (zh) * 2019-11-29 2024-05-14 中微半导体设备(上海)股份有限公司 等离子体处理装置及其稳定性优化的方法
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
CN111321463B (zh) * 2020-03-06 2021-10-15 北京北方华创微电子装备有限公司 反应腔室
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR102396430B1 (ko) * 2020-03-30 2022-05-10 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN114293174A (zh) * 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115513033A (zh) * 2022-09-09 2022-12-23 江苏微导纳米科技股份有限公司 一种喷淋组件、半导体设备及晶片的加工方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4263088A (en) 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US4270999A (en) 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
US4297162A (en) 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4534816A (en) 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
JPS63262469A (ja) 1987-04-17 1988-10-28 Fujitsu Ltd ガス供給装置
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US5134965A (en) 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5013398A (en) 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
JPH0456770A (ja) 1990-06-25 1992-02-24 Hitachi Electron Eng Co Ltd プラズマcvd装置のクリーニング方法
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
KR100264445B1 (ko) 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
US5472565A (en) 1993-11-17 1995-12-05 Lam Research Corporation Topology induced plasma enhancement for etched uniformity improvement
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5736457A (en) 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5716485A (en) 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
US5950925A (en) 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
JP3036477B2 (ja) 1997-07-31 2000-04-24 日本電気株式会社 半導体製造装置
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100979920B1 (ko) 2003-09-08 2010-09-03 주성엔지니어링(주) 액정표시장치용 증착 장치
KR101804126B1 (ko) * 2011-12-21 2017-12-05 주식회사 원익아이피에스 가스분사장치 및 기판처리장치
KR101805582B1 (ko) * 2011-12-21 2017-12-07 주식회사 원익아이피에스 가스분사장치 및 기판 처리 장치
KR20150034992A (ko) * 2013-09-27 2015-04-06 엘지디스플레이 주식회사 가스분사유닛 및 이를 구비하는 기판처리장치
KR102098071B1 (ko) * 2013-09-27 2020-04-07 엘지디스플레이 주식회사 가스분사유닛 및 이를 구비하는 기판처리장치
US10829855B2 (en) 2016-05-20 2020-11-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
KR20170136479A (ko) * 2017-11-30 2017-12-11 주식회사 원익아이피에스 가스분사장치 및 기판 처리 장치
KR101943375B1 (ko) * 2017-11-30 2019-01-30 주식회사 원익아이피에스 가스분사장치 및 기판 처리 장치

Also Published As

Publication number Publication date
JP2003504841A (ja) 2003-02-04
EP1200981A1 (en) 2002-05-02
US6432831B2 (en) 2002-08-13
US20010027026A1 (en) 2001-10-04
JP4732646B2 (ja) 2011-07-27
WO2001003159A1 (en) 2001-01-11
AU5608700A (en) 2001-01-22
KR20020028921A (ko) 2002-04-17
CN1359531A (zh) 2002-07-17
IL147033A0 (en) 2002-08-14
TW460915B (en) 2001-10-21
WO2001003159A9 (en) 2002-05-02
EP1200981B1 (en) 2007-09-05
CN1238881C (zh) 2006-01-25
DE60036291D1 (de) 2007-10-18
US6245192B1 (en) 2001-06-12
DE60036291T2 (de) 2008-05-29

Similar Documents

Publication Publication Date Title
KR100697158B1 (ko) 반도체 처리공정을 위한 가스 분산 장치 및 기판의 처리 방법
US6415736B1 (en) Gas distribution apparatus for semiconductor processing
CN108962714B (zh) 用于经改善的前驱物流的半导体处理腔室
US5968379A (en) High temperature ceramic heater assembly with RF capability and related methods
KR100733897B1 (ko) 온도가 균일한 플라즈마 반응챔버 콤포넌트
KR100900596B1 (ko) 고 종횡비 피쳐를 식각하기에 적당한 진공 처리 챔버 및 그구성 부품
KR100386388B1 (ko) 반도체장치제조방법
US6189482B1 (en) High temperature, high flow rate chemical vapor deposition apparatus and related methods
US5994678A (en) Apparatus for ceramic pedestal and metal shaft assembly
US6051286A (en) High temperature, high deposition rate process and apparatus for depositing titanium layers
US5983906A (en) Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US8206552B2 (en) RF power delivery system in a semiconductor apparatus
KR20180126391A (ko) 다수의 프리커서 유동을 위한 반도체 처리 챔버
EP0855735A2 (en) A high temperature, high flow rate chemical vapor deposition apparatus and related methods
MXPA97000586A (es) Reactor de plasma acoplado inductivamente yproceso para fabricar un dispositivo semiconductor
EP0855452B1 (en) Process and apparatus for depositing titanium layers
US20010009177A1 (en) Systems and methods for two-sided etch of a semiconductor substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130226

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140226

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150227

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160225

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170308

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee