KR100733897B1 - 온도가 균일한 플라즈마 반응챔버 콤포넌트 - Google Patents

온도가 균일한 플라즈마 반응챔버 콤포넌트 Download PDF

Info

Publication number
KR100733897B1
KR100733897B1 KR1020017016765A KR20017016765A KR100733897B1 KR 100733897 B1 KR100733897 B1 KR 100733897B1 KR 1020017016765 A KR1020017016765 A KR 1020017016765A KR 20017016765 A KR20017016765 A KR 20017016765A KR 100733897 B1 KR100733897 B1 KR 100733897B1
Authority
KR
South Korea
Prior art keywords
heat transfer
showerhead electrode
electrode
heat sink
temperature control
Prior art date
Application number
KR1020017016765A
Other languages
English (en)
Other versions
KR20020027374A (ko
Inventor
하오팡리
딘드사라진더
푸어하셰미자바드
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Publication of KR20020027374A publication Critical patent/KR20020027374A/ko
Application granted granted Critical
Publication of KR100733897B1 publication Critical patent/KR100733897B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마 반응챔버용 콤포넌트는, 온도조절 지지부(22)와 같은 열싱크부와, 전력이 공급되는 샤워헤드 전극(20)과 같은 가열체를 포함한다. 샤워헤드 전극은, 샤워헤드 전극의 상면(30)과 지지부의 저면(32) 사이에 가스 분산 챔버를 구성할 수 있도록 지지부에 가장자리부가 지지되어 있다. 열전달부(36)는 샤워헤드 전극과 지지부 사이에 연장되어 샤워헤드 전극의 온도 최상승 영역으로부터 지지부의 저면으로 열을 전달하여 샤워헤드 전극 전면에 걸친 온도분포를 조절한다.

Description

온도가 균일한 플라즈마 반응챔버 콤포넌트 {A plasma reaction chamber component having improved temperature uniformity}
본 발명은 반도체 집적회로 기판처리 공정용 반응기에 관한 것으로서, 특히, 반응기(reaction chamber)의 가열부 전면에 걸쳐 온도분포를 조절하는 콤포넌트(component)에 관한 것이다.
반도체 공정은, 도전성 막과 절연성 막 그리고 반도체 막의 화학적 기상 증착과, 그들 막을 식각하는 공정과, 마스크 층으로서 사용된 막을 에싱하는 공정 등을 포함한다. 식각의 경우에는 전통적으로 플라즈마 식각이 금속과 절연체 및 반도체 막을 식각하는데 사용된다.
반도체 기판의 플라즈마 공정을 위한 샤워헤드 전극은 본 출원인의 공동소유인 미국특허 제5,074,456호, 제 5472565호, 제 5,534,751호, 및 제5,569,356호에 개시되어 있다. 다른 샤워헤드 전극의 가스 분산시스템은 미국특허 제4,209,357호, 제4,263,088호, 제4,270,999호, 제4,297,162호, 제4,534,816호, 제4,579,618호, 제4,590,042호, 제4,593,540호, 제4,612,077호, 제4,780,169호, 제4,854,263호, 제5,006,220호, 제5,134,965호, 제5,494,713호, 제5,529,657호, 제5,593,540호, 제5,595,627호, 제5,614,055호, 제5,716,485호, 제5,746,875호 그리고 제5,888,907 호에 개시되어 있다.
집적회로 제조에서 절연막에 콘택이나 비아콘택과 같은 개구부를 식각하는 것이 공통적으로 요구된다. 절연막은 불화실리콘 산화막(FSG)과 같은 도핑된 실리콘 산화막, 실리콘 이산화막과 같이 도핑되지 않은 실리콘 산화막, BPSG(Boro Phospho Silicate Glass) 및 PSG(Phopho Silicate Glass)와 같은 실리케이트 글래스막, 도핑되거나 도핑되지 않은 열적으로 성장된 실리콘 산화막, 및 도핑되거나 도핑되지 않은 TEOS 증착 실리콘 산화막 등을 포함한다. 절연체의 도핑 물질은 보론(B), 인(P) 또는 비소(As)를 포함한다. 절연막은 폴리 실리콘막과, 알루미늄, 구리, 티타늄, 텅스텐, 몰리브덴 또는 그들의 합금과 같은 금속막과, 티타늄 질화막과 같은 질화막과, 티타늄 실리사이드, 코발트 실리사이드, 텅스텐 실리사이드, 몰리브덴 실리사이드와 같은 금속 실리사이드 막과 같은 도전성 막 또는 반도전성 막 상에 형성될 수 있다. 평행판 플라즈마 반응기(parallel plate plasma reactor)가 실리콘 산화막에 개구부를 식각하는 공정에 사용되는 플라즈마 식각기술은, 미국 특허 제5,013,398호에 개시되어 있다.
미국 특허 제5,736,457호는 싱글(single)과 듀얼(dual) 다마신(Damascene) 금속배선 공정에 대해서 설명하고 있다. 싱글 다마신(single damascene) 공정방식에서는, 비아 콘택과 전도체가 분리된 단계에서 형성되는데, 이 공정에서 전도체와 비아콘택을 위한 금속배선 패턴이 절연막 내에 식각되고, 금속막이 절연막 내에 식각된 그루브와 비아 콘택 내에 금속층이 충전되며, 과잉의 금속층은 화학적 기계연마법(CMP) 또는 전면 식각 공정(etch back process)에 의해서 제거된다. 듀얼 다마신 공정(Dual damascene) 방식에서는, 비아 콘텍과 도전체를 위한 금속배선 패턴이 절연막 내에 식각되고, 식각된 그루브와 비아 콘택 개구부를, 단일 금속 충전과 과잉 금속막 제거공정을 통해서, 금속으로 충전된다.
식각공정이 진행되는 동안, 샤워헤드 전극은 뜨겁게 된다. 게다가, 전극표면 전면에 걸쳐서 온도는 심각하게 변화될 수 있다. 샤워헤드 전극의 중앙과 가장자리 부분의 온도는 100 ℃ 이상, 예를 들어, 200 ℃까지 차이가 날 수 있다. 불균일한 온도분포는 웨이퍼의 불균일한 식각을 유도하는 불균일한 플라즈마 밀도 및/또는 공정가스 분포의 원인이 될 수 있다. 가장자리가 냉각되는 샤워헤드의 배치에서는, 샤워헤드의 직경이 증가할수록 샤워헤드 전극의 중심과 가장자리 사이의 온도 편차가 더욱 커지므로, 기판의 크기가 커질수록 이러한 문제는 더욱 심각해진다.
샤워헤드 전극으로 12 인치(300 mm)의 큰 웨이퍼를 식각할 때, 공정가스를 조절해서 균일한 플라즈마 분포를 만든다는 것은 더 어려워진다. 예를 들어, 더 큰 영역에 걸쳐서 식각가스를 분산시키기 위해서는, 샤워헤드 전극과 배플들(baffles)에 형성된 개구부의 수는 엄청나게 많이 증가되어야 한다. 더불어, 배플의 수와 배플들에 형성된 개구부 수를 증가시킴에 따라서, 그러한 가스 분산장치를 제조하기 위해서는 난이도가 크게 증가하고 비용도 그만큼 더 증가된다. 게다가, 공정가스의 유속이 반도체 기판의 증가된 표면적에 비례하여 증가되어야만 하기 때문에, 공정 가스비, 선택비, 외형적 형태 및 크기에 따라서 균일성을 확보하기가 더욱 어려워진다. 이에 더하여, 샤워헤드의 증가된 크기는 반도체 기판의 불균일한 공정의 원인이 될 수 있는 샤워헤드의 더 큰 온도 편차를 유도한다.
따라서, 본 발명에 따르면, 샤워헤드 전극과 같은 가열체를 가로질러 형성되는 온도차를 실질적으로 감소시킬 수 있다. 샤워헤드 전극의 경우에, 전극을 가로질러 조절된 온도 분포는 반도체 기판의 더 균일한 공정을 허용한다. 더하여, 샤워헤드 전극에 의하여 도달되는 최대온도가 감소될 수 있기 때문에, 전극의 가용 수명을 증가시킬 수 있다.
상기 기술적 과제를 달성하기 위하여, 본 발명의 일 실시예를 따르면, 반도체 기판을 공정처리하기 위해서 반응챔버 내의 콤포넌트는, 열저장부(예를 들어, 지지부)와, 가열체(예를 들어, 전기적으로 전력이 공급되는 샤워헤드 전극)과, 열저장부와 가열체 사이에 개재된 열전달부를 포함한다. 열전달부는 가열체의 상승온도 영역으로부터 열저장부까지 열유동로를 제공한다.
예를 들어, 평행판 플라즈마 식각공정에서, 샤워헤드 전극의 중심에서 발생되는 열은 열전달부를 통해서 지지부로 전달되고, 따라서, 전극의 가장자리와 전극의 주변영역 사이에 온도차가 작아진다. 결론적으로, 플라즈마는 반도체 기판의 공정이 진행되는 동안 제어된 그리고/또는 실질적으로 균일한 방법으로 분포된다.
본 발명의 목적들 및 장점들이 다음의 도면들과 결합한 이하의 상세한 설명을 읽음으로써 잘 이해될 것이다.
도 1은 단일가스 공급부를 포함하는 가스분산시스템의 일 실시예의 단면도이다.
도 2는 본 발명의 제1실시예를 나타낸 분해 사시도이다.
도 3은 본 발명의 제2실시예를 나타낸 단면도이다.
도 4는 본 발명의 제3실시예를 나타낸 분해 사시도이다.
도 4a는 도 4에 도시된 열교환부로 동심링을 포함하는 열전달부의 사시도이다.
도 5는 본 발명의 제4실시예를 나타낸 분해 사시도이다.
도 6은 지지부와 일체로 된 열전달부의 사시도이다.
도 7은 샤워헤드 전극과 일체로 된 열전달부의 사시도이다.
본 발명의 보다 나은 이해를 위하여, 이하의 상세한 설명은 첨부한 도면에 대해 언급하고 있으며, 여기서 본 발명의 바람직한 예시적 실시예들이 묘사 및 설명되고 있다. 또, 도면들에서 같은 요소를 확인하기 위해 사용된 참조번호는 전체에 걸쳐 동일하다.
본 발명은 실리콘 기판이나 평판 디스플레이어와 같은 반도체 기판 처리 공정용 반응챔버의 콤포넌트의 온도 조절을 성취하기 위해서 개선된 발명을 제공한다. 그러한 콤포넌트들은 스퍼터링 타겟, 전기적 전력이 공급되는 샤워헤드 전극, 샤워헤드, 그리고 기판 지지체 등을 포함한다. 이러한 콤포넌트들은 냉각제를 관통시킴으로써 냉각되거나 냉각되지 않을 수 있다. 본 발명은 전기적 전력이 공급되는 샤워헤드 전극을 참고로 하여 설명될 것이다.
평판 플라즈마 식각공정 챔버 내에서 기판의 공정이 진행되는 동안, 샤워헤드 전극의 표면은, 예를 들어, 전극에 인가된 전력에 의해서 발생하는 저항성 발열로 인해 온도가 증가한다. 발생된 열은 가장자리 주변에 위치한 열싱크부(heat sink)로 흐른다(전극과 열싱크부는 상호 고정되어 있다). 그러나, 전극의 중앙영역은 열싱크부와 직접 접촉되어 있지 않기 때문에, 전극의 중앙영역의 온도는 반도체 기판 처리공정을 만족스럽게 조절하기 어려울 정도로 전극의 가장자리보다 훨씬 높아질 수 있다. 유사하게, 샤워헤드의 열때문에, 타겟 또는 기판, 샤워헤드 또는 기판이나 타겟 아래쪽의 표면이 다른 부분보다 부분적으로 더 뜨겁다. 본 발명은 그러한 표면의 온도 균일성을 개선하기 위한 메카니즘을 제공한다.
플라즈마 반응챔버 내의 샤워헤드 전극이나 기판 지지체를 가로질러 형성되는 온도 분포의 조절관점에서 본 발명을 설명한다. 그러나, 발명의 원리는 반도체 처리공정용 반응챔버의 다른 가열체들을 가로지르는 온도 분포를 조절하기 위해서도 사용될 수 있다.
도 1은 일예로서 플라즈마 식각 공정용 반응챔버 콤포넌트를 도시한 것이다. 여기서 샤워헤드 전극(20)이 냉각지지부(22)에 고정되어 가스분산 챔버(23)를 형성한다. 지지부(22)의 온도는, 지지부(22)의 냉각 채널(24)를 통해서 냉각제를 순환시킴으로써, 조절될 수 있다.
샤워헤드 전극(20)은 실리콘으로 형성되는 것이 바람직하지만 그 외에도 알루미늄, 흑연, 실리콘 카바이드 등과 같은 전기적 전도성을 가진 적절한 어떤 물질로도 형성가능하며, 가스는 복수의 개구부(26)를 통해서 통과된다. 도 1에 도시된 바와 같은 구성에서, 샤워헤드 전극(20)은 전극과 일체형인 가장자리부(28)를 갖는다. 그러나, 가장자리부(28)는, 도 3에 도시된 바와 같이, 원형의 샤워헤드판 외측 모서리에 부착되는 독립 지지링을 포함할 수 있다. 어떤 경우에서든지, 외측 가장 자리부(28)는 지지부(22)와 열적으로 전기적으로 접촉되어 있다. 가스분산챔버(23)는 샤워헤드 전극(20)의 상면(30)과 가장자리부(28) 그리고 지지부(22)의 하부면(32)에 의해서 정의된다. 공정가스는 중앙 가스공급부(29)에 의해서 챔버(23)내로 공급된다. 그러나, 공정가스를 전극의 주변부에서 그리고/또는 하나 이상의 가스공급부에 의해서 공급될 수 있다. 공정가스는 가스공급챔버를 통하여 하향 유동하여 흐르고, 샤워헤드 전극(20)에 형성된 개구부(26)를 통과한다.
공정가스에 에너지를 주어 플라즈마화 시키기 위해서, 샤워헤드 전극(20)에 전력(DC 전력을 사용할 수도 있지만, 일반적으로, RF 전력)이 공급된다. 전력이 샤워헤드 전극(20)에 인가될 때, 저항열이 발생하고 샤워헤드 전극(20)의 온도가 중가한다. 열이 단지 전극(20)의 주변부분으로부터만 제거된다면, 샤워헤드 전극(20)의 중앙영역(34)에서의 온도는 샤워헤드 전극(20)을 통해서 가장자리부(28)까지 측방으로 열이 전달될 수 있는 것보다 더 빨리 상승될 수 있다. 그 결과, 샤워헤드 전극(20)의 중앙영역(34)과 가장자리부(28) 사이에 큰 온도편차(예; 약 100 ℃ 내지 300 ℃)가 발생한다. 이러한 큰 온도편차는 샤워헤드 전극(20)을 통과하는 공정가스의 균일한 분포 및/또는 플라즈마에 전달되는 전력의 균일한 분포에 영향을 미친다.
도 2는 본 발명의 제1실시예를 보여주고 있는데, 여기서 본 발명에 의한 콤포넌트(35)는 샤워헤드 전극(20)의 상부 표면(30)의 중앙영역(34)과 온도 조절 지지부(22)의 저면(32) 사이에 하나 또는 그 이상의 열전달부(36)를 포함한다. 플라즈마 공정이 진행되는 동안, 열은 열전달부(36)를 통해서 온도 조절 지지부(22)로 전달된다. 이러한 방식으로, 샤워헤드 전극(20)의 중앙영역(34)과 가장자리부(28) 사이의 온도차는 현저하게 감소될 수 있다(예를 들어, 전극의 중앙영역과 가장자리부 사이의 얻어질 수 있는 온도차는 50 ℃ 이하 더 바람직하게는 15 내지 20 ℃ 이하이다). 그 결과, 매엽 기판 플라즈마 식각공정과 같이 기판이 샤워헤드의 하부에 위치하는 반도체 공정에서는, 더욱 균일한 공정이 달성될 수 있다.
열전달부(36)는 열적으로 전기적으로 전도성이 있는 재료로 형성되는 것이 바람직하다. 그러나, 전기적으로 비전도성이나 열적으로 전도성이 있는 재료도 사용될 수 있다. 적당한 재료는 SiC, Si3N4, AlN 등의 세라믹 재료나 Al, Cu, 스테인레스 강 및 몰리브덴 등과 같은 금속재료나, 강화재료(예를 들어, 탄소섬유 알루미늄, 탄소섬유 구리, 보론섬유 알루미늄, SiC 입자 알루미늄 등)와 같은 금속복합재료 등을 포함한다. 예를 들어, 열전달부는 원하는 형태로 가공 주조된 알루미늄 바디(body)일 수 있다.
도 2의 실시예는 샤워헤드 전극(20)의 상면(30)에 식각가스 분산을 균일하게 하기 위해서 배플 어셈블리를 포함한다. 배플 어셈블리는 샤워헤드 전극(20)과 지지부(22) 사이에 배치된 하나 또는 그 이상의 배플판(40)을 포함할 수 있다. 배플판(40)은 알루미늄으로 형성될 수 있고, 하나 또는 그 이상의 절취부(42)를 포함하고 있어, 지지부(22)의 저면(32)과 샤워헤드 전극의 상면 사이에 정의된 절취된 공간에 맞는 유사한 형태의 열전달부(36)를 수용한다.
도 2에 도시된 바와 같이, 열전달부(36)는 공정가스가 가스 인입구(29)로부터 배플판(40)에 의해서 정의된 플리넘(plenum) 내로 유동되도록 노치(44)를 포함한다. 그 결과, 인입구(29)로부터 공급되는 가스는 배플판(40)의 표면을 가로질러 분산될 수 있다.
도 3은 본 발명에 따른 콤포넌트(35)의 제2실시예를 보여주는데, 여기서, 배플판(40)은 열전달부(36)를 수용하기 위해서 절취될 필요는 없다. 대신에, 열전달부(36)가 지지부(22)와 배플판(40)과 샤워헤드 전극(20) 사이에 샌드위치 형태로 개재되어 있다. 열전달부(36)는 가스통로를 포함해서 인입구(29)로부터 주입된 가스가 배플판(40)들에 의해서 정의된 플리넘 내로 공급될 수 있도록 한다. 다른 방법으로, 열전달부(36)는 강체일 수 있고, 배플판(40)은 그루브나 채널을 포함해서 공정가스가 배플판에 의해서 정의된 배관 내로 자유롭게 순환할 수 있도록 할 수 있다.
도 4는 본 발명에 따른 콤포넌트(35)의 제3 실시예를 도시하고 있다. 여기서, 반응챔버는 지지부(22)와 샤워헤드 전극(20) 사이에 배플판(40)을 포함하고 있지 않다. 제3실시예에서는 열전달부(36)가 샤워헤드 전극(20)과 지지부(22) 사이에 정의된 가스 분산 챔버 내에 배치되어 있다. 도 4에 도시된 바와 같이, 열전달부(36)는 공정가스가 열전달부(36)들 사이와 지지부(22)의 표면 및 샤워헤드 전극(20)을 횡단하여 흐를수 있도록 복수의 노치(44)를 포함한다.
샤워헤드 전극(20)으로부터 열을 제거하는 능력을 강화하기 위해서, 열전달부(36)는 지지부(22)의 저면(32) 및 샤워헤드 전극(20)의 상면(30)과 열적으로 우수하게 접촉되어 있는 것이 바람직하다. 이상적으로는, 열전달부(36)와 가열체(예를 들어, 샤워헤드 전극 (20))와 열싱크부(예를 들어, 지지부(22))사이에 전혀 간극이 없어야 한다. 이들 부품들 간에 열적으로 우수한 접촉은, 열전달부의 반대측에 인듐이나, 은과 같은 금속재 가스켓같은 열전도성 재료를 제공하고 그리고 /또는, 샤워헤드 전극(20)의 상면(30)에 금속재료나 전기적 그리고/또는 열적으로 전도성이 있은 입자들을 포함한 엘라스토머(elastomer)와 같은 전도성 접착제를 부착하는 등 샤워헤드 전극(20)과 열전달부(36) 및 지지부(22)가 결합면을 제공할 수 있도록 제조하는 방법 등의 여러 가지 방법으로 보증될 수 있다.
도 4a에 상세히 도시된 바와 같이, 샤워헤드 전극(20)과 지지부(22) 사이에 샌드위치형태로 형성된 열전달부(36)는 동심원적으로 배치된 원형 링들이다. 그 링들은 노치(44)를 구비하여 공정가스가 가스분산 챔버 전면으로 흐를 수 있도록 한다. 비록, 세 개의 링들이 도 4 및 도 4a에 도시되어 있으나, 링들의 수는 원하는 열전달 효과를 반영하기 위하여 증가되거나 감소될 수 있다. 게다가, 열전달부는 링과는 다른 형태일 수 있다(예, 열전달부는 중심허브 형태일 수도 있고, 방사상으로 연장된 아암일 수도 있고, 그밖에 다른 적당한 형태를 취할 수도 있다). 이상적으로는, 열전달부(36)는 샤워헤드 전극(20)의 상면(30)을 최소면적으로 덮으면서도 원하는 열전달 효과를 얻을 수 있도록 배치되는 것이 바람직하다.
바람직하게는, 배플판을 포함하지 않고 가스 분산 챔버 내에서 더 균일한 가스 분산을 달성 위해서, 콤포넌트(35)는 복수의 가스공급부(39)들을 포함한다. 그런 구성에서는, 각 가스공급부(39)의 인출부에서 가스의 압력이 최고이기 때문에, 복수의 가스공급부(39)의 마련은 단일 가스공급부와 비교하여 더 균일한 가스압의 분포를 얻을 수 있다.
도 5는 본 발명에 따른 콤포넌트(35)의 제 4실시예를 보여주고 있는데, 여기서, 열전달부(36)는 기판 지지면(37)과 지지부(41) 사이에 개재되어 있다. 기판 지지면(37)은 저면 전극의 일부일 수 있는데, 이 저면 전극은 정전 클램프(electrostatic clamp)를 구비할 수도 있고 구비하지 않을 수도 있다. 열전달부(36)는 열을 기판 지지면(37)으로부터 지지부(41)로 끌어내기 위해 사용되어, 지지면(37)의 전면에 걸친 온도 차이를 조절할 수 있다. 이런 경우에, 지지부는 실리콘 웨이퍼와 같은 기판을 냉각하기 위해서 전형적으로 사용되는 헬륨 후면 냉각 장치를 생략할 수도 있다.
상기 실시예들에서는, 열전달부(36)가 분리된 부품이거나 가열체(예, 샤워헤드 전극(20))이거나 열싱크부(예, 지지부(22))와 일체형일 수도 있다. 도 6은 샤워헤드 전극(20)과 일체로 형성된 열전달부(36)의 예를 도시하고 있고, 도 7은 지지부(22)에 일체로 형성된 열전달부(22)를 도시하고 있다. 결합재를 사용할 경우, 결합재는 양호한 열적 전도성과 선택적으로 양호한 전기적 전도성을 가져야하며, 진공환경에서 적합하여야 한다(예컨대, 재료가 반도체 공정 환경을 심각하게 오염시키지 않도록 낮은 증기압을 가져야한다). 적당한 결합재로는 엘라스토머(elastomer)나 에폭시(epoxy), 땜납 또는 황동재료 등의 도전성 접착제를 포함한다.
그러므로, 본 발명에 따른 샤워헤드 전극 구성의 경우에, 샤워헤드 전극(20)의 중앙영역(34)과 온도조절된 지지부(22) 사이에 직접 혹은 간접적인 표면 접촉이 이루어질 수 있다. 이러한 방법으로 본 발명은 샤워헤드 전극(20)의 중앙영역(34)과 가장자리부(28) 사이의 온도편차를 조절할 수 있다. 샤워헤드 전극(20)을 가로질러 이와 같은 더 우수한 온도 조절은 공정이 진행되는 기판 전면에 걸쳐 더 잘 조절된 플라즈마 밀도 및/또는 가스 흐름과 압력을 제공할 수 있다.
본 발명은 바람직한 실시예를 참조하여 설명되었다. 그러나, 본 발명의 개념으로부터 벗어나지 않고 상기에 설명된 것과는 다른 특정의 형태로 본 발명을 실시할 수 있는 것은 이 분야에 종사하는 기술자라면 용이하고 명백하게 알 수 있을 것이다. 바람직한 실시예들이 개시되었지만, 어떤 방법으로든 이것이 제한적인 것으로 고려되지는 않는다. 발명의 범위는 전술한 설명에 의해서 보다는 첨부된 청구항들에 의해서 주어진다. 그리고, 청구항의 범위 내에 드는 모든 변형물이나 등가물은 그 안에 포함되는 것으로 간주한다.

Claims (26)

  1. 열싱크부;
    가장자리부를 포함하며, 지지부에 의하여 상기 열싱크부에 부착되는 샤워헤드를 포함하는 가열체; 및
    상기 열싱크부 및 상기 가열체의 전면에 걸쳐 온도 상승이 가장 높은 상기 가열체의 국부적인 영역과 열적으로 접촉되어 있는 열전달부를 포함하며,
    상기 열전달부의 외측 가장자리 전부가 상기 지지부의 내측에 배치되는 것을 특징으로 하는 반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  2. 제1항에 있어서, 상기 열싱크부는 저면을 구비하며, 상기 가열체는 전력이 공급되는 샤워헤드 전극으로서, 상기 지지부에 상기 가열체의 가장자리가 고정되어, 상기 샤워헤드 전극의 상면과 상기 열싱크부의 저면 사이에 가스 분산 챔버를 구성하며, 상기 열전달부가 상기 샤워헤드 전극의 상면 중앙영역과 상기 열싱크부의 저면과 열적으로 접촉되어 있는 것을 특징으로 하는 반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  3. 제2항에 있어서, 공정가스는 하나 또는 그 이상의 가스공급부를 통해서 상기 가스 분산챔버로 공급되는 것을 특징으로 하는 반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  4. 제2항에 있어서, 상기 열전달부는 금속으로 형성된 일체형 바디를 포함하는 것을 특징으로 하는 반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  5. 삭제
  6. 제2항에 있어서, 상기 열전달부는 주조된 금속바디인 것을 특징으로 하는 반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  7. 제2항에 있어서, 상기 열전달부는 실리콘으로 성형된 바디인 것을 특징으로 하는 반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  8. 제2항에 있어서, 상기 열전달부는 금속복합물 바디인 것을 특징으로 하는 반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  9. 제2항에 있어서, 상기 열전달부는 상기 전극의 상면 위로 돌출된 돌출부를 포함하는 것을 특징으로 하는 반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  10. 제2항에 있어서, 상기 열전달부는 상기 전극의 상면에 결합재에 의해 결합된 성형바디를 포함하는 것을 특징으로 하는 반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  11. 제10항에 있어서, 상기 결합재는 금속재료이거나 열전도성 접착제인 것을 특징으로 하는 반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  12. 제2항에 있어서, 상기 열전달부는 상기 열싱크부의 저면 상에 돌출부를 포함하는 것을 특징으로 하는 반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  13. 제2항에 있어서, 상기 열전달부는 상기 열싱크부의 저면에 결합재에 의해 결합된 성형바디를 포함하는 것을 특징으로 하는반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  14. 제13항에 있어서, 상기 결합재는 금속재료이거나 열전도성 접착제인 것을 특징으로 하는 반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  15. 제2항에 있어서, 상기 열전달부는 동심원적으로 배치된 원형 링(ring)을 포함하는 것을 특징으로 하는 반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  16. 제15항에 있어서, 상기 링은 관통된 가스통로를 포함하는 것을 특징으로 하는 반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  17. 제2항에 있어서, 냉각제가 상기 열싱크부의 채널을 통해서 흐르는 것을 특징으로 하는 반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  18. 제2항에 있어서, 상기 열싱크부와 상기 샤워헤드 전극 사이에 배치된 배플 어셈블리를 더 포함하고, 상기 배플 어셈블리는 제1 및 제2 배플판을 포함하는 것을 특징으로 하는 반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  19. 제18항에 있어서, 상기 제1 및 제2 배플판은 상기 지지부의 형태로 성형된 개구부를 갖고, 상기 성형된 개구부는 상기 열전달부 주변으로 밀착되어 맞도록 형성된 것을 특징으로 하는 반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  20. 제18항에 있어서, 상기 열전달부는 제1, 제2, 및 제3 형태의 성형바디들을 포함하고, 여기서 제1성형바디는 샤워헤드 전극의 상면의 중앙영역과 제1 배플판의 하부면과 열적으로 접촉하고, 제2성형바디는 제1배플판의 상부 및 제2배플판의 하부면과 열적으로 접촉하며, 제3성형바디는 제2배플판의 상부 및 상기 열싱크부의 저면과 열적으로 접촉하는 것을 특징으로 하는 반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  21. 공정가스가 배플판을 통하여 배플 플레이트와 샤워헤드 전극 사이의 가스 플리넘 내로 통과한 후, 상기 샤워헤드 전극 내의 개구부를 통하여 플라즈마 챔버 내로 통과되며,
    상기 샤워헤드 전극의 중앙부분과 상기 배플판 상의 냉각 지지부 사이에 열흐름 경로를 제공하는 열전달부를 포함하며,
    상기 열전달부 전체가 상기 샤워헤드 전극의 가장자리로부터 내측에 배치되는 것을 특징으로 하는 플라즈마 챔버용 콤포넌트.
  22. 제2항 기재의 콤포넌트를 구비하는 플라즈마 챔버에서 반도체 기판을 처리하는 방법에 있어서,
    샤워헤드 전극을 통하여 공정가스를 유동시키는 단계;
    상기 샤워헤드 전극에 라디오파(Radio Frequency) 전력을 인가하여 상기 공정가스를 플라즈마 상태로 여기시키는 단계; 및
    상기 플라즈마에 의해 상기 반도체 기판의 노출된 표면을 처리하는 단계를 포함하는 것을 특징으로 하는 플라즈마 챔버 내에서 반도체 기판을 처리하는 방법.
  23. 제 1 항에 있어서,
    상기 가열체는 원형 샤워헤드 전극 판이며, 상기 지지부는 환형 링인 것을 특징으로 하는 반도체 기판 처리공정을 위한 반응챔버용 온도 조절 콤포넌트.
  24. 제 23 항에 있어서,
    상기 열전달부는 상기 샤워헤드 전극의 상부 표면에 결합되는 것을 특징으로 하는 반도체 기판 처리 공정을 위한 반응챔버용 온도 조절 콤포넌트.
  25. 제 21 항에 있어서,
    상기 샤워헤드 전극은 원형 판인 것을 특징으로 하는 플라즈마 챔버용 콤포넌트.
  26. 제 25 항에 있어서,
    상기 열전달부는 상기 샤워헤드 전극의 상부 표면에 결합되는 것을 특징으로 하는 플라즈마 챔버용 콤포넌트.
KR1020017016765A 1999-06-30 2000-06-14 온도가 균일한 플라즈마 반응챔버 콤포넌트 KR100733897B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/343,482 1999-06-30
US09/343,482 US6123775A (en) 1999-06-30 1999-06-30 Reaction chamber component having improved temperature uniformity

Publications (2)

Publication Number Publication Date
KR20020027374A KR20020027374A (ko) 2002-04-13
KR100733897B1 true KR100733897B1 (ko) 2007-07-02

Family

ID=23346293

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017016765A KR100733897B1 (ko) 1999-06-30 2000-06-14 온도가 균일한 플라즈마 반응챔버 콤포넌트

Country Status (8)

Country Link
US (1) US6123775A (ko)
EP (1) EP1190435A1 (ko)
JP (1) JP4970679B2 (ko)
KR (1) KR100733897B1 (ko)
CN (1) CN100350545C (ko)
AU (1) AU6052100A (ko)
TW (1) TW473781B (ko)
WO (1) WO2001001442A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101036185B1 (ko) 2005-09-30 2011-05-23 엘아이지에이디피 주식회사 플라즈마 처리장치

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
KR100516844B1 (ko) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
KR100676979B1 (ko) * 2001-02-09 2007-02-01 동경 엘렉트론 주식회사 성막 장치
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020134513A1 (en) * 2001-03-22 2002-09-26 David Palagashvili Novel thermal transfer apparatus
JP4402860B2 (ja) * 2001-03-28 2010-01-20 忠弘 大見 プラズマ処理装置
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
JP4082720B2 (ja) * 2001-09-10 2008-04-30 キヤノンアネルバ株式会社 基板表面処理装置
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP4493932B2 (ja) * 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
KR20060064067A (ko) * 2003-09-03 2006-06-12 동경 엘렉트론 주식회사 가스 처리 장치 및 처리 가스 토출 기구의 방열 방법
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US7210538B2 (en) * 2004-03-27 2007-05-01 Cnh America Llc Center pivot wing flotation method and apparatus
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060000551A1 (en) * 2004-06-30 2006-01-05 Saldana Miguel A Methods and apparatus for optimal temperature control in a plasma processing system
US8540843B2 (en) 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
US7780791B2 (en) * 2004-06-30 2010-08-24 Lam Research Corporation Apparatus for an optimized plasma chamber top piece
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20060065631A1 (en) * 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7323116B2 (en) * 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065632A1 (en) * 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
KR100572118B1 (ko) * 2005-01-28 2006-04-18 주식회사 에이디피엔지니어링 플라즈마 처리장치
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
JP2008540840A (ja) * 2005-05-09 2008-11-20 エイエスエム・ジェニテック・コリア・リミテッド 複数の気体流入口を有する原子層堆積装置の反応器
KR100629358B1 (ko) * 2005-05-24 2006-10-02 삼성전자주식회사 샤워 헤드
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US7826724B2 (en) * 2006-04-24 2010-11-02 Nordson Corporation Electronic substrate non-contact heating system and method
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
JP4826483B2 (ja) * 2007-01-19 2011-11-30 東京エレクトロン株式会社 プラズマ処理装置
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
JP4928991B2 (ja) 2007-03-12 2012-05-09 東京エレクトロン株式会社 基板処理装置
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
TWI464292B (zh) * 2008-03-26 2014-12-11 Gtat Corp 塗覆金之多晶矽反應器系統和方法
MY156940A (en) * 2008-03-26 2016-04-15 Gt Solar Inc System and methods for distributing gas in a chemical vapor deposition reactor
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20110097489A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
JP2013012353A (ja) * 2011-06-28 2013-01-17 Hitachi High-Technologies Corp プラズマ処理装置
JP2015536043A (ja) * 2012-09-26 2015-12-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理システムにおける温度制御
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
TWI470105B (zh) * 2013-06-03 2015-01-21 Adpv Technology Ltd Gas Reaction Continuous Cavity and Gas Reaction
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
CN114591445B (zh) 2015-09-08 2024-04-23 Jcr制药股份有限公司 新型人血清白蛋白突变体
JP6903638B2 (ja) * 2015-09-15 2021-07-14 ライフ テクノロジーズ コーポレーション 生物学的分析のためのシステム及び方法
US10483092B2 (en) * 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
CN109477207A (zh) * 2016-09-23 2019-03-15 应用材料公司 溅射喷淋头
US10607817B2 (en) * 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US11776822B2 (en) * 2018-05-29 2023-10-03 Applied Materials, Inc. Wet cleaning of electrostatic chuck
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
WO2023140941A1 (en) * 2022-01-24 2023-07-27 Lam Research Corporation Active temperature control of showerheads for high temperature processes
US20230335377A1 (en) * 2022-04-15 2023-10-19 Applied Materials, Inc. Showerhead assembly with heated showerhead

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5766364A (en) * 1996-07-17 1998-06-16 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4270999A (en) * 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
US4337495A (en) * 1980-06-13 1982-06-29 Porta Systems Corp. Carbon electrode having metallic heat sink
US4481636A (en) * 1982-05-05 1984-11-06 Council For Mineral Technology Electrode assemblies for thermal plasma generating devices
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
CH664303A5 (de) * 1985-04-03 1988-02-29 Balzers Hochvakuum Haltevorrichtung fuer targets fuer kathodenzerstaeubung.
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
JPH066505Y2 (ja) * 1986-04-11 1994-02-16 沖電気工業株式会社 電極の冷却機構
EP0276962A1 (en) * 1987-01-27 1988-08-03 Machine Technology Inc. Cooling device for a sputter target and source
US4780169A (en) * 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
JPH0382022A (ja) * 1989-08-24 1991-04-08 Nec Corp ドライエッチング装置
JP2903239B2 (ja) * 1990-03-15 1999-06-07 東京エレクトロン株式会社 プラズマエッチング方法
US5151918A (en) * 1990-08-28 1992-09-29 Argent Ronald D Electrode blocks and block assemblies
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
JP2851229B2 (ja) * 1992-10-19 1999-01-27 株式会社日立製作所 プラズマエッチングシステム及びプラズマエッチング方法
JP3242166B2 (ja) * 1992-11-19 2001-12-25 株式会社日立製作所 エッチング装置
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
JP3308091B2 (ja) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
GB9413973D0 (en) * 1994-07-11 1994-08-31 Rank Brimar Ltd Electrode structure
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5772770A (en) * 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5716485A (en) * 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
JP3599204B2 (ja) * 1995-06-08 2004-12-08 アネルバ株式会社 Cvd装置
JP3113796B2 (ja) * 1995-07-10 2000-12-04 東京エレクトロン株式会社 プラズマ処理装置
US5879348A (en) * 1996-04-12 1999-03-09 Ep Technologies, Inc. Electrode structures formed from flexible, porous, or woven materials
TW340957B (en) * 1996-02-01 1998-09-21 Canon Hanbai Kk Plasma processor and gas release device
US5730803A (en) * 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
JP3360265B2 (ja) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6589407B1 (en) * 1997-05-23 2003-07-08 Applied Materials, Inc. Aluminum deposition shield

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5766364A (en) * 1996-07-17 1998-06-16 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101036185B1 (ko) 2005-09-30 2011-05-23 엘아이지에이디피 주식회사 플라즈마 처리장치

Also Published As

Publication number Publication date
JP2003503838A (ja) 2003-01-28
TW473781B (en) 2002-01-21
JP4970679B2 (ja) 2012-07-11
WO2001001442A1 (en) 2001-01-04
WO2001001442A9 (en) 2003-01-30
CN1370325A (zh) 2002-09-18
CN100350545C (zh) 2007-11-21
US6123775A (en) 2000-09-26
KR20020027374A (ko) 2002-04-13
AU6052100A (en) 2001-01-31
EP1190435A1 (en) 2002-03-27

Similar Documents

Publication Publication Date Title
KR100733897B1 (ko) 온도가 균일한 플라즈마 반응챔버 콤포넌트
US6245192B1 (en) Gas distribution apparatus for semiconductor processing
US6786175B2 (en) Showerhead electrode design for semiconductor processing reactor
US8747559B2 (en) Substrate support having dynamic temperature control
JP5006200B2 (ja) 半導体処理の均一性を改善するための熱伝達システム
US20020123230A1 (en) Gas distribution apparatus for semiconductor processing
US5484011A (en) Method of heating and cooling a wafer during semiconductor processing
CN110867405A (zh) 静电吸盘、晶圆蚀刻装置以及晶圆温度控制装置
CN110867363A (zh) 等离子体处理装置
CN112789714A (zh) 可拆卸的热矫平器
US20070044914A1 (en) Vacuum processing apparatus
KR20240115340A (ko) 에칭 챔버 내 부분들 사이의 개선된 열 인터페이스 및 전기 인터페이스
KR20240094004A (ko) Pvd 챔버를 위한 고온 탈착가능 초고주파(vhf) 정전 척(esc)

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130611

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140611

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150608

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160616

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170614

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180614

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20190613

Year of fee payment: 13