JP2003503838A - 温度均一性が改良されたプラズマ反応チャンバ構成部品 - Google Patents

温度均一性が改良されたプラズマ反応チャンバ構成部品

Info

Publication number
JP2003503838A
JP2003503838A JP2001506573A JP2001506573A JP2003503838A JP 2003503838 A JP2003503838 A JP 2003503838A JP 2001506573 A JP2001506573 A JP 2001506573A JP 2001506573 A JP2001506573 A JP 2001506573A JP 2003503838 A JP2003503838 A JP 2003503838A
Authority
JP
Japan
Prior art keywords
heat transfer
transfer member
electrode
component
support member
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001506573A
Other languages
English (en)
Other versions
JP4970679B2 (ja
JP2003503838A5 (ja
Inventor
ファングリ ハオ,
ラジンダー デインドサ,
ジャヴァド プルハシェミ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2003503838A publication Critical patent/JP2003503838A/ja
Publication of JP2003503838A5 publication Critical patent/JP2003503838A5/ja
Application granted granted Critical
Publication of JP4970679B2 publication Critical patent/JP4970679B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 プラズマ反応チャンバに有用な構成部品は、温度制御された支持部材(22)などのヒート・シンク、および電力式シャワーヘッド電極(20)などの加熱部材を含む。シャワーヘッド電極は、電極上面(30)と支持部材底面(32)との間にガス分配チャンバを格納すべく、支持部材の周囲に固定されている。伝熱部材(36)は、電極と支持部材の間に延在し、シャワーヘッド電極上面の最高温度上昇領域から支持部材底面へ熱を伝えて、シャワーヘッド電極全体の温度分布を制御する。

Description

【発明の詳細な説明】
【0001】 (発明の分野) 本発明は、半導体集積回路基板を処理するためのリアクタに関し、特にリアク
タの加熱される部材全体の温度分布を制御する構成部品に関する。
【0002】 (発明の背景) 半導体の加工処理には、導電材料、誘電材料、および半導体材料の化学的気相
成長(CVD)などの付着工程、こうした層のエッチング、フォトレジスト・マ
スク層のアッシングなどが含まれる。エッチングの場合は、通常プラズマ・エッ
チングを用いて金属材料、誘電材料、および半導体材料をエッチングする。
【0003】 半導体基板のプラズマ処理用シャワーヘッド電極が、本発明の譲受人に譲渡さ
れた米国特許第5,074,456号、第5,472,565号、第5,534
,751号、および第5,569,356号に開示されている。その他のシャワ
ーヘッド電極ガス分配システムが、米国特許第4,209,357号、第4,2
63,088号、第4,270,999号、第4,297,162号、第4,5
34,816号、第4,579,618号、第4,590,042号、第4,5
93,540号、第4,612,077号、第4,780,169号、第4,8
54,263号、第5,006,220号、第5,134,965号、第5,4
94,713号、第5,529,657号、第5,593,540号、第5,5
95,627号、第5,614,055号、第5,716,485号、第5,7
46,875号、および第5,888,907号に開示されている。
【0004】 集積回路製作における共通要件は、誘電材料にコンタクトやヴィア(vias
)などの開口をエッチングすることである。誘電材料には、フッ素化酸化ケイ素
(FSG)などのドープした酸化ケイ素、二酸化ケイ素などの非ドープの酸化ケ
イ素、ホウリンケイ酸ガラス(BPSG)やリンケイ酸ガラス(PSG)などの
ケイ酸ガラス、ドープまたは非ドープの熱成長させた酸化ケイ素、ドープまたは
非ドープのTEOS堆積酸化ケイ素などが含まれる。誘電性のドーパントには、
ホウ素、リン、および/またはヒ素が含まれる。多結晶シリコン、アルミニウム
、銅、チタン、タングステン、モリブデンなどの金属またはこれらの合金、窒化
チタンなどの窒化物、チタンシリサイド、コバルトシリサイド、タングステンシ
リサイド、モリブデンシリサイドなどの金属シリサイドなど導電層または半導体
層の上に、誘電体を重ねることができる。平行平板型プラズマ・リアクタを酸化
ケイ素の開口のエッチングに用いるプラズマ・エッチング技術が、米国特許第5
,013,398号に開示されている。
【0005】 米国特許第5,736,457号が、シングルおよびデュアル「ダマシン」メ
タライゼーション・プロセスを記載している。「シングル・ダマシン」手法では
、ヴィアおよび導線が別々のステップで形成される。すなわち、誘電層中に導線
またはヴィア用のメタライゼーション・パターンをエッチングし、誘電層にエッ
チングされた溝またはヴィア・ホール中に金属層を充填し、化学機械平坦化(C
MP)またはエッチ・バック工程によって過剰の金属を除去する。「デュアル・
ダマシン」手法では、ヴィアおよび導線用のメタライゼーション・パターンを誘
電層にエッチングし、一回の金属充填工程および過剰金属除去工程で、エッチン
グされた溝およびヴィア開口に金属を充填する。
【0006】 エッチング工程中、シャワーヘッド電極は熱くなる。さらに、電極の表面全体
で、温度が著しく異なることがある。シャワーヘッド電極の中心と端部では温度
差が約100℃以上、例えば200℃になることがある。不均一な温度分布によ
って、プラズマ密度および/またはプロセス・ガスの分配が不均一になり、ウエ
ーハのエッチングが不均一になる恐れがある。端部を冷却するシャワーヘッド装
置では、基板の寸法が大きくなるにつれて、この問題はより大きくなる。シャワ
ーヘッドの直径が増大するにつれて、シャワーヘッド電極の中心と端部の間の温
度差がより顕著になるからである。
【0007】 12インチ(300mm)の大きなウエーハをシャワーヘッド電極でエッチン
グするときは、プロセス・ガスを制御してプラズマ分布を均一にすることは一層
困難になる。例えば、より大きな面積にエッチング・ガスを分配するためには、
バッフル内およびシャワーヘッド電極内の開口の数を著しく増大しなければなら
ない。さらに、バッフル内の開口数およびバッフルの数が増大するにつれて、こ
うしたガス分配装置を製造する複雑さとコストが非常に増大する。さらに、ウエ
ーハ表面積の増大に比例してプロセス・ガスの流速を増大しなければならないの
で、処理比率(processing ratio)、選択性、フィーチャ形状
および寸法に関する均一性を達成するのがより困難になる。さらに、シャワーヘ
ッドの寸法が増大すると、シャワーヘッド全体により大きな温度勾配がもたらさ
れ、不均一な基板処理の原因となるおそれがある。
【0008】 (発明の概要) 本発明によれば、シャワーヘッド電極などの加熱部材全体の温度差をかなり減
少することができる。シャワーヘッド電極の場合には、電極全体の温度分布を制
御することによって半導体基板の一層均一な処理が可能になる。さらに、シャワ
ーヘッド電極が到達する最高温度を低下することができるので、電極の有効寿命
を長くすることができる。
【0009】 本発明の一実施形態では、半導体基板処理用の反応チャンバの構成部品には、
ヒートシンク(例えば支持部材)、加熱部材(例えば電力式シャワーヘッド電極
)、およびヒートシンクと加熱部材の間の伝熱部材が含まれる。伝熱部材は、加
熱部材の高温領域からヒートシンクへの熱流路を提供する。
【0010】 例えば、平行平板型プラズマエッチング工程では、シャワーヘッド電極の中心
で発生した熱は、伝熱部材を通って支持部材へ伝わり、電極の中心と電極の周辺
部との温度差を小さくする。したがって、基板処理中、プラズマは制御されて、
および/またはほぼ均一に分布している。
【0011】 本発明の目的および利点は、以下の詳細な説明を図面と併せ読むことによって
理解されよう。
【0012】 (好ましい実施形態の詳細な説明) 本発明をよりよく理解するために、以下の詳細な説明では添付した図面を参照
する。図面では、本発明の好ましい代表的実施形態を図示し記述する。また、図
面中の同様な要素を識別するために全体を通して同じ参照番号を使用する。
【0013】 本発明は、シリコン・ウエーハやフラット・パネル・ディスプレイなど半導体
基板を処理するための、反応チャンバの構成部品の温度制御達成における改良を
提供する。こうした構成部品には、スパッタ・ターゲット、電力式シャワーヘッ
ド電極、シャワーヘッド、基板支持体などが含まれる。こうした構成部品は、冷
媒を通すことによって冷却できることもあり、または冷却できないこともある。
以下、本発明を、電力式シャワーヘッド電極に関して説明する。
【0014】 平行平板型プラズマ・エッチング・チャンバにおいて基板を処理している間、
シャワーヘッド電極の表面温度は、例えば電極に印加される電力から生じる抵抗
発熱のために上昇する。発生した熱は、ヒート・シンクの周辺部へ流れる(周辺
部で、電極とヒート・シンクは互いに固定されている)。しかし、電極中央部は
、ヒート・シンクと直接接触していないので、電極中央部の温度が電極周辺部よ
りずっと高くなることがあり、基板処理を十分に制御することが困難になる。さ
らに、シャワーヘッドの発熱のために、ターゲットまたは基板、シャワーヘッド
、あるいは基板またはターゲットの下の表面では、ある部分が他の部分より熱く
なることがある。本発明は、こうした表面の温度均一性を改良する機構を提供す
る。
【0015】 以下の説明では、プラズマ反応チャンバのシャワーヘッド電極または基板支持
体の温度分布制御に関して本発明を論ずる。ただし、本発明の原理は、半導体処
理用反応チャンバのその他の加熱部材の温度分布制御にも利用できる。
【0016】 プラズマ・エッチング工程用の代表的な反応チャンバ構成部品を図1に示す。
この図で、シャワーヘッド電極20は、冷却された支持部材22に固定されてガ
ス分配チャンバ23を画定している。支持部材22の温度は、支持部材22内の
冷却用チャネル24中に冷媒を循環させることによって制御することができる。
【0017】 シャワーヘッド電極20は、好ましくはシリコン製であるが、アルミニウム、
黒鉛、炭化ケイ素など適当な導電性材料から作ることができ、複数の開口26中
をガスが通過する。図1に示した装置では、シャワーヘッド電極20は、電極と
一体化した端部28を有する。ただし、図3に示すように、端部28に、円形の
シャワーヘッド板の外端部に接合した別の支持リングを備えることもできる。ど
ちらの場合も、外端部28は、熱的電気的に支持部材22と接触している。ガス
分配チャンバ23は、シャワーヘッド電極20の上面30、端部28、および支
持部材22の下面32によって画定される。プロセス・ガスを、中央ガス供給口
29からチャンバ23へ供給する。ただし、プロセス・ガスを、電極の周辺部へ
、かつ/または2つ以上のガス供給口から供給することもできる。ガスは、ガス
分配チャンバ内を下方へ流れ、シャワーヘッド電極20の開口26を通過する。
【0018】 プロセス・ガスをプラズマ状態に励起するために、電力(一般には高周波電力
、ただし直流電力も使用できる)をシャワーヘッド電極20に供給する。シャワ
ーヘッド電極20に電力を加えると、抵抗発熱が起こり、シャワーヘッド電極2
0の温度が上昇する。電極20の周辺だけから除熱した場合は、シャワーヘッド
電極20を通って端部28へ横方向に伝熱する速度が遅いので、シャワーヘッド
電極20の中央領域34の温度が速く上昇してしまう。その結果、シャワーヘッ
ド電極20の中央部34とシャワーヘッド電極20の周辺部28の間に、大きな
温度差(例えば約100〜300℃)が発生することがある。この大きな温度差
は、シャワーヘッド電極20を通るプロセス・ガスの均一な分配、および/また
はプラズマにするための電力の均一な分布を妨げる。
【0019】 本発明の第1実施形態を図2に示す。同図で、本発明の構成部品35は、シャ
ワーヘッド電極20の上面30の中央領域34と温度制御された支持部材22の
底面32との間に、1個または複数の伝熱部材36を含む。プラズマ処理の間、
伝熱部材36を通して、温度制御された支持部材22へ熱が伝わる。このように
して、シャワーヘッド電極20の中央領域34と端部28との温度差を、著しく
減少させることができる(例えば、電極の端部と中央部の間で、温度差50℃未
満、好ましくは15〜20℃未満とすることができる)。この結果、ウエーハが
シャワーヘッド電極の下にある、単一ウエーハ・プラズマ・エッチングなどの半
導体処理で、より均一な処理を達成することができる。
【0020】 伝熱部材36は、熱的電気的に伝導性の材料で作成することが好ましい。ただ
し、導電性ではないが、熱伝導性の材料も使用できる。適当な材料には、SiC
、Si34、AlNなどのセラミック材料、Al、Cu、ステンレス鋼、Moな
どの金属、強化金属(例えば、炭素ファイバ−アルミニウムまたは銅、ホウ素フ
ァイバ−アルミニウム、SiC粒子−アルミニウムなど)などの金属複合材が含
まれる。例えば、伝熱部材36は、所望の形状に機械加工したアルミニウム鋳造
体とすることができる。
【0021】 図2の実施形態は、シャワーヘッド電極20の上面30へエッチング・ガスを
より均一に分配する役割を果たすバッフル・アセンブリを含む。バッフル・アセ
ンブリは、シャワーヘッド電極20と支持部材22の間に設置した、1個または
複数のバッフル・プレート40を含むことができる。バッフル・プレート40は
、アルミニウム製とすることができ、1個または複数の切り抜き部42を含み、
類似の形状の伝熱部材36を収容する。伝熱部材36は、支持部材22の底面3
2とシャワーヘッド電極上面の間の、切り抜き部が画定する空間内に嵌合する。
【0022】 図2に示すように、伝熱部材36は切欠き部44を含み、これによって、ガス
供給口29からバッフル・プレートが画定したプレナム中へ、プロセス・ガスが
流れることができる。その結果、入口29から供給されたガスは、バッフル・プ
レート40の表面全体に分配される。
【0023】 図3は、本発明による構成部品35の第2実施形態を示す。この実施形態では
、バッフル・プレート40を切り欠いて伝熱部材36を収容する必要はない。そ
の代わり、伝熱部材36は、支持部材22、バッフル・プレート40、およびシ
ャワーヘッド電極20の間に挟まれている。伝熱部材36は、貫通したガス流路
を含むことができ、入口29からのガスを、バッフル・プレート40が画定した
プレナム内に分配することができる。別法としては、伝熱部材36を中実とし、
バッフル・プレートに溝または流路を設けて、バッフル・プレートが画定したプ
レナム中を、プロセス・ガスが自由に循環できるようにすることもできる。
【0024】 本発明の構成部品35の第3実施形態を図4に示す。この場合は、反応チャン
バは、支持部材22とシャワーヘッド電極20の間にバッフル・プレートを含ん
でいない。第3実施形態では、伝熱部材36は、シャワーヘッド電極20と支持
部材22の間に画定されたガス分配チャンバ中に配置されている。図4に示すよ
うに、伝熱部材36は切欠き部44を有しており、伝熱部材36の間、および支
持部材22の表面全体、シャワーヘッド電極20の表面全体をプロセス・ガスが
流れることができるようになっている。
【0025】 シャワーヘッド電極20からの除熱を促進するために、伝熱部材36は、支持
部材22の底面32およびシャワーヘッド電極20の上面30のどちらとも熱接
触がきわめて良好であることが好ましい。伝熱部材36、加熱部材(例えばシャ
ワーヘッド電極20)、およびヒート・シンク(例えば支持部材22)の間には
間隙がないことが理想的である。これら部品間の良好な熱接触は、シャワーヘッ
ド電極20、伝熱部材36、および支持部材22を、対合面を備えるように製作
すること、伝熱部材の両面にインジウム、銀などの金属材料のガスケットなど熱
伝導性材料を設けること、および/または、金属材料または、電気および/また
は熱伝導性の粒子を含有したエラストマなど導電性接着剤を、シャワーヘッド電
極20の上面30に結合することなど、様々な方法によって確実なものにするこ
とができる。
【0026】 図4Aにより詳細に示すように、シャワーヘッド電極20と支持部材22の間
に挟まれた伝熱部材36は、同心円状に配置されたアニュラ・リングである。こ
のリングは、切欠き部44を含んでおり、プロセス・ガスがガス分配チャンバ全
体に流れることを可能にする。図4および図4Aには3個のリングが示されてい
るが、所望の伝熱効果を達成するために、リングの数を増加または減少すること
ができる。さらに、この1個または複数の伝熱部材は、リング以外の形状でもよ
い(例えば伝熱部材が、中心のハブと放射状に延在するアームの形状でも、また
は他の適当な形状でもよい)。伝熱部材36の配置は、シャワーヘッド電極20
の上面30の最小限を覆うだけであって、一方、所望の伝熱効果は今までどおり
達成されていることが理想である。
【0027】 好ましくは、バッフル・プレートを含んでいないガス分配チャンバ内により均
一にガスを分配するために、構成部品35は、複数のガス供給口39を含むこと
ができる。こうした装置では、ガス圧力は各ガス供給口39の出口で最高になる
ので、複数のガス供給口39を設けることによって、単一のガス供給口と比べて
、ガス圧力分布の一層均一な分配を得ることが可能になる。
【0028】 図5は、本発明の構成部品35の第4実施形態を示す。この場合は、伝熱部材
36は、基板支持表面37と支持部材41の間に置かれている。表面37は、底
部電極の一部であってもよく、この電極は、付随する静電クランプ(ESC)を
備えていてもそうでなくてもよい。伝熱部材36を用いて、表面37の一部から
支持部材41へ熱を取り去って、表面37全体の温度分布を制御することができ
る。このような場合には、基板支持体では、Siウエーハなどの基板を冷却する
ために一般に用いられるHeバック冷却装置を省くことができる。
【0029】 上記の実施形態において、伝熱部材36は、独立した部品でもよく、あるいは
、加熱部材(例えばシャワーヘッド電極20)またはヒート・シンク(例えば支
持部材22)と一体化してもよい。図6は、シャワーヘッド電極と一体化した伝
熱部材36の例を示し、図7は、支持部材22と一体化した伝熱部材36の例を
示す。結合材料を使う場合は、この結合材料は、熱的な、および任意選択で電気
的な伝導性が良好で、かつ真空の環境に適合しているべきである(例えば、蒸気
圧が低く、この材料が半導体処理の環境を著しく汚染しないこと)。適当な結合
材料には、エラストマまたはエポキシなどの伝導性接着剤、およびはんだ材料ま
たはろう付け材料が含まれる。
【0030】 したがって、本発明では、シャワーヘッド電極装置の場合、シャワーヘッド電
極の中央領域34と温度制御された支持部材22との直接または間接の面対面接
触を達成することができる。それによって、本発明は、シャワーヘッド電極20
の中央領域34と端部28との温度差を制御することができる。シャワーヘッド
電極20全体のこうした温度制御によって、処理を受ける基板全体に、より制御
されたプラズマ密度および/またはガスの流れ/圧力を得ることができる。
【0031】 本発明を、好ましい実施形態に関して説明した。しかし、本発明の趣旨から逸
脱することなく、上記以外の具体的な形態で本発明を実施できることを、当業者
なら理解するであろう。好ましい実施形態は例示的なものであり、いかなる点か
らも限定的なものとみなすべきではない。本発明の範囲は、上記の説明によって
ではなく、添付の特許請求の範囲によって与えられるものであり、特許請求の範
囲内に入るすべての変形形態および均等物はその中に含まれるものである。
【図面の簡単な説明】
【図1】 単一ガス供給口を含む、ガス分配システムの一例の断面図である。
【図2】 本発明の第1実施形態の分解斜視図である。
【図3】 本発明の第2実施形態の断面図である。
【図4】 本発明の第3実施形態の分解斜視図である。
【図4A】 伝熱部材が同心リングを含む、図4に示した伝熱部材の斜視図である。
【図5】 本発明の第4実施形態の分解斜視図である。
【図6】 支持部材と一体の伝熱部材の斜視図である。
【図7】 シャワーヘッド電極と一体の伝熱部材の斜視図である。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,MZ,SD,SL,SZ,TZ,UG ,ZW),EA(AM,AZ,BY,KG,KZ,MD, RU,TJ,TM),AE,AG,AL,AM,AT, AU,AZ,BA,BB,BG,BR,BY,CA,C H,CN,CR,CU,CZ,DE,DK,DM,DZ ,EE,ES,FI,GB,GD,GE,GH,GM, HR,HU,ID,IL,IN,IS,JP,KE,K G,KP,KR,KZ,LC,LK,LR,LS,LT ,LU,LV,MA,MD,MG,MK,MN,MW, MX,MZ,NO,NZ,PL,PT,RO,RU,S D,SE,SG,SI,SK,SL,TJ,TM,TR ,TT,TZ,UA,UG,US,UZ,VN,YU, ZA,ZW (72)発明者 デインドサ, ラジンダー アメリカ合衆国 カリフォルニア州 95148, サン ホセ, ローリングサイ ド ドライブ 3670 (72)発明者 プルハシェミ, ジャヴァド アメリカ合衆国 カリフォルニア州 94583, サン ラモン, フォーレン リーフ コート 508 Fターム(参考) 5F004 AA01 BA04 BB28 BC03 BD01 BD04 CA09

Claims (22)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板を処理するための反応チャンバにおいて有用な、
    温度制御された構成部品であって、 ヒート・シンクと、 前記ヒート・シンクによって支持された加熱部材と、 ヒート・シンクと、および前記加熱部材中で温度上昇が最高である加熱部材の
    局部領域とに熱接触している伝熱部材とを備える構成部品。
  2. 【請求項2】 前記ヒート・シンクが底面を有する支持部材であり、前記加
    熱部材が、シャワーヘッド電極上面と支持部材底面との間にガス分配チャンバを
    格納すべく、当該支持部材の周囲に固定された電力式シャワーヘッド電極であり
    、前記伝熱部材が、前記シャワーヘッド電極上面の中央領域および前記支持部材
    底面と熱接触している請求項1に記載の構成部品。
  3. 【請求項3】 プロセス・ガスが、1個または複数のガス供給口を通して前
    記ガス分配チャンバへ供給される請求項2に記載の構成部品。
  4. 【請求項4】 前記伝熱部材が金属の一体構造を備える請求項2に記載の構
    成部品。
  5. 【請求項5】 前記加熱部材が、シャワーヘッド、基板支持体、またはスパ
    ッタ・ダーゲット支持体を備える請求項1に記載の構成部品アセンブリ。
  6. 【請求項6】 前記伝熱部材が鋳造金属体である請求項2に記載の構成部品
  7. 【請求項7】 前記伝熱部材がシリコンの成形体である請求項2に記載の構
    成部品。
  8. 【請求項8】 前記伝熱部材が金属複合材である請求項2に記載の構成部品
  9. 【請求項9】 前記伝熱部材が電極上面に突起を備える請求項2に記載の構
    成部品。
  10. 【請求項10】 前記伝熱部材が結合材料で電極上面に結合された成形体を
    備える請求項2に記載の構成部品。
  11. 【請求項11】 前記結合材料が金属材料または熱伝導性接着剤である請求
    項10に記載の構成部品。
  12. 【請求項12】 前記伝熱部材が前記支持部材底面に突起を備える請求項2
    に記載のシャワーヘッド電極アセンブリ。
  13. 【請求項13】 前記伝熱部材が結合材料で支持部材底面に結合された成形
    体を備える請求項2に記載の構成部品。
  14. 【請求項14】 前記結合材料が金属材料または熱伝導性接着剤である請求
    項13に記載の構成部品。
  15. 【請求項15】 前記伝熱部材が同心円状に配置されたアニュラ・リングを
    備える請求項2に記載の構成部品。
  16. 【請求項16】 前記リングが、その中を貫通するガス通路を備える請求項
    15に記載の構成部品。
  17. 【請求項17】 冷媒が前記支持部材の流路を通過する請求項2に記載の構
    成部品。
  18. 【請求項18】 前記支持部材とシャワーヘッド電極との間に設置され、第
    1および第2バッフル・プレートを含むバッフル・アセンブリをさらに備える請
    求項2に記載の構成部品。
  19. 【請求項19】 前記第1および第2バッフル・プレートが前記伝熱部材の
    形状を有する成形開口を含み、当該成形開口が、前記伝熱部材の周囲に密に嵌合
    している請求項18に記載の構成部品。
  20. 【請求項20】 前記伝熱部材が、第1、第2、および第3成形体を備え、
    当該第1体は、前記シャワーヘッド電極上面の中央領域と前記第1バッフル・プ
    レート下部に熱接触しており、当該第2体は、前記第1バッフル・プレート上部
    と前記第2バッフル・プレート下部に熱接触しており、当該第3体は、前記第2
    バッフル・プレート上部および前記支持部材底面に熱接触している請求項18に
    記載の構成部品。
  21. 【請求項21】 プロセス・ガスが、バッフル・プレートを通り抜けて当該
    バッフル・プレートとシャワーヘッド電極の間のガス・プレナム内へ入り、次い
    で当該シャワーヘッド電極の開口を通り抜けてプラズマ・チャンバ内へ入るプラ
    ズマ・チャンバ用構成部品において、 前記シャワーヘッド電極の中央部と前記バッフル・プレート上方の冷却された
    支持部材との間に熱流路を設ける改良された伝熱部材を備える。
  22. 【請求項22】 請求項2に記載の構成部品を含むプラズマ・チャンバ内で
    半導体基板を処理する方法であって、 前記シャワーヘッド電極中にプロセス・ガスを流すこと、 前記シャワーヘッド電極に高周波電力を供給することによって、当該プロセス
    ・ガスをプラズマ状態に励起すること、および 前記半導体基板の露出表面をプラズマと接触させることを含む方法。
JP2001506573A 1999-06-30 2000-06-14 温度均一性が改良されたプラズマ反応チャンバ構成部品及びそれを用いた処理方法 Expired - Lifetime JP4970679B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/343,482 1999-06-30
US09/343,482 US6123775A (en) 1999-06-30 1999-06-30 Reaction chamber component having improved temperature uniformity
PCT/US2000/016786 WO2001001442A1 (en) 1999-06-30 2000-06-14 A plasma reaction chamber component having improved temperature uniformity

Publications (3)

Publication Number Publication Date
JP2003503838A true JP2003503838A (ja) 2003-01-28
JP2003503838A5 JP2003503838A5 (ja) 2007-08-23
JP4970679B2 JP4970679B2 (ja) 2012-07-11

Family

ID=23346293

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001506573A Expired - Lifetime JP4970679B2 (ja) 1999-06-30 2000-06-14 温度均一性が改良されたプラズマ反応チャンバ構成部品及びそれを用いた処理方法

Country Status (8)

Country Link
US (1) US6123775A (ja)
EP (1) EP1190435A1 (ja)
JP (1) JP4970679B2 (ja)
KR (1) KR100733897B1 (ja)
CN (1) CN100350545C (ja)
AU (1) AU6052100A (ja)
TW (1) TW473781B (ja)
WO (1) WO2001001442A1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003158120A (ja) * 2001-09-10 2003-05-30 Anelva Corp 表面処理装置
WO2005024928A1 (ja) * 2003-09-03 2005-03-17 Tokyo Electron Limited ガス処理装置および放熱方法
JP2007535817A (ja) * 2004-04-30 2007-12-06 ラム リサーチ コーポレーション シャワーヘッド電極及びヒータを備えるプラズマ処理用の装置
JP2008177428A (ja) * 2007-01-19 2008-07-31 Tokyo Electron Ltd プラズマ処理装置
JP2009010101A (ja) * 2007-06-27 2009-01-15 Tokyo Electron Ltd 基板処理装置およびシャワーヘッド
JP2017199898A (ja) * 2016-04-13 2017-11-02 ラム リサーチ コーポレーションLam Research Corporation バッフルプレートおよびシャワーヘッドアセンブリならびに対応する製造方法
US10654912B2 (en) 2015-09-08 2020-05-19 Jcr Pharmaceuticals Co., Ltd. Human serum albumin mutant

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
EP1361604B1 (en) * 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
KR100776843B1 (ko) * 2001-02-09 2007-11-16 동경 엘렉트론 주식회사 성막 장치 및 Ti막 성막 장치
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020134513A1 (en) * 2001-03-22 2002-09-26 David Palagashvili Novel thermal transfer apparatus
JP4402860B2 (ja) * 2001-03-28 2010-01-20 忠弘 大見 プラズマ処理装置
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP4493932B2 (ja) * 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US7210538B2 (en) * 2004-03-27 2007-05-01 Cnh America Llc Center pivot wing flotation method and apparatus
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20060000551A1 (en) * 2004-06-30 2006-01-05 Saldana Miguel A Methods and apparatus for optimal temperature control in a plasma processing system
US7780791B2 (en) * 2004-06-30 2010-08-24 Lam Research Corporation Apparatus for an optimized plasma chamber top piece
US8540843B2 (en) 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20060065632A1 (en) * 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US20060065631A1 (en) * 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7323116B2 (en) * 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
KR100572118B1 (ko) * 2005-01-28 2006-04-18 주식회사 에이디피엔지니어링 플라즈마 처리장치
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
JP2008540840A (ja) * 2005-05-09 2008-11-20 エイエスエム・ジェニテック・コリア・リミテッド 複数の気体流入口を有する原子層堆積装置の反応器
KR100629358B1 (ko) * 2005-05-24 2006-10-02 삼성전자주식회사 샤워 헤드
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
KR101036185B1 (ko) * 2005-09-30 2011-05-23 엘아이지에이디피 주식회사 플라즈마 처리장치
US7826724B2 (en) * 2006-04-24 2010-11-02 Nordson Corporation Electronic substrate non-contact heating system and method
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
JP4928991B2 (ja) 2007-03-12 2012-05-09 東京エレクトロン株式会社 基板処理装置
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
CN102027156A (zh) * 2008-03-26 2011-04-20 Gt太阳能公司 在化学气相沉积反应器中用于配气的系统和方法
RU2010143546A (ru) * 2008-03-26 2012-05-10 ДжиТи СОЛАР, ИНКОРПОРЕЙТЕД (US) Реакторная система с золотым покрытием для осаждения поликристаллического кремния и способ
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20110097489A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
JP2013012353A (ja) * 2011-06-28 2013-01-17 Hitachi High-Technologies Corp プラズマ処理装置
WO2014052301A1 (en) * 2012-09-26 2014-04-03 Applied Materials, Inc. Controlling temperature in substrate processing systems
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
TWI470105B (zh) * 2013-06-03 2015-01-21 Adpv Technology Ltd Gas Reaction Continuous Cavity and Gas Reaction
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
WO2017048987A1 (en) * 2015-09-15 2017-03-23 Life Technologies Corporation Systems and methods for biological analysis
JP7175266B2 (ja) * 2016-09-23 2022-11-18 アプライド マテリアルズ インコーポレイテッド スパッタリングシャワーヘッド
US10607817B2 (en) * 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US11776822B2 (en) * 2018-05-29 2023-10-03 Applied Materials, Inc. Wet cleaning of electrostatic chuck
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
WO2023140941A1 (en) * 2022-01-24 2023-07-27 Lam Research Corporation Active temperature control of showerheads for high temperature processes
US20230335377A1 (en) * 2022-04-15 2023-10-19 Applied Materials, Inc. Showerhead assembly with heated showerhead

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62166626U (ja) * 1986-04-11 1987-10-22
JPH0382022A (ja) * 1989-08-24 1991-04-08 Nec Corp ドライエッチング装置
JPH03266428A (ja) * 1990-03-15 1991-11-27 Tokyo Electron Ltd プラズマエッチング方法
JPH077001A (ja) * 1992-10-19 1995-01-10 Hitachi Ltd プラズマエッチングシステム及びプラズマエッチング方法
JPH0927398A (ja) * 1995-07-10 1997-01-28 Tokyo Electron Ltd プラズマ処理装置
JPH1030185A (ja) * 1996-07-17 1998-02-03 Matsushita Electric Ind Co Ltd プラズマ処理装置
JPH10501300A (ja) * 1994-06-03 1998-02-03 マテリアルズ リサーチ コーポレーション Cvd法及びpecvd法による低温成膜方法及び装置

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4270999A (en) * 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
US4337495A (en) * 1980-06-13 1982-06-29 Porta Systems Corp. Carbon electrode having metallic heat sink
US4481636A (en) * 1982-05-05 1984-11-06 Council For Mineral Technology Electrode assemblies for thermal plasma generating devices
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
CH664303A5 (de) * 1985-04-03 1988-02-29 Balzers Hochvakuum Haltevorrichtung fuer targets fuer kathodenzerstaeubung.
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
EP0276962A1 (en) * 1987-01-27 1988-08-03 Machine Technology Inc. Cooling device for a sputter target and source
US4780169A (en) * 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
US5151918A (en) * 1990-08-28 1992-09-29 Argent Ronald D Electrode blocks and block assemblies
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5423936A (en) * 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
JP3242166B2 (ja) * 1992-11-19 2001-12-25 株式会社日立製作所 エッチング装置
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
JP3308091B2 (ja) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
GB9413973D0 (en) * 1994-07-11 1994-08-31 Rank Brimar Ltd Electrode structure
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5772770A (en) * 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5716485A (en) * 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
JP3599204B2 (ja) * 1995-06-08 2004-12-08 アネルバ株式会社 Cvd装置
US5879348A (en) * 1996-04-12 1999-03-09 Ep Technologies, Inc. Electrode structures formed from flexible, porous, or woven materials
TW340957B (en) * 1996-02-01 1998-09-21 Canon Hanbai Kk Plasma processor and gas release device
US5730803A (en) * 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
JP3360265B2 (ja) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6589407B1 (en) * 1997-05-23 2003-07-08 Applied Materials, Inc. Aluminum deposition shield

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62166626U (ja) * 1986-04-11 1987-10-22
JPH0382022A (ja) * 1989-08-24 1991-04-08 Nec Corp ドライエッチング装置
JPH03266428A (ja) * 1990-03-15 1991-11-27 Tokyo Electron Ltd プラズマエッチング方法
JPH077001A (ja) * 1992-10-19 1995-01-10 Hitachi Ltd プラズマエッチングシステム及びプラズマエッチング方法
JPH10501300A (ja) * 1994-06-03 1998-02-03 マテリアルズ リサーチ コーポレーション Cvd法及びpecvd法による低温成膜方法及び装置
JPH0927398A (ja) * 1995-07-10 1997-01-28 Tokyo Electron Ltd プラズマ処理装置
JPH1030185A (ja) * 1996-07-17 1998-02-03 Matsushita Electric Ind Co Ltd プラズマ処理装置

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003158120A (ja) * 2001-09-10 2003-05-30 Anelva Corp 表面処理装置
JP4536662B2 (ja) * 2003-09-03 2010-09-01 東京エレクトロン株式会社 ガス処理装置および放熱方法
WO2005024928A1 (ja) * 2003-09-03 2005-03-17 Tokyo Electron Limited ガス処理装置および放熱方法
JP2007535817A (ja) * 2004-04-30 2007-12-06 ラム リサーチ コーポレーション シャワーヘッド電極及びヒータを備えるプラズマ処理用の装置
JP2008177428A (ja) * 2007-01-19 2008-07-31 Tokyo Electron Ltd プラズマ処理装置
TWI480949B (zh) * 2007-06-27 2015-04-11 Tokyo Electron Ltd Substrate handling device and sprinkler
JP2009010101A (ja) * 2007-06-27 2009-01-15 Tokyo Electron Ltd 基板処理装置およびシャワーヘッド
US10654912B2 (en) 2015-09-08 2020-05-19 Jcr Pharmaceuticals Co., Ltd. Human serum albumin mutant
US11046751B2 (en) 2015-09-08 2021-06-29 Jcr Pharmaceuticals Co., Ltd. Human serum albumin mutant
EP3845644A1 (en) 2015-09-08 2021-07-07 JCR Pharmaceuticals Co., Ltd. Novel human serum albumin mutant
US11634474B2 (en) 2015-09-08 2023-04-25 Jcr Pharmaceuticals Co., Ltd. Human serum albumin mutant
EP4374913A2 (en) 2015-09-08 2024-05-29 JCR Pharmaceuticals Co., Ltd. Novel human serum albumin mutant
JP2017199898A (ja) * 2016-04-13 2017-11-02 ラム リサーチ コーポレーションLam Research Corporation バッフルプレートおよびシャワーヘッドアセンブリならびに対応する製造方法

Also Published As

Publication number Publication date
TW473781B (en) 2002-01-21
CN1370325A (zh) 2002-09-18
KR100733897B1 (ko) 2007-07-02
WO2001001442A1 (en) 2001-01-04
EP1190435A1 (en) 2002-03-27
JP4970679B2 (ja) 2012-07-11
CN100350545C (zh) 2007-11-21
KR20020027374A (ko) 2002-04-13
US6123775A (en) 2000-09-26
WO2001001442A9 (en) 2003-01-30
AU6052100A (en) 2001-01-31

Similar Documents

Publication Publication Date Title
JP2003503838A (ja) 温度均一性が改良されたプラズマ反応チャンバ構成部品
JP4732646B2 (ja) 半導体処理用のガス分配装置
US8075729B2 (en) Method and apparatus for controlling temperature of a substrate
US20030029715A1 (en) An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US8390980B2 (en) Electrostatic chuck assembly
TWI333232B (en) Substrate support having temperature controlled substrate support surface
KR101476566B1 (ko) 다이나믹 온도 제어를 가지는 기판 지지대
US20190371577A1 (en) Extreme uniformity heated substrate support assembly
US20070139856A1 (en) Method and apparatus for controlling temperature of a substrate
JP2002502555A (ja) 一体型イオンフォーカスリングを有する静電支持組立体
WO2003015133A2 (en) Showerhead electrode design for semiconductor processing reactor
CN114521288B (zh) 用于半导体晶片固持器的热扩散器
CN110867363A (zh) 等离子体处理装置
JP2002025912A (ja) 半導体製造装置用サセプタとそれを用いた半導体製造装置
CN116194616A (zh) 高温工艺用轴向冷却金属喷头
WO2024035589A1 (en) Vacuum seal for electrostatic chuck
TWI423368B (zh) A device for reducing the backside polymer of a substrate
CN116490964A (zh) 用于半导体加工的高热量损失加热器与静电卡盘

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070614

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070614

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100628

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100702

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101001

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110513

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110812

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110819

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110909

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111007

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120119

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120125

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120316

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120405

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150413

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4970679

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term