JPH10501300A - Cvd法及びpecvd法による低温成膜方法及び装置 - Google Patents

Cvd法及びpecvd法による低温成膜方法及び装置

Info

Publication number
JPH10501300A
JPH10501300A JP8500804A JP50080496A JPH10501300A JP H10501300 A JPH10501300 A JP H10501300A JP 8500804 A JP8500804 A JP 8500804A JP 50080496 A JP50080496 A JP 50080496A JP H10501300 A JPH10501300 A JP H10501300A
Authority
JP
Japan
Prior art keywords
gas
showerhead
substrate
film forming
cylinder
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8500804A
Other languages
English (en)
Inventor
エフ フォスター ロバート
ティー ヒルマン ジョセフ
エオラ リキット
Original Assignee
マテリアルズ リサーチ コーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マテリアルズ リサーチ コーポレーション filed Critical マテリアルズ リサーチ コーポレーション
Publication of JPH10501300A publication Critical patent/JPH10501300A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4417Methods specially adapted for coating powder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Fluid Mechanics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 CVD法及びPECVD法による低温成膜は、ガス分散シャワーヘッド(36)を回転基板から25mm(1インチ)以内に配置して行われる。このシャワーヘッドは、上記リングとシャワーヘッドの間で定常ガス流を生成するような、ガス分散リング(50,52)より下の適当な距離に配置される。円筒機構は、上記ガス分散リングとシャワーヘッドの間まで延長され、上記基板の全面で薄い境界層を生成するようにし、上記シャワーヘッド全体にガスを入れている。このシャワーヘッドには、RFエネルギが印加され、PECVDほうにおいては基板近傍でプラズマを励起する電極として機能する。このシリンダ(60)は、シリンダ内でプラズマが励起されることを防ぐために、石英等の絶縁用のリング62でシャワーヘッドと絶縁されるか、全体が石英材料で作られる。このRFシャワーヘッドは、小さなガスの分散孔(64)を用いてシリンダ内でプラズマが励起することをさらに防いでいる。

Description

【発明の詳細な説明】 発明の名称 CVD法及びPECVD法による低温成膜方法及び装置 技術分野 本発明は、化学気相成長に関し、特に、反応ガスの利用効率及びプラズマ濃度 を高めるために、ガス分配用シャワーヘッドと回転サセプタの配置に特徴を有す る化学気相成長方法及び装置に関する。特に、この化学気相成長方法及び装置は 、Tiを含むCVDコーティングの成膜に有効なものである。 背景技術 化学気相成長法(CVD)は、集積回路の製造において、基板に膜あるいは層 を形成する方法として一般に用いられている技術である。このCVDは、基板が 置かれた反応チャンバ内に種々の反応ガスを導入して行われる。上記の反応ガス は、基板の近傍で混合され、基板表面で化学反応を起こす。この化学反応による 単一又は複数種の反応生成物が、基板表面に堆積して膜を形成する。 このようなCVDの一種として、プラズマエンハンスCVD(PECVD)が ある。PECVDでは、単一又は複数種の反応ガスが、RF又はマイクロ波の電 気的エネルギによりプラズマ状態に励起される。励起されたプラズマは、活性化 された単一又は複数種のガス粒子を含んでいる。このプラズマガスとは別の反応 ガスと混合された励起プラズマは、混合されたガス種間で化学反応を起こして基 板上に膜を堆積する際のエネルギーを供給する。 すでに知られているように、CVD及びPECVDのいずれにおいても、基板 表面やプラズマに供給される反応ガスの流れは、成膜を適切に行うために重要な 要素である。PECVDにおいては、目的とする膜の均一な堆積を促進するため に、基板表面への反応ガスの流れだけでなく、励起プラズマへのプラズマガスの 流れも均一であることが必要である。 あるVCD方法では、反応ガスは、化学反応を起こして所望の膜を形成するた めに必要な密度になるように所定の流量で導入され、同じ流量で排出される。一 般に、反応ガスは、ガスリング又は傘状の供給機構を介して基板の上方に導入さ れ、所定の流量で基板に向かって流される。基板に到達した反応ガスは、直ちに 混合・反応して膜を形成し、残りの未反応ガスは真空排気系から排気される。こ のようなCVD法では、混合された反応ガスが流れている領域と、反応ガスの密 度が極めて低い基板表面の間に、ガスの流れが悪く淀んだ領域が存在するのが通 常である。この領域は境界層と呼ばれる。境界層が厚いときには、大部分の反応 ガスが基板をバイパスしてしまい、反応を起こさずに反応チャンバから排気され てしまう。これは反応ガスの浪費であり、コストアップを招くことになる。この ように、反応ガスが基板表面での化学反応に利用され、反応せずに基板をバイパ スしてチャンバ外に排気されてしまうことがないような、実用的な反応ガス密度 を得るためには、境界層ができるだけ薄いことが望ましい。 基板上の境界層を薄くするための一方法は、反応ガスを層流状態で導入するこ とである。反応ガスの層流は、基板上の全面に亘って外側に向けて流れているガ スの体積が、基板表面に下向き及び直角に流れている導入されたガスの体積とほ ぼ等しいときに実現する。ガス流量が少ないときは、層流がすぐに実現する。一 方、ガス流量が大きいときには、反応ガスは基板表面をかなり速く素通りするた め下向きのガス流に乱流や逆流が発生する。 流入ガス流量が増加しても、このような逆流や乱流の発生を抑えるための方法 の一つが、回転サセプタ上の基板を回転させることである。この回転サセプタと して適当なものは、例えばアリゾナ州フェニックスのMaterials Research corpo ration(MRC)社から入手できるRotating Disk Reactorに利用されているものであ る。回転サセプタは、基板を回転させ、反応ガスを基板表面の全体に向けて吸い 込み、下向き及び外向きのポンピング作用を行う。このポンピング作用は、基板 全体に亘って外側ほど流れが速いガスの流れを生むため、下向きのガス流量を大 きくしても逆流や乱流を生じない。ウェハは、層流状態が実現する回転数、すな わち下向きの流れの流量が外向きの流れの流量に等しくなるような回転数で回転 されることが望ましい。回転サセプタによる反応ガスの層流は、均一な膜を堆積 するために望ましい反応ガスの薄い境界層を実現する。 回転サセプタの使用は、より大きなガス流量の導入を可能にする一方で、基板 上に均一に成膜できるように回転されている基板の表面に到達する前に、ポンピ ングされた反応ガスの流速に大きな分布が生じてしまうことが一般に知られてい る。すなわち、流入するガス流の流速(流れのパスに直角に測定した)が、定常 状態になってしまう。実用的な成膜圧力(例えば1〜100Torr.)を用いる一 般的なCVD装置で、定常状態の流れを実現するためには、ガスリング及びガス 分散用のシャワーヘッドと回転基板表面との間隔は、約4インチ以上必要とされ ている。基板における定常状態のガス流が大きいときには、この間隔が広くても 問題ない。 むしろ、導入される反応ガスが、導入点から回転基板までのこのような長い距 離を移動する間に、分散してしまうことが大きな問題である。このような分散が あると、かなりの体積の反応ガスが基板の端部付近をバイパスし、基板表面で反 応せずに反応チャンバから排気されることになる。例えば、図1は、回転サセプ タ6上で回転する基板8を収容するCVD反応室7中での、下向き及び外向きの ガス流の多数の流線5を示している。この流線5は、回転サセプタ6及び基板8 の約4インチ以上に設けられた複数のガスリング及び1つのガス分散シャワーヘ ッド(図示せず)から始まっている。この流線5は、ガス分散リング及びシャワ ーヘッドと、回転している基板8との間隔が大きい場合に起こる問題を説明して いる。これから分かるように、境界層の平均厚さは、基板8をバイパスして整流 板11付近を通過して、反応室7から図示しない適当な排気系により排気される 反応ガス5についてのかなり重要で本質的な量である。基板をバイパスする反応 ガス5の量が多いと、基板表面12で反応に利用できる反応ガスの密度が低下す るため、成膜速度が低下する。そのうえ、基板表面12の反応ガス5の厚い境界 層は、基板8上に堆積される膜の均一性に影響を及ぼす。さらに、反応せずに排 気されるガスの浪費は、この成膜法の総合的な効率低下とコスト増をもたらす。 ガス分散リング及びシャワーヘッドと、回転基板との間隔が大きい場合のもう 一つの問題は、基板の近傍の十分に高密度のプラズマを励起できないことである 。特に、PECVD法においては、活性化されたプラズマ粒子が表面反応のため のエネルギを供給するために、反応ガスプラズマが基板近傍で励起されることが 望ましい。特に、Method And Apparatus For Producing Thin Films By Low Tem perature Plasma-Enhanced Chemical Vapor Deposition Using A Rotating Susc eptor Reactor と題する、同日にファイルされた出願に開示されているように、 チタンを含む膜の低温PECVDのためには高濃度のプラズマが必要である。し かし、ガスリングとシャワーヘッドが基板から4以上インチの間隔で設けらてい る現行の装置では、回転基板近傍に適当な濃度のプラズマを励起することは、十 分に実現することはできていない。 このため、基板へのガス流が定常状態に維持しながら、薄い境界層と基板表面 の十分な密度のガスが存在するように、反応ガスを基板表面に分散させることが 本発明の一つである。さらに、PECVD膜を堆積するのに十分な高密度のプラ ズマを、基板表面で発生させることも本発明の目的である。 発明の開示 本発明は、上述した目的のために、CVDチャンバの中で回転している基板の 近傍に、反応ガスを分散させるための装置及び方法を提供するものであり、より 効率的で均一性の高い成膜及びガス利用のために、改良された反応ガス流と薄い 境界層を、基板表面全体に生成する装置及び方法を提供するものである。本発明 は、さらにPECVDにおいて、特にTiを含むコーティング膜を低温で形成す るための高濃度のプラズマを回転基板上に生成するものである。 本発明は、回転基板から1インチ以内に設けられたガス分散シャワーヘッドを 利用するものである。このシャワーヘッドは、ガス供給リングあるいは他の供給 装置より下方の適当な距離の位置に設けられ、ガスは、シャワーヘッドから回転 基板全体に分配される前に、リングとシャワーヘッドの間で定常状態のガス流に される。シャワーヘッドは、基板に薄い境界層を発生して、より均一なCVD膜 が効率よく堆積するように、基板から1インチ以内、好ましくは20mm以内に 設けられる。 この実施例の一つは、反応ガス供給部に接続されたガス供給リングとシャワー ヘッドとの間の円筒状の機構又は円筒長を長くすることである。反応ガスは、シ リンダの基板から遠い側の一端からその内部に供給され、シャワーヘッド内のガ ス分散孔の穴を介して回転基板の全面に分散するようにシリンダの長手方向に流 下する。この反応ガスの流れの速度分布は、シリンダの長手方向で発達し、シリ ンダーは、基板表面への望ましい反応ガスの流れが、ガス分散リングシャワーヘ ッドだけを通って反応ガスが基板に供給されるように制限する。シャワーヘッド を近接して置くことは、定常状態のガス流と同様に基板全面の境界層を薄くして 、基板表面への効率的で均一なCVD膜の堆積を可能にする。このシャワーヘッ ドの形状及びガス拡散リングの穴の好ましい1/32(0.0313)インチの 寸法は、基板全面に亘ってガスの速度分布を平坦化し、基板へのより均一なガス 流を生成する。シャワーヘッドと薄くされた境界層が近接することにより、基板 をバイパスする反応ガスが少なくなって、より効率的なCVDを実現する。 本発明の、もう一つの特徴は、シャワーヘッドにRFエネルギが印加されて、 PECVDに用いるためのRF電極を構成していることである。プラズマガスは 、このシャワーヘッド/電極を通って、その近傍で励起され、PECVDの表面 反応のためのエネルギを供給する高濃度のプラズマを基板近傍に生成する(以後 は、シャワーヘッドを、必要に応じてシャワーヘッド/電極と呼ぶ)。例えば、 シャワーヘッド/電極と基板間の間隔を1インチ以下に短縮し、本発明による改 良されたガス流を用いれば、PECVD方法による成膜に必要なの十分なエネル ギを供給する高密度プラズマを、基板表面に確実に生成することができる。その うえ、シャワーヘッド/電極が、反応ガスを均等に分散し、高濃度プラズマが基 板全体に亘って均一に生成される。本発明のシリンダとシャワーヘッド/電極は 、シャワーヘッド/電極より上方、及びシリンダ内、さらにシャワーヘッド/電 極の分散孔でプラズマが励起されることを防ぐ。この方法によれば、通常、プラ ズマがシャワーヘッド/電極の下に限定され、成膜が促進されるとともにプラズ マの汚染も防ぐことができる。 本発明の一実施例では、シリンダはNiめっきしたAlで作られており、石英 の絶縁リングを介して純Ni製のシャワーヘッド/電極と組み合わされる。この 絶縁リングは、シリンダ内でのプラズマの励起を防ぐために、シリンダをRFシ ャワーヘッド/電極から電気的に絶縁している。もう一つの実施の例では、シリ ンダ内でのプラズマの生成を防ぐために、シリンダ全体が絶縁性の石英で作られ ている。このシャワーヘッドの厚さは、約0.25インチであり、シャワーヘッ ドの直径と処理する基板の直径とに応じて、通常、200個から1200個のガ ス分散孔を有している。シャワーヘッド/電極の下にプラズマを確実に閉じ込め るためには、上記のシャワーヘッド/電極のガス分散孔の直径は、1/32(0 .0313)インチが好ましい。6インチ径のウェハ・基板を処理するための装 置の好ましい実施例では、直径約6.5インチで、面に300個から600個の ガス分散孔をもつ円形のシャワーヘッドが用いられている。なお、上記シリンダ ,シャワーヘッド及び穴の寸法は、その他の要素やCVDチャンバの寸法、基板 からの所望の距離およ処理される基板の寸法に応じて調整される。 本発明のシリンダ及びシャワーヘッド/電極は、450MHz又は13.56 MHzにおいて、200乃至300WのRF電力で動作される。さらに、50s ccmから50,000sccmの範囲の反応ガス流量はもちろん、0rpmか ら2000rpmのサセプタ回転数で十分に動作する。 本発明の内容及び特徴、従来の発明の特徴について、以下に添付図面を参照し ながら詳しく説明する。 図面の簡単な説明 この明細書に添付の図面は、本発明の実施例を説明するものであり、前述した 本発明の一般的な説明と共に本発明の原理を説明するものである。 図1は、回転サセプタを備えた従来のCVD反応チャンバ内のガス流の分布を 概略的に示す断面図である。 図2は、本発明の実施例であるCVD装置の断面図である。 図3は、本発明を適用したCVD反応チャンバ内のガス流の分布の例を概略的 に示す断面図である。 図4は、図2で説明した本発明の実施例を詳細に示す図である。 図5は、図2及び図4に示した、本発明を適用したガス分散シャワーヘッドを 上から見た図である。 図6は、本発明の別の実施例を示す断面図である。 図7は、図6に示した、本発明を適用したガス分散シャワーヘッドを上から見 た図である。 図8は、CVD法に本発明を適用した場合及び適用していない場合の成膜速度 とサセプタの回転速度の関係を示すグラフである。 発明を実施するための最良の形態 図2は、以上の説明及び本発明の原理に基づいて、本発明の一実施例を説明し ている。CVD反応装置20は、成膜室24を形成する成膜用チャンバのハウジ ング22を有している。回転サセプタ26は、反応室24中で基板又はウェハ2 8を支持する。本発明の目的のために、アリゾナ州フェニックスのMaterials Re search corporation(MRC)社から入手できるRotating Disk Reactorが適当な反応 器である。 ハウジング22中の、この反応又は成膜室24は、様々な内部圧力になるよう に排気され、例えば、0.5から100Torr.とされる。回転サセプタ26は、 軸30によって図示しない可変モータと接続され、静止又は2000rpmまで の間の様々な回転数で回転される。回転サセプタ26は、回転しているときには 、通常は基板表面29に垂直な方向に下向きのポンピング作用を行う。また、回 転サセプタ26は、取り付けられている図示しない適当な加熱機構により加熱さ れ、基板28を200℃から800℃に加熱する。 シリンダ機構34は、ハウジング22のカバー32から下方に延長された部分 であり、ガスを分散するシャワーヘッド36を回転サセプタ26及び基板28上 に支持している。このシリンダ機構34は、シャワーヘッド36を、好ましくは 、基板28から1インチ以内に有し、さらに好ましくは、基板28から20mm 以内に有する。カバー32の中に形成され、ほぼ円形の開口部42と組み合わさ れ るシリンダ機構34は、ガス分配カバー46とシャワーヘッド36の間の矢印4 3の方向に、垂直の流路を形成する。カバー32の開口部42は、シリンダ機構 34と同心のほぼ円筒形の流路44を形成する円筒を形成する。以下に述べるよ うに、シャワーヘッド36は、適当なRF電力供給機構40により、ガス分配カ バー46の開口部48を通してRF電源38と接続される。RF電力供給機構4 0は、以下に詳述するように、シャワーヘッド36をPECVD用のRF電極と する際に、RFを印加するために使用される。シーリング機構49は、電力供給 機構40の廻りの開口部48をシールしている。プラズマガス及び反応ガスは、 同心円状のガス供給用のリング又は傘状のガス供給機構50,52により、垂直 流の流路45に導入される。この分野の技術者であれば分かるように、他のガス 分散機構が用いられてもよい。同心円状のリング50,52は、導管56,58 を介して、図示しない適当なガス供給機構にそれぞれ接続されており、上記のリ ングは流路44の周辺にガスを均等に供給するための多数のガス供給孔54を有 している。また、シャワーヘッド36は、基板28の全面に反応ガスを分散させ るガスの分散孔64を有している。 シリンダ機構34は、シリンダ60と、シリンダ60からシャワーヘッド36 にRF電力が印加されたときに電気的に絶縁する絶縁用のリング62を有してい る。シリンダ60は、接地ライン61により接地されることが望ましい。絶縁用 のリング62は、シリンダ60とシャワーヘッド36の間で、接続部分の全周に 亘って電気的な絶縁を確実にするために、指示番号63で示される直径と幅が決 められることが望ましい(図4参照)。この絶縁リングは、例えば、General El ectric社から入手できるQuartz T08-Eのような石英で作られており、実施例では 、約0.75インチの厚さである。 使用時には、CVD反応ガスは、流路44の最上部からリング50,52を介 して導入され、導入されたガスは、回転サセプタ26のポンピング作用により、 通常、矢印43が示すように下向きに引かれていく。シャワーヘッド36は、シ ャワーヘッド36におけるガス流を確実に定常状態にするために、リング50, 52から50乃至100mm(2乃至4インチ)離されることが好ましい。より 厳密には、流路44を通って反応ガスが下向きに流れるにつれて、流速に分布が 生じ始める。この速度分布は、ガス流中の多くの点でのガスの速度の測定値であ り、ガス流の方向43に対して垂直に測定されるものである。リング50,52 近傍の流路44の最上部を横切るガス流の速度はほぼ等しい。しかし、流路44 の底部、一般にはシャワーヘッド36の上面37では、矢印45で示されるよう に、ガス流の速度に分布生じている定常状態になっている。このような定常状態 では、反応ガス流の速度は、一般に、シャワーヘッド36の中央部67の方が、 周辺部69よりも大きい。シャワーヘッド36は、シャワーヘッド36の下の基 板28の近傍で、シャワーヘッド36の中央部67の流速が、周辺部69の流速 とほぼ等しくなるように、底面39を横切る反応ガス流の速度分布を平坦化して いる。 リング50,52及びシャワーヘッド36の間隔は、シリンダ機構34と流路 44により決まり、シャワーヘッド36と回転する基板28の間隔は、本発明に より得ることができる極めて薄い境界層を伴う均一なガス流を基板28の上面2 9に生じさせることができる1インチ以下にされる。ここに、本発明で得た1イ ンチ以下の間隔を用いると、反応ガス流80は、図3に示すように、基板28の 極めて近傍に維持されることが分かる。図3中に指示符号81で示しているこの 境界層の厚さは、実効的に薄くなっており、この結果、化学気相成長により膜が 堆積する基板表面29における反応ガスの密度は大きくなっている。このことは 、反応ガスの大部分がCVD反応に利用されることを確実にし、基板28をバイ パスして整流板73付近の排気口71から反応室24の外に排気される反応ガス をわずかにする。 以上述べたように、流路44を通る反応ガスの流れは、回転サセプタ26のポ ンピング作用により、シャワーヘッド36を通って下方に引かれる。サセプタの 回転数の増加は、成膜速度の向上をもたらす。これは、基板表面にポンピングさ れる反応ガスの量が増加するためである。これは回転ディスク効果と呼ばれる。 図8の曲線は、本発明おけるシャワーヘッドとサセプタ間の間隔を低減したこと により、この回転ディスク効果が発現したことを説明している。すなわち、回転 サセプタ26の回転数が増加するにつれて成膜速度が増加することは、反応ガス の大部分が基板28の基板表面29にポンピングされていることを示している。 最大の成膜速度は、基板に流下するガス流が、基板から外側に向かうガス流と等 しくなるときである。このような条件は、一般に層流と呼ばれる。本発明は、こ の層流を利用するものである。なお、層流に関する詳細な記述は、参考として添 付した出願中 のA Method For Chemical Vapor Deposition Of Titanium-Nitrid e films At Low Temperatures,Serial No.08/131,900 filed October 5,1993, と題する発明に開示されている。 そして、ある流量でガスを下向きに送り出すサセプタの回転数が、基板からガ スを運び去ることができる回転数、すなわち層流にならない回転数より高いとき には、基板表面でガスの循環や逆流が生じるために成膜速度は低下する。図8に おいて、指示符号82で示される本発明の一実施例の成膜速度曲線は、本発明を 用いない場合の指示符号84で示される曲線よりも、高い成膜速度を実現してい ることを示している。曲線82は、本発明においては、ウェハ表面29をバイパ スする反応ガスがより少なく、従ってより多くの反応ガスがCVD反応に寄与し ていることを示している。曲線82は、さらに曲線84よりも平坦化されており 、本発明を用いる場合には、より広い回転速度範囲に亘ってプロセス安定性が改 善されていることを示している。 次に、本発明のもう一つの特徴について説明する。シャワーヘッド36は、P ECVD法のRF電極として機能するために、RFが印加される。H2,N2及び NH3のようなプラズマガスが、リング50,52を通して導入され、シャワー ヘッド/電極36により励起されるときには、シャワーヘッド/電極36の下方 で励起され、シリンダ60の中には励起されないことが望ましい。シャワーヘッ ド/電極36と基板28の間の約1インチ以下の間隔が、低温PECVD、特に チタンを含む膜のPECVDに有用な極めて高濃度のプラズマを、基板28の近 傍に発生する。本発明の特定の利用については、出願中の書類 Method and Appa ratus for Producing Thin Films by Low Temperature Plasma-Enhanced Chemic al Vapor Deposition Using a Rotating Susceptor Reactorに説明されている。 以下に、本発明の実施例の利用例のいくつかについて説明する。なお、以下では 、シャワーヘッド36の記載とシャワーヘッド/電極36との記載を、適宜おり まぜて使用する。これは、本発明では同一構造のものを非RF電極としても、ま た 本発明の特徴であるRF電極としても用いるためである。 RF電源38、RF電力供給機構40は、シャワーヘッド/電極36にRFを 印加している。電気的に接地されている回転サセプタ26は、複数の並列な電極 を構成する。RF電界は、シャワーヘッド36と回転サセプタ26の間に形成さ れることが望ましく、このRF電界は、プラズマがシャワーヘッド/電極36の 下に生成されるように分散孔64を通って分散されるプラズマガスを励起する。 プラズマは、シャワーヘッド/電極36の下で励起され、流路44の内部には励 起されない。プラズマが分散孔64内に励起されないだけでなく、さらにシャワ ーヘッド/電極36の底面39の下に閉じこめられることが望ましい。従って、 分散孔64は、生成されたプラズマがシャワーヘッド/電極36の底面39の下 に閉じこめられるような大きさにされる。本発明の一実施例では、分散孔64の 直径は、1/32インチである。さらに、本発明の他の特徴は、発生されたプラ ズマは、シャワーヘッド/電極36の下に確実に閉じこめられるようにされてい ることである。例えば、絶縁管96,98は、RF電力供給機構40の中で、図 4に示すようにRF供給線をシリンダ機構34及びハウジング22の金属部分か ら絶縁するために用いられている。この部分については以下に詳述する。さらに 、石英製の絶縁用のリング62は、プラズマをシャワーヘッド/電極36の下に より強く閉じこめるために、シャワーヘッド/電極36をシリンダ機構34から 電気的に絶縁している。回転サセプタ26の回転、及び回転に付随するポンピン グ作用、シリンダ機構34、及び前述した流路44中のガスの流れは、プラズマ を均一に維持し、均一な成膜を行うのためのプラズマへの均一なガスの流れを確 かなものにするものである。 プラズマガス及び反応ガスは、異なるリングを通して導入されることが望まし いが、本発明の原理によるPECVDを用いれば、TiCl4のような反応ガス も、リング50,52と同様のガスリングを通して流路44に導入される。反応 ガスの粒子も、シャワーヘッド/電極36及び回転サセプタ26により発生され たRF電界により励起されるが、プラズマとは定義されない。したがって、励起 された反応ガス粒子の混合物のプラズマ及びプラズマガスのプラズマは、基板2 8の上方、好ましくは基板から1インチ以内に集中する。 RFにより励起されるRFシャワーヘッド/電極36は、例えば、450kH zから13.56MHzの範囲の周波数では、顕著な周波数特性を示さない。基 板28から1インチ以内での均一なプラズマの生成は、高密度で利用価値のある プラズマガスラジカルとイオンを、基板表面29の近傍に生じる。サセプタのポ ンピング作用は、プラズマ粒子及び励起された反応ガス粒子を基板に向かって吸 い込み、反応を起こして膜を形成する。通常、基板の回転数は、本発明のRFシ ャワーヘッドを共に用いて、0から2000rpmの範囲にされる。しかし、回 転させないときには、その影響は激しくはないものの、反応ガスとプラズマガス の流れ、及びその後の成膜の均一性が低下するようである。チタンを含むコーテ ィング膜の堆積のために実用的な回転速度は、100rpm付近であることが見 い出だされている。 本発明のシャワーヘッド/電極36は、ラジカルやプラズマガスのイオンを含 むプラズマを発生するため、シャワーヘッドの間隔及び成膜パラメータは、有用 なラジカルやイオンの混合物が基板表面29に到達するように選択されることが 望ましい。同時に、いくつかのイオンの基板28の衝撃は有益である。これは、 イオン衝撃が、基板表面29で成長する膜にエネルギを供給するためであり、イ オン衝撃が多すぎると基板上の集積回路素子に損傷を与える。さらに、高密度の イオンは、コンタクト領域やビア領域に打ち込まれる傾向をもつにつれて、膜形 状の適合性が低下する。以上述べたように、シャワーヘッド/電極とサセプタの 間隔は、1インチ以下、望ましくは20mm以下である。 図4は、図2の実施例と同様の、RFシャワーヘッド/電極の配置を開示して いる。図2と図4の間で、同様の部分には同じ指示番号が用いられている。ここ では、RFシャワーヘッド/電極機構36が固定されているCVD成膜用チャン バのハウジング22の部分が断面図として示されている。シャワーヘッド/電極 36は、シャワーヘッド/電極36にRFエネルギを供給するRF電力供給機構 40を構成する種々の部品の一つであるRF電力供給用のステム68を有してい る。このRF電力供給機構40は、また、シャワーヘッド/電極36から熱を取 り除くヒートパイプとしても動作する。これについては後述する。電力供給用の ステム68は、RF信号の伝導と熱伝導をよくするために(図5参照)、シャワ ーヘッド/電極36の上面37に同心に一体に加工される。 このRF電力供給機構40は、電力供給用のステム68、及びステム68に溶 接される管94からなるRF供給ライン92を有している。RF供給ライン92 は、所望の全長となるような長さを有する管94を電力供給用のステム68に取 り付けて構成されている。シャワーヘッド/電極36及び電力供給用のステム6 8はNickel-200で作られ、一方、RF供給ラインの管94は 6061-T6アルミニウ ムのような高導電率材料で作られる。しかし、この分野の技術者であれば分かる ように、例えばNickel-200のような他の材料を、RF供給ラインの管94に用い ることができる。一実施例では、このRF供給ラインの管94は、本発明の原理 に従ってRFシャワーヘッド/電極36を使用している間に、RFプラズマがシ リンダ機構34のシリンダ60の中で発生するのを防ぐために、外側の層をNi でコーティングしたAlで作られている。 すでに議論したように、シャワーヘッド/電極36には、CVDプロセス時に 反応ガスとプラズマガスを均等に分配するために、所定のパターンに従ってガス 分散孔64があけられている。図4及び図5に示すように、直立しているRF電 力供給用のステム68は、シャワーヘッド/電極36に平行な環状の肩フランジ 70を有して構成されている。この肩フランジ70は、シャワーヘッド/電極3 6の上面37の上方に置かれ、そのすぐ下方にガス分散孔のパターンがある。こ のため、ガスの流れの妨げは最少限である。さらに、この肩フランジ70は、シ ャワーヘッド/電極36を冷却することによりRF供給ライン92からシャワー ヘッド/電極へのRFエネルギの伝導も助け、セラミック製の絶縁管96,98 の機械的な支持もしている。 図4のRFシャワーヘッド/電極機構36は、さらに、それそれ同心で少なく ともRF供給ライン92の一部を囲む、第1及び第2のセラミック製の絶縁管9 6,98を有している。すでに示したように、セラミック製の絶縁管96,98 は、環状の肩フランジ70に支持されている。絶縁管96,98は、入手が容易 なCoors Ceramics of Golden社,Colorado 等のセラミックアルミナ(99.7 %Al23)により構成される。絶縁管96,98は、シリンダ60中で金属の RF供給ライン92をプラズマガス及び反応ガスから分離することにより、CV D のプロセス中にRF供給ライン92付近でプラズマが発生することを防いでいる 。シャワーヘッド/電極36の下にプラズマを集中させるために、シリンダ60 の中でプラズマが生成するのを避けることが望ましい。さらに、以下でより詳細 に記述するように、絶縁管96,98は、ガス分配カバー100(接地電位にさ れている)と開口部48のRF供給ライン92の間の電気的な短絡を防いでいる 。 ガス分配カバー100は、ハウジング22に多数のネジ102により固定され ている。図4に示すように、例えばリング50,52のようなガス供給用のリン グ又は傘状のガス供給機構は、すでに述べたように、CVD反応ガス及びプラズ マガスをシリンダ60内に導入するために、ガス分配カバー100よりわずかに 下方に置かれている。ガスを供給するリング50,52は、2以上の同心のリン グであり、多種の反応ガスをシリンダ60内に導入するためのものである。シー リング機構49は、RF供給ライン92がガス分配カバー100を通っている開 口部48の真空漏れを防ぐためのものである。図4に示すように、セラミック製 のシール板104は、2つのステンレススチール製のクランプ106により下向 きに押圧されている。クランプ106は、シール部晶に所定の下向きの力を加え て、スプリングワッシャとネジにより確実なシールを保証するために、ガス分配 カバー100に対して押圧しており、シール部品の寸法公差の累積やCVDプロ セス中の熱膨張による寸法変化に対応する。セラミック製のシール板104は、 セラミック製のシール部材114に密着したOリング112を押下しているステ ンレススチール製の黙ネジ110を押圧する。クランプ106によりシール板1 04を押下する力は、シール部材114にも作用し、シール部材114とガス分 配カバー100の間のOリング116を圧縮する。シール部材114は、RF供 給ライン92をガス分配カバー100を通る全長に亘って囲むように、下方に延 長された環状のフランジ118を有していることが特徴である。環状のフランジ 118の下端部120は、内側のセラミック製の絶縁管96と出会う点まで下方 に延長されている。示されているように、外側のセラミック製の絶縁管98は、 絶縁管96よりもさらに上方のガス分配カバー100とRF供給ライン92の間 の、指示線が付されていない部分まで延長されている。これは、シャワーヘッド /電極36へのRFエネルギがRF供給ライン92に供給されているときに、ア ークが発生するのを防ぐためである。 シャワーヘッド/電極36に、RFを印加して使用すると、CVD法により上 昇するシャワーヘッド/電極36の温度が、さらに上昇する。本来の動作を確実 にするために、シャワーヘッド/電極36は冷却され、その端部へのRF供給ラ イン92は、ヒートパイプとしても機能するように構成されている。このヒート パイプ機構そのものに関しては、すでに知られている発明である。このヒートパ イプ機構は、加熱されている回転サセプタ26から放射されるエネルギによる熱 や、シャワーヘッド/電極36に加えられるRFエネルギによる熱を運び去るた めに用いられる。RF供給ライン92の中央の空間122には、フェルトやその 他の適当な毛細管現象により液体を運ぶ材料(ウイック)の図示しないライナが 設けられている。この空間122は、例えばアセトンのような液体が、その蒸気 圧以下の圧力で封入されており、RF供給ライン92の内面全体に亘って上記の 毛細管材料の細孔を濡らしている。このRF供給ライン92の長さ方向のどこに 熱が加えられても、その位置で上記液体が沸騰して気体になる。このとき、ウイ ック材料中の液体は気化熱を奪い、蒸気はより高圧となって、この密封されたチ ューブの中で低温の部分に移動し、そこで凝縮して再びライナ中に戻る。このよ うに、蒸気が気化熱を放出し、このヒートパイプ機構の「入口」から「出口」へ 熱を輸送する。この熱の移動速度は、参考文献によれば800km/h(500 mph)程度であるとされている。 図4で用いられる具体的な構成を参照すると、蒸気のヒートパイプ機構の一端 の「入口」は、シャワーヘッド/電極36に接続されたRF供給ライン92の端 部に相当する。また、上記「出口」は、図4では、その周囲をシールしている液 体冷却ジャケット124を有するRF供給ライン92の上端部である。このシー ルは、Oリング125及び126により行われている。液体冷却ジャケット12 4はポリマ材料であり、テフロン製の導管130を接続するテフロン製の締結部 128及び129により構成されることが望ましい。水等の適当な冷却液は、導 管130及び液体冷却ジャケット124を通って流れ、RF供給ライン92から 熱を運び去る。これにより、冷却液をRF供給ライン92に直接接触させ、RF 供給ライン92から熱を効果的に除去することができる。さらに、この構成によ れば、CVD反応チャンバが内部の冷却液漏れにさらされたり、金属配管に腐食 が生じる可能性もない。上述のように、テフロン製の導管130を通り、RF供 給ライン92から熱を除去する液体として水を使用することができるが、RF供 給ライン92から除去される熱量に応じて種々の液体を用いることができる。ま た、RF供給ライン92は、内部の空間122に所望の液体を充填するための充 填管134を備えて適当な位置に溶接されたキャップ132を有している。市販 されている好適なヒートパイプは、Thermocore Inc.,Lancaster,PAから入手可 能である。 すでに述べたように、シリンダ60は、シリンダ機構34の一部を構成し、シ ャワーヘッド/電極36をハウジングのカバー32に固定している。このシリン ダ60は、リング62の厚さを考慮して回転サセプタ26から1インチ以内に置 かれるのが通常である。シャワーヘッド/電極36は、FRプラズマ中に置かれ ても腐食しない材料で作られたネジ136により、シリンダ60に固定される。 このような材料の一つとして、Hanes International,Kokomo,IN.の商品名 Ha stelloy C-22 がある。この材料からなる好適なネジは、Pinnacle Mfg.,Tempe, AZから入手可能である。 絶縁用のリング62は、シャワーヘッド/電極36をシリンダ60から電気的 に絶縁する。この絶縁用のリング62は、石英で作ることができ、その内部に気 泡のような傷がほとんど無く、かつ/又は、極めて小さいことが望ましい。石英 材料としては、Hereaus Amersil,Tempe,Arizona から入手できる Quartz TO8- E がある。この石英は、機械加工することが可能であり、厚さが約3/4(0. 75)インチで、直径がシリンダ60及びシャワーヘッド/電極36の直径に一 致する絶縁用のリング62に加工されて、これらの間に取り付けられる。ネジ1 36は、接地電位にあり、組み合わされて使用される2つのセラミック製の絶縁 スリーブ138,139によりシャワーヘッド/電極36から絶縁されている。 シャワーヘッド/電極36をシリンダ60から絶縁しているリング62に石英が 使われる理由には、耐熱衝撃性が高いこともある。このことは、リング62の下 方のシャワーヘッド/電極36が、石英製のリング62の上方のシリンダ60よ りも高温に、しかも急激に加熱されると、リング62に熱衝撃や歪みが生じるた めに、重要である。ネジ136と同じ材料により形成されてもよいネジ140は 、シリンダ60をハウジング22に取り付けるのに用いられる。 RFエネルギは、ステム68及び管94からなるRF電力供給機構40により 、シャワーヘッド/電極36に導かれる。絶縁管96,98は、管94とガス分 配カバー100を含む金属製のハウジング22のあらゆる場所との間でのアーク の発生を防止するためのものである。さらに、この装置では、管94のガス分配 カバー100を貫通している部分の周辺のシールを備えている。 RFエネルギは、RF電源38(図2に示す)に接続され、UHFのコネクタ 144を一端に有するシールドされたRF供給ケーブル142を介して供給され る。コネクタ144は、一定の長さの12ゲージワイヤ148を介してRF供給 ライン92の上端部に固定されたステンレススチール製のシャフトカラー150 に接続されている、もう一つのUHFのコネクタ146に接続される。このシャ フトカラー150は、RF供給ライン92と摺動接触している。シャフトカラー 150は、RF供給ライン92をしっかりと把持する、互いに相手を締め付ける ように対向している図示しないクラムシェルクランプを有する。この構成によれ ば、RF供給ライン92を流れるRF電流に対する抵抗は最小限である。シャフ トカラー150の上方に露出したRF供給ライン92の部分は、高分子材料から なるキャップ154により、接地された金属シールド部材152から絶縁されて いる。この装置は、450kHz〜13.56MHzで250〜300WのRF 電力を供給することができる。 図5は、図2及び図4に示した本発明の実施例に用いるシャワーヘッドの一例 を上方から見た図である。シャワーヘッド36は、一般に円形であり、その全面 にあけられた分散孔64を有しているのが通常である。ここでは、シャワーヘッ ド36は、全直径8インチのなかに、分散孔64を有する直径6.5インチの分 散孔の領域156が設けられている。この分野の技術者にとって明らかなように 、シャワーヘッド36及び分散孔の領域156の直径は、処理される基板ウェハ の直径に依存する。シャワーヘッド36は、200個から1200個の分散孔6 4を有するのが通常であり、直径8インチのシャワーヘッドでは300個から6 00個の分散孔を有することが望ましい。上述したように、シリンダ60の内部 に プラズマが形成されるのを防ぐために、分散孔64の内径は1/32(0.03 13)インチ程度であることが望ましい。 シャワーヘッド36は、シャワーヘッド36を石英リングに取り付けるための ネジ136その他の固定具を受けるネジ穴158を、その外周部に有する周辺端 部157を有する。すでに示したように、シャワーヘッド36は、肩フランジ7 0を形成するステム68を有している。ステム68及び肩フランジ70は、シャ ワーヘッド36を完全なものとして構成するのに必要とされ、シャワーヘッド3 6と接続されるRF電力供給機構40を構成する。このステム68を有するシャ ワーヘッド36は、導電性材料で形成され、Nickel-200で形成されることが好ま しい。本発明の一実施例における、このシャワーヘッド36の分散孔の領域15 6の厚さは、好ましくは1/4(0.25)インチである。 図2及び図4で説明した本発明の実施例は、低い基板温度でのTi及び窒化チ タンの成膜に利用される。その他のCVD及びPECVD法の利用例については 以下で説明する。なお、成膜パラメータは個々の例について示し、成膜結果につ いてはパラメータ毎に表に示す。表1は、本発明の装置において、窒素(N2) ガスと水素(H2)ガスの両方、及び塩化チタン(TiCl4)を用い、基板上に 窒化チタン(TiN)を成膜した場合の結果を説明している。表1に示す結果の成膜パラメータ TiCl4(sccm) 10 H2(sccm) 500 N2(sccm) 500 RF電力(W) 250(450kHzにおいて) 反応チャンバ内圧力(Torr.) 1 サセプタ回転数(rpm) 100 基板温度(℃) 400 成膜時間(秒) 180 表1中で、ウェハ1及び2はシリコンであり、残りのウェハ3乃至10は熱酸 化シリコンである。ウェハ6乃至10は、流量5000sccmのNH3中で、 250WのRFプラズマアニールを120秒間行ったものである。このとき、内 部圧力3Torr.(ただし、ウェハ6は5Torr.)、サセプタ回転数は100rpm である。この結果から、窒化チタン層は、従来のCVD法で必要とされる基板温 度よりも実質的に低い温度である400℃付近で、成膜できることが分かる。 次の表2に示す例は、表1に示したパラメータ(基板温度が600℃である点 を除く)で、すなわち以下のパラメータでTiN層を成膜した場合の結果を示し ている。に示す結果の成膜パラメータ TiCl4(sccm) 10 H2(sccm) 500 N2(sccm) 500 RF電力(W) 250(450kHzにおいて) 反応チャンバ内圧力(Torr.) 1 サセプタ回転数(rpm) 100 基板温度(℃) 600 成膜時間(秒) 180 表2中で、ウェハ1及び2はシリコンであり、残りのウェハ3乃至8は熱酸化 シリコンである。ウェハ6乃至8は、流量5000sccmのNH3中で、25 0WのRFプラズマアニールを120秒間行ったものである。このとき、内部圧 力5Torr.、サセプタ回転数は100rpmである。 図2及び図4で説明した本発明の装置は、純チタン層の成膜にも用いられる。 次の表3は、熱酸化ウェハ上に約84%チタンの膜を650℃で成膜したときの 結果と成膜パラメータを示している。これは、このような低温におけるCVDと して良好な結果である。表3に示す結果の成膜パラメータ TiCl4(sccm) 10 H2(sccm) 500 RF電力(W) 250(450kHzにおいて) 反応チャンバ内圧力(Torr.) 1 サセプタ回転数(rpm) 100 成膜時間(秒) 2700 基板温度(℃) 565 表3の基板ウェハは、前述したアンモニアプラズマによるアニールを行ってい ない。 表4では、H2の流量が、ウェハ1乃至4では5000sccmまで、ウェハ 5乃至9では3750sccmまで増量されている。成膜圧力は、5Torr.まで 増加されている。ウェハ5乃至9に対しては、H2ガスと共に、0.5slm( 標準リットル毎分)のアルゴンガスを用いている。また、表4で、ウェハ1,2 及びウェハ5,6はシリコンであり、残りのウェハ3,4及び7,9は熱酸化シ リコンである。表4に示す結果の成膜パラメータ TiCl4(sccm) 10 H2(sccm) 5000(ウェハ1乃至4) 3750(ウェハ5乃至9) アルゴン(slm) 0.5(ウェハ5乃至9) RF電力(W) 250(450kHzにおいて) 反応チャンバ内圧力(Torr.) 5 サセプタ回転数(rpm) 100 基板温度(℃) 565 成膜時間(秒) 300(ウェハ9は600) サセプタ温度(℃) 約650 表5は、さらにH2流量及び成膜圧力を増加した場合の結果を示している。表5に示す結果の成膜パラメータ TiCl4(sccm) 10 H2(sccm) 3750 アルゴン(slm) 0.5 RF電力(W) 250(450kHzにおいて) 反応チャンバ内圧力(Torr.) 5 サセプタ回転数(rpm) 100 成膜時間(秒) 300 (ウェハ9乃至12は600) 基板温度(℃) 565 サセプタ温度(℃) 650 1Torr.から5Torr.への成膜圧力の変化は、プラズマをより安定で対称にする 。さらに、少量のアルゴン流を伴う水素流量の増加は、プラズマ強度だけでなく プラズマの安定性も高める。アルゴン流量としては、0乃至10slmが適当で ある。ここで、ウェハ1,2はシリコンであり、ウェハ3乃至10は熱酸化シリ コンである。また、ウェハ11,12は、ひ素ほうけい酸ガラスであり、カリフ ォルニア州フリーモントのThin Films,Inc.社から入手可能である。なお、表4 及び表5に示したウェハは、いずれもアンモニアプラズマアニールを行っていな い。 表6は、さらにサセプタ温度を450℃にした場合の結果を示している。表6に示す結果の成膜パラメータ TiCl4(sccm) 5 H2(sccm) 3750 アルゴン(slm) 0.3 RF電力(W) 250(450kHzにおいて) 反応チャンバ内圧力(Torr.) 5 サセプタ回転数(rpm) 100 成膜時間(秒) 180 基板温度(℃) 400 サセプタ温度(℃) 450 ウェハ1乃至4は、シリコンであり、ウェハ5は熱酸化シリコンである。一方 、ウェハ6,7は、アルミニウムシリコン及び銅を含むアルミニウム合金である 。表6中の6番目及び7番目のパラメータは、本発明を用いることにより、含チ タン膜をアルミニウム上に成膜できる可能性を示している。表6の6番目のパラ メータは、表5のパラメータ(例えば、TiCl4は5sccm)よりも流量が 少ないものである。 表7の成膜結果は、さらにTiCl4の流量を減少させた場合のものである。 表7中のウェハは全て熱酸化シリコンである。また、表6及び表7のウェハは、 いずれもRFアンモニアアニールを行っていないものである。表7に示す結果の成膜パラメータ TiCl4(sccm) ウェハ1,2 4 ウェハ3,4 3 ウェハ5,6 2 ウェハ7 1 H2(sccm) 3750 RF電力(W) 250(450kHzにおいて) 反応チャンバ内圧力(Torr.) 5 サセプタ回転数(rpm) 100 成膜時間(秒) 300 (ウェハ1は180,ウェハ2は240) 基板温度(℃) 約400 サセプタ温度(℃) 450 図6は、シリンダ内のRF供給ライン近傍でのアークの発生を防ぎ、また、シ ャワーシャワーヘッドを電極として使用するためRFが印加されたときにシリン ダ内にプラズマが発生してしまうことを防ぐために、金属製のシリンダ60と絶 縁リングを使用しない構成とした、本発明のもう一つの実施例を示している。図 6に示すこの実施例は、ハウジングカバー160,RF電力供給機構162,冷 却ジャケット165と冷却液供給ラインを備えたヒートパイプ機構164,シー リング機構168を備えたガス分配カバー166を有し、これら各部が図4に示 したハウジング22と同様のハウジングを使用する。しかし、シリンダ機構17 0は、金属製のシリンダ60及び絶縁用のリング62を有しておらず、石英のよ うな絶縁材料で作られているシリンダ172が、RF電力供給機構174を取り 囲んでいる。 シリンダ172は、好ましくは、上述のように Hereaus Amersil社から入手可 能な Quartz T08-E 等の高品質の石英により形成される。石英製のシリンダ17 2は、図4の実施例で用いられたネジや他の取付部晶を用いずに、ニッケル−2 00等の導電性金属からなるシャワーヘッド/電極176により支持される。具 体的には、凹部178がハウジングカバー160内に形成され、シリンダ172 の上端部177が取り付けられる。Oリング179,180が、凹部178とシ リンダ172との当接面181に配置され、界面でのシールを形成する。シリン ダ238の下端部184において、係合段部186がシリンダ172に形成され 、シャワーヘッド/電極176の周縁部188が取り付けられる。シリンダ17 2の係合段部186は、シャワーヘッド/電極176の周縁部188上に位置し ている。シャワーヘッド/電極176は、RF供給ライン管175に溶接部19 5等により取り付けられたステム194を有し、一体型のRF供給ライン197 を形成する。RF供給ライン197は、図4のシャフトカラー150と同様のカ ラー199により、その上端部で摩擦により支持されている。RF供給ラインは 、シャワーヘッド/電極176をサセプタ182の上方に支持している。シャワ ーヘッド/電極176は、シリンダ172にノッチ部で接し、凹部178内に支 持することにより、シリンダ172をシリンダ機構170内に支持する。シャワ ーヘッド/電極の周縁部188と係合段部186との当接面は、係合段部186 と、対応する係合段部193の間で圧縮された、圧縮されたOリング190によ りシールされる。図4の実施例と同様に、複数の傘状のガス供給機構又はガス供 給用のリング191,192が、必要なプラズマ及び反応ガスをシリンダ172 内に導入する。 図6の実施例では、シリンダ172をハウジングカバー160に取り付けたり 、シャワーヘッド/電極176をシリンダ172に取り付けるために必要な金属 製のネジを使用しない構成としている。これにより、RFバイアスが印加された RFシャワーヘッド/電極176の付近の金属が少なくなるため、シリンダ17 2内でのアークの発生のおそれがさらに少なくなる。また、シャワーヘッドの周 縁部188にセラミック絶縁スリーブを用いる必要もなくなる。 したがって、RFシャワーヘッド/電極176も変更されている。図6及び図 7に示すように、シャワーヘッド/電極はフランジのないステム194を有して いる。その代わりに、突片196が、図6に示すようにステム194の周辺に形 成され、突片196は、図4中の絶縁管96,98と同様のセラミック材料で作 られた円形のセラミック製の環状をなす載置部198を支持する。セラミック製 の載置部198は、ステム194により支持され、絶縁スリーブ200,201 を支持する。絶縁スリーブ200,201も、図4中の絶縁管96,98と同様 のセラミック絶縁材料により形成されることが望ましい。シャワーヘッド/電極 176の周縁部188の周りには、Oリング190を受けとめて、シリンダ17 2とシャワーヘッド/電極176の間をシールするための係合段部193が形成 されている。ガス分散孔206は、図4中のシャワーヘッドの分散孔の領域15 6に相当する領域204内に形成されている。前述したように、この孔の直径は 、シリンダ172内でシャワーヘッド/電極176の下からサセプタ182の上 方に閉じ込めるために、1/32(0.0313)インチ程度であることが望ま しい。図6の実施例では、シリンダ172を用い、シャワーヘッド/電極176 付近の金属製の取付ネジを廃することにより、シリンダ172内でのプラズマ生 成の防止、及びRF供給ライン管175とシャワーヘッド/電極176と周辺の 金属部分との間のアーク発生を防止している。絶縁層208は、ガス分配カバー 166の頂部に配置され、操作者による接触を防止するようにしてもよい。これ は、ガス分配カバー166が動作中に非常に熱くなるためである。 本発明を実施例により説明し、実施例をかなりの詳細にわたって説明したが、 添付の請求の範囲をそのような詳細に限定することは、本出願人の意図するとこ ろではない。更なる利点や変更は、当該分野の技術者にとって明らかである。例 えば、本発明の一実施例に用いられるシリンダ及びシャワーヘッドを、ここで開 示した金属以外の材料で作ってもよい。さらに、本発明の複数の実施例における 絶縁性のシリンダやリングは、石英以外の材料で作られてもよい。すなわち、本 発明は広義において、特定の具体的詳細事項や、代表的な装置及び方法や、説明 した例に限定されるものではない。したがって、本出願人の発明概念の範囲を逸 脱しない限り、種々の変更が可能である。
【手続補正書】特許法第184条の8第1項 【提出日】1996年6月6日 【補正内容】 わち下向きの流れの流量が外向きの流れの流量に等しくなるような回転数で回転 されることが望ましい。回転サセプタによる反応ガスの層流は、均一な膜を堆積 するために望ましい反応ガスの薄い境界層を実現する。 回転サセプタの使用は、より大きなガス流量の導入を可能にする一方で、基板 上に均一に成膜できるように回転されている基板の表面に到達する前に、ポンピ ングされた反応ガスの流速に大きな分布が生じてしまうことが一般に知られてい る。すなわち、流入するガス流の流速(流れのパスに直角に測定した)が、定常 状態になってしまう。実用的な成膜圧力(例えば130〜13000Pa(1〜 100Torr.))を用いる一般的なCVD装置で、定常状態の流れを実現するた めには、ガスリング及びガス分散用のシャワーヘッドと回転基板表面との間隔は 、約100mm(4インチ)以上必要とされている。基板における定常状態のガ ス流が大きいときには、この間隔が広くても問題ない。 むしろ、導入される反応ガスが、導入点から回転基板までのこのような長い距 離を移動する間に、分散してしまうことが大きな問題である。このような分散が あると、かなりの体積の反応ガスが基板の端部付近をバイパスし、基板表面で反 応せずに反応チャンバから排気されることになる。例えば、図1は、回転サセプ タ6上で回転する基板8を収容するCVD反応室7中での、下向き及び外向きの ガス流の多数の流線5を示している。この流線5は、回転サセプタ6及び基板8 の約100mm(4インチ)以上に設けられた複数のガスリング及び1つのガス 分散シャワーヘッド(図示せず)から始まっている。この流線5は、ガス分散リ ング及びシャワーヘッドと、回転している基板8との間隔が大きい場合に起こる 問題を説明している。これから分かるように、境界層の平均厚さは、基板8をバ イパスして整流板11付近を通過して、反応室7から図示しない適当な排気系に より排気される反応ガス5についてのかなり重要で本質的な量である。基板をバ イパスする反応ガス5の量が多いと、基板表面12で反応に利用できる反応ガス の密度が低下するため、成膜速度が低下する。そのうえ、基板表面12の反応ガ ス5の厚い境界層は、基板8上に堆積される膜の均一性に影響を及ぼす。さらに 、反応せずに排気されるガスの浪費は、この成膜法の総合的な効率低下とコスト 増をもたらす。 ガス分散リング及びシャワーヘッドと、回転基板との間隔が大きい場合のもう 一つの問題は、基板の近傍の十分に高密度のプラズマを励起できないことである 。特に、PECVD法においては、活性化されたプラズマ粒子が表面反応のため のエネルギを供給するために、反応ガスプラズマが基板近傍で励起されることが 望ましい。特に、Method And Apparatus For Producing Thin Films by Low Tem perature Plasma-Enhanced Chemical Vapor Deposition Using A Rotating Susc eptor Reactor と題する、同日にファイルされた米国特許−No.NO95/33867 のP CT出願に開示されているように、チタンを含む膜の低温PECVDのためには 高濃度のプラズマが必要である。しかし、ガスリングとシャワーヘッドが基板か ら100mm(4インチ)以上の間隔で設けらている現行の装置では、回転基板 近傍に適当な濃度のプラズマを励起することは、十分に実現することはできてい ない。 このため、基板へのガス流が定常状態に維持しながら、薄い境界層と基板表面 の十分な密度のガスが存在するように、反応ガスを基板表面に分散させることが 本発明の一つである。さらに、PECVD膜を堆積するのに十分な高密度のプラ ズマを、基板表面で発生させることも本発明の目的である。 発明の開示 本発明は、上述した目的のために、CVDチャンバの中で回転している基板の 近傍に、反応ガスを分散させるための装置及び方法を提供するものであり、より 効率的で均一性の高い成膜及びガス利用のために、改良された反応ガス流と薄い 境界層を、基板表面全体に生成する装置及び方法を提供するものである。本発明 は、さらにPECVDにおいて、特にTiを含むコーティング膜を低温で形成す るための高濃度のプラズマを回転基板上に生成するものである。 本発明は、回転基板から1インチ以内に設けられたガス分散シャワーヘッドを 利用するものである。このシャワーヘッドは、ガス供給リングあるいは他の供給 装置より下方の適当な距離の位置に設けられ、ガスは、シャワーヘッドから回転 基板全体に分配される前に、リングとシャワーヘッドの間で定常状態のガス流に される。シャワーヘッドは、基板に薄い境界層を発生して、より均一なCVD膜 が効率よく堆積するように、基板から25mm(1インチ)以内、好ましくは2 0mm以内に 設けられる。 この実施例の一つは、反応ガス供給部に接続されたガス供給リングとシャワー ヘッドとの間の円筒状の機構又は円筒長を長くすることである。反応ガスは、シ リンダの基板から遠い側の一端からその内部に供給され、シャワーヘッド内のガ ス分散孔の穴を介して回転基板の全面に分散するようにシリンダの長手方向に流 下する。この反応ガスの流れの速度分布は、シリンダの長手方向で発達し、シリ ンダーは、基板表面への望ましい反応ガスの流れが、ガス分散リングシャワーヘ ッドだけを通って反応ガスが基板に供給されるように制限する。シャワーヘッド を近接して置くことは、定常状態のガス流と同様に基板全面の境界層を薄くして 、基板表面への効率的で均一なCVD膜の堆積を可能にする。このシャワーヘッ ドの形状及びガス拡散リングの穴の好ましい0.794mm(1/32(0.0 313)インチ)の寸法は、基板全面に亘ってガスの速度分布を平坦化し、基板 へのより均一なガス流を生成する。シャワーヘッドと薄くされた境界層が近接す ることにより、基板をバイパスする反応ガスが少なくなって、より効率的なCV Dを実現する。 本発明の、もう一つの特徴は、シャワーヘッドにRFエネルギが印加されて、 PECVDに用いるためのRF電極を構成していることである。プラズマガスは 、このシャワーヘッド/電極を通って、その近傍で励起され、PECVDの表面 反応のためのエネルギを供給する高濃度のプラズマを基板近傍に生成する(以後 は、シャワーヘッドを、必要に応じてシャワーヘッド/電極と呼ぶ)。例えば、 シャワーヘッド/電極と基板間の間隔を25mm(1インチ)以下に短縮し、本 発明による改良されたガス流を用いれば、PECVD方法による成膜に必要なの 十分なエネルギを供給する高密度プラズマを、基板表面に確実に生成することが できる。そのうえ、シャワーヘッド/電極が、反応ガスを均等に分散し、高濃度 プラズマが基板全体に亘って均一に生成される。本発明のシリンダとシャワーヘ ッド/電極は、シャワーヘッド/電極より上方、及びシリンダ内、さらにシャワ ーヘッド/電極の分散孔でプラズマが励起されることを防ぐ。この方法によれば 、通常、プラズマがシャワーヘッド/電極の下に限定され、成膜が促進されると ともにプラズマの汚染も防ぐことができる。 本発明の一実施例では、シリンダはNiめっきしたAlで作られており、石英 の絶縁リングを介して純Ni製のシャワーヘッド/電極と組み合わされる。この 絶縁リングは、シリンダ内でのプラズマの励起を防ぐために、シリンダをRFシ ャワーヘッド/電極から電気的に絶縁している。もう一つの実施の例では、シリ ンダ内でのプラズマの生成を防ぐために、シリンダ全体が絶縁性の石英で作られ ている。このシャワーヘッドの厚さは、約6.4mm(0.25インチ)であり 、シャワーヘッドの直径と処理する基板の直径とに応じて、通常、200個から 1200個のガス分散孔を有している。シャワーヘッド/電極の下にプラズマを 確実に閉じ込めるためには、上記のシャワーヘッド/電極のガス分散孔の直径は 、0.794mm(1/32(0.0313)インチ)が好ましい。6インチ径 のウェハ・基板を処理するための装置の好ましい実施例では、直径約165mm (6.5インチ)で、面に300個から600個のガス分散孔をもつ円形のシャ ワーヘッドが用いられている。なお、上記シリンダ,シャワーヘッド及び穴の寸 法は、その他の要素やCVDチャンバの寸法、基板からの所望の距離およ処理さ れる基板の寸法に応じて調整される。 本発明のシリンダ及びシャワーヘッド/電極は、450MHz又は13.56 MHzにおいて、200乃至300WのRF電力で動作される。さらに、50s ccmから50,000sccmの範囲の反応ガス流量はもちろん、0rpmか ら2000rpmのサセプタ回転数で十分に動作する。 本発明の内容及び特徴、従来の発明の特徴について、以下に添付図面を参照し ながら詳しく説明する。 図面の簡単な説明 この明細書に添付の図面は、本発明の実施例を説明するものであり、前述した 本発明の一般的な説明と共に本発明の原理を説明するものである。 図1は、回転サセプタを備えた従来のCVD反応チャンバ内のガス流の分布を 概略的に示す断面図である。 図2は、本発明の実施例であるCVD装置の断面図である。 図3は、本発明を適用したCVD反応チャンバ内のガス流の分布の例を概略的 に示す断面図である。 図4は、図2で説明した本発明の実施例を詳細に示す図である。 図5は、図2及び図4に示した、本発明を適用したガス分散シャワーヘッドを 上から見た図である。 図6は、本発明の別の実施例を示す断面図である。 図7は、図6に示した、本発明を適用したガス分散シャワーヘッドを上から見 た図である。 図8は、CVD法に本発明を適用した場合及び適用していない場合の成膜速度 とサセプタの回転速度の関係を示すグラフである。 発明を実施するための最良の形態 図2は、以上の説明及び本発明の原理に基づいて、本発明の一実施例を説明し ている。CVD反応装置20は、成膜室24を形成する成膜用チャンバのハウジ ング22を有している。回転サセプタ26は、反応室24中で基板又はウェハ2 8を支持する。本発明の目的のために、アリゾナ州フェニックスのMaterials Re search corporation(MRC)社から入手できるRotating Disk Reactorが適当な反応 器である。 ハウジング22中の、この反応又は成膜室24は、様々な内部圧力になるよう に排気され、例えば、67から13000Pa(0.5から100Torr.)とさ れる。回転サセプタ26は、軸30によって図示しない可変モータと接続され、 静止又は2000rpmまでの間の様々な回転数で回転される。回転サセプタ2 6は、回転しているときには、通常は基板表面29に垂直な方向に下向きのポン ピング作用を行う。また、回転サセプタ26は、取り付けられている図示しない 適当な加熱機構により加熱され、基板28を200℃から800℃に加熱する。 シリンダ機構34は、ハウジング22のカバー32から下方に延長された部分 であり、ガスを分散するシャワーヘッド36を回転サセプタ26及び基板28上 に支持している。このシリンダ機構34は、シャワーヘッド36を、好ましくは 、基板28から25mm(1インチ)以内に有し、さらに好ましくは、基板28 から20mm以内に有する。カバー32の中に形成され、ほぼ円形の開口部42 と組み合わされ るシリンダ機構34は、ガス分配カバー46とシャワーヘッド36の間の矢印4 3の方向に、垂直の流路を形成する。カバー32の開口部42は、シリンダ機構 34と同心のほぼ円筒形の流路44を形成する円筒を形成する。以下に述べるよ うに、シャワーヘッド36は、適当なRF電力供給機構40により、ガス分配カ バー46の開口部48を通してRF電源38と接続される。RF電力供給機構4 0は、以下に詳述するように、シャワーヘッド36をPECVD用のRF電極と する際に、RFを印加するために使用される。シーリング機構49は、電力供給 機構40の廻りの開口部48をシールしている。プラズマガス及び反応ガスは、 同心円状のガス供給用のリング又は傘状のガス供給機構50,52により、垂直 流の流路45に導入される。この分野の技術者であれば分かるように、他のガス 分散機構が用いられてもよい。同心円状のリング50,52は、導管56,58 を介して、図示しない適当なガス供給機構にそれぞれ接続されており、上記のリ ングは流路44の周辺にガスを均等に供給するための多数のガス供給孔54を有 している。また、シャワーヘッド36は、基板28の全面に反応ガスを分散させ るガスの分散孔64を有している。 シリンダ機構34は、シリンダ60と、シリンダ60からシャワーヘッド36 にRF電力が印加されたときに電気的に絶縁する絶縁用のリング62を有してい る。シリンダ60は、接地ライン61により接地されることが望ましい。絶縁用 のリング62は、シリンダ60とシャワーヘッド36の間で、接続部分の全周に 亘って電気的な絶縁を確実にするために、指示符号Dで示される直径と幅が決め られることが望ましい(図4参照)。この絶縁リングは、例えば、General Ele ctric社から入手できるQuartz T08-Eのような石英で作られており、実施例では 、約19mm(0.75インチ)の厚さである。 使用時には、CVD反応ガスは、流路44の最上部からリング50,52を介 して導入され、導入されたガスは、回転サセプタ26のポンピング作用により、 通常、矢印43が示すように下向きに引かれていく。シャワーヘッド36は、シ ャワーヘッド36におけるガス流を確実に定常状態にするために、リング50, 52から50乃至100mm(2乃至4インチ)離されることが好ましい。より 厳密には、流路44を通って反応ガスが下向きに流れるにつれて、流速に分布が 生じ始める。この速度分布は、ガス流中の多くの点でのガスの速度の測定値であ り、ガス流の方向43に対して垂直に測定されるものである。リング50,52 近傍の流路44の最上部を横切るガス流の速度はほぼ等しい。しかし、流路44 の底部、一般にはシャワーヘッド36の上面37では、矢印45で示されるよう に、ガス流の速度に分布生じている定常状態になっている。このような定常状態 では、反応ガス流の速度は、一般に、シャワーヘッド36の中央部67の方が、 周辺部69よりも大きい。シャワーヘッド36は、シャワーヘッド36の下の基 板28の近傍で、シャワーヘッド36の中央部67の流速が、周辺部69の流速 とほぼ等しくなるように、底面39を横切る反応ガス流の速度分布を平坦化して いる。 リング50,52及びシャワーヘッド36の間隔は、シリンダ機構34と流路 44により決まり、シャワーヘッド36と回転する基板28の間隔は、本発明に より得ることができる極めて薄い境界層を伴う均一なガス流を基板28の上面2 9に生じさせることができる25mm(1インチ)以下にされる。ここに、本発 明で得た25mm(1インチ)以下の間隔を用いると、反応ガス流80は、図3 に示すように、基板28の極めて近傍に維持されることが分かる。図3中に指示 符号81で示しているこの境界層の厚さは、実効的に薄くなっており、この結果 、化学気相成長により膜が堆積する基板表面29における反応ガスの密度は大き くなっている。このことは、反応ガスの大部分がCVD反応に利用されることを 確実にし、基板28をバイパスして整流板73付近の排気口71から反応室24 の外に排気される反応ガスをわずかにする。 以上述べたように、流路44を通る反応ガスの流れは、回転サセプタ26のポ ンピング作用により、シャワーヘッド36を通って下方に引かれる。サセプタの 回転数の増加は、成膜速度の向上をもたらす。これは、基板表面にポンピングさ れる反応ガスの量が増加するためである。これは回転ディスク効果と呼ばれる。 図8の曲線は、本発明おけるシャワーヘッドとサセプタ間の間隔を低減したこと により、この回転ディスク効果が発現したことを説明している。すなわち、回転 サセプタ26の回転数が増加するにつれて成膜速度が増加することは、反応ガス の大部分が基板28の基板表面29にポンピングされていることを示している。 最大の成膜速度は、基板に流下するガス流が、基板から外側に向かうガス流と等 しくなるときである。このような条件は、一般に層流と呼ばれる。本発明は、こ の層流を利用するものである。なお、層流に関する詳細な記述は、参考として添 付した出願中の A Method For Chemical Vapor Deposition Of Titanium-Nitrid e films At Low Temperatures,Serial No.08/131,900 filed October 5,1993, −米国特許No.5378501と題する発明に開示されている。 そして、ある流量でガスを下向きに送り出すサセプタの回転数が、基板からガ スを運び去ることができる回転数、すなわち層流にならない回転数より高いとき には、基板表面でガスの循環や逆流が生じるために成膜速度は低下する。図8に おいて、指示符号82で示される本発明の一実施例の成膜速度曲線は、本発明を 用いない場合の指示符号84で示される曲線よりも、高い成膜速度を実現してい ることを示している。曲線82は、本発明においては、ウェハ表面29をバイパ スする反応ガスがより少なく、従ってより多くの反応ガスがCVD反応に寄与し ていることを示している。曲線82は、さらに曲線84よりも平坦化されており 、本発明を用いる場合には、より広い回転速度範囲に亘ってプロセス安定性が改 善されていることを示している。 次に、本発明のもう一つの特徴について説明する。シャワーヘッド36は、P ECVD法のRF電極として機能するために、RFが印加される。H2,N2及び NH3のようなプラズマガスが、リング50,52を通して導入され、シャワー ヘッド/電極36により励起されるときには、シャワーヘッド/電極36の下方 で励起され、シリンダ60の中には励起されないことが望ましい。シャワーヘッ ド/電極36と基板28の間の約25mm(1インチ)以下の間隔が、低温PE CVD、特にチタンを含む膜のPECVDに有用な極めて高濃度のプラズマを、 基板28の近傍に発生する。本発明の特定の利用については、PCT'Specificatio n No.95/33867と共に出願中の書類 Method and Apparatus for Producing Thin Films by Low Temperature Plasma-Enhanced Chemical Vapor Deposition Using a Rotating Susceptor Reactorに説明されている。以下に、本発明の実施例の 利用例のいくつかについて説明する。なお、以下では、シャワーヘッド36の記 載とシャワーヘッド/電極36との記載を、適宜おりまぜて使用する。これは、 本発明では同一構造のものを非RF電極としても、また 本発明の特徴であるRF電極としても用いるためである。 RF電源38、RF電力供給機構40は、シャワーヘッド/電極36にRFを 印加している。電気的に接地されている回転サセプタ26は、複数の並列な電極 を構成する。RF電界は、シャワーヘッド36と回転サセプタ26の間に形成さ れることが望ましく、このRF電界は、プラズマがシャワーヘッド/電極36の 下に生成されるように分散孔64を通って分散されるプラズマガスを励起する。 プラズマは、シャワーヘッド/電極36の下で励起され、流路44の内部には励 起されない。プラズマが分散孔64内に励起されないだけでなく、さらにシャワ ーヘッド/電極36の底面39の下に閉じこめられることが望ましい。従って、 分散孔64は、生成されたプラズマがシャワーヘッド/電極36の底面39の下 に閉じこめられるような大きさにされる。本発明の一実施例では、分散孔64の 直径は、0.794mm(1/32インチ)である。さらに、本発明の他の特徴 は、発生されたプラズマは、シャワーヘッド/電極36の下に確実に閉じこめら れるようにされていることである。例えば、絶縁管96,98は、RF電力供給 機構40の中で、図4に示すようにRF供給線をシリンダ機構34及びハウジン グ22の金属部分から絶縁するために用いられている。この部分については以下 に詳述する。さらに、石英製の絶縁用のリング62は、プラズマをシャワーヘッ ド/電極36の下により強く閉じこめるために、シャワーヘッド/電極36をシ リンダ機構34から電気的に絶縁している。回転サセプタ26の回転、及び回転 に付随するポンピング作用、シリンダ機構34、及び前述した流路44中のガス の流れは、プラズマを均一に維持し、均一な成膜を行うのためのプラズマへの均 一なガスの流れを確かなものにするものである。 プラズマガス及び反応ガスは、異なるリングを通して導入されることが望まし いが、本発明の原理によるPECVDを用いれば、TiCl4のような反応ガス も、リング50,52と同様のガスリングを通して流路44に導入される。反応 ガスの粒子も、シャワーヘッド/電極36及び回転サセプタ26により発生され たRF電界により励起されるが、プラズマとは定義されない。したがって、励起 された反応ガス粒子の混合物のプラズマ及びプラズマガスのプラズマは、基板2 8の上方、好ましくは基板から25mm(1インチ)以内に集中する。 RFにより励起されるRFシャワーヘッド/電極36は、例えば、450kH zから13.56MHzの範囲の周波数では、顕著な周波数特性を示さない。基 板28から25mm(1インチ)以内での均一なプラズマの生成は、高密度で利 用価値のあるプラズマガスラジカルとイオンを、基板表面29の近傍に生じる。 サセプタのポンピング作用は、プラズマ粒子及び励起された反応ガス粒子を基板 に向かって吸い込み、反応を起こして膜を形成する。通常、基板の回転数は、本 発明のRFシャワーヘッドを共に用いて、0から2000rpmの範囲にされる 。しかし、回転させないときには、その影響は激しくはないものの、反応ガスと プラズマガスの流れ、及びその後の成膜の均一性が低下するようである。チタン を含むコーティング膜の堆積のために実用的な回転速度は、100rpm付近で あることが見い出だされている。 本発明のシャワーヘッド/電極36は、ラジカルやプラズマガスのイオンを含 むプラズマを発生するため、シャワーヘッドの間隔及び成膜パラメータは、有用 なラジカルやイオンの混合物が基板表面29に到達するように選択されることが 望ましい。同時に、いくつかのイオンの基板28の衝撃は有益である。これは、 イオン衝撃が、基板表面29で成長する膜にエネルギを供給するためであり、イ オン衝撃が多すぎると基板上の集積回路素子に損傷を与える。さらに、高密度の イオンは、コンタクト領域やビア領域に打ち込まれる傾向をもつにつれて、膜形 状の適合性が低下する。以上述べたように、シャワーヘッド/電極とサセプタの 間隔は、25mm(1インチ)以下、望ましくは20mm以下である。 図4は、図2の実施例と同様の、RFシャワーヘッド/電極の配置を開示して いる。図2と図4の間で、同様の部分には同じ指示番号が用いられている。ここ では、RFシャワーヘッド/電極機構36が固定されているCVD成膜用チャン バのハウジング22の部分が断面図として示されている。シャワーヘッド/電極 36は、シャワーヘッド/電極36にRFエネルギを供給するRF電力供給機構 40を構成する種々の部品の一つであるRF電力供給用のステム68を有してい る。このRF電力供給機構40は、また、シャワーヘッド/電極36から熱を取 り除くヒートパイプとしても動作する。これについては後述する。電力供給用の ステム68は、RF信号の伝導と熱伝導をよくするために(図5参照)、シャワ れば、CVD反応チャンバが内部の冷却液漏れにさらされたり、金属配管に腐食 が生じる可能性もない。上述のように、テフロン製の導管130を通り、RF供 給ライン92から熱を除去する液体として水を使用することができるが、RF供 給ライン92から除去される熱量に応じて種々の液体を用いることができる。ま た、RF供給ライン92は、内部の空間122に所望の液体を充填するための充 填管134を備えて適当な位置に溶接されたキャップ132を有している。市販 されている好適なヒートパイプは、Thermocore Inc.,Lancaster,PAから入手可 能である。 すでに述べたように、シリンダ60は、シリンダ機構34の一部を構成し、シ ャワーヘッド/電極36をハウジングのカバー32に固定している。このシリン ダ60は、リング62の厚さを考慮して回転サセプタ26から25mm(1イン チ)以内に置かれるのが通常である。シャワーヘッド/電極36は、FRプラズ マ中に置かれても腐食しない材料で作られたネジ136により、シリンダ60に 固定される。このような材料の一つとして、Hanes International,Kokomo,IN. の商晶名 Hastelloy C-22 がある。この材料からなる好適なネジは、Pinnacle Mfg.,Tempe,AZ から入手可能である。 絶縁用のリング62は、シャワーヘッド/電極36をシリンダ60から電気的 に絶縁する。この絶縁用のリング62は、石英で作ることができ、その内部に気 泡のような傷がほとんど無く、かつ/又は、極めて小さいことが望ましい。石英 材料としては、Hereaus Amersil,Tempe,Arizona から入手できる Quartz T08- E がある。この石英は、機械加工することが可能であり、厚さが約19mm(3 /4(0.75)インチ)で、直径がシリンダ60及びシャワーヘッド/電極3 6の直径に一致する絶縁用のリング62に加工されて、これらの間に取り付けら れる。ネジ136は、接地電位にあり、組み合わされて使用される2つのセラミ ック製の絶縁スリーブ138,139によりシャワーヘッド/電極36から絶縁 されている。シャワーヘッド/電極36をシリンダ60から絶縁しているリング 62に石英が使われる理由には、耐熱衝撃性が高いこともある。このことは、リ ング62の下方のシャワーヘッド/電極36が、石英製のリング62の上方のシ リンダ60よりも高温に、しかも急激に加熱されると、リング62に熱衝撃や歪 みが生じるた めに、重要である。ネジ136と同じ材料により形成されてもよいネジ140は 、シリンダ60をハウジング22に取り付けるのに用いられる。 RFエネルギは、ステム68及び管94からなるRF電力供給機構40により 、シャワーヘッド/電極36に導かれる。絶縁管96,98は、管94とガス分 配カバー100を含む金属製のハウジング22のあらゆる場所との間でのアーク の発生を防止するためのものである。さらに、この装置では、管94のガス分配 カバー100を貫通している部分の周辺のシールを備えている。 RFエネルギは、RF電源38(図2に示す)に接続され、UHFのコネクタ 144を一端に有するシールドされたRF供給ケーブル142を介して供給され る。コネクタ144は、一定の長さの12ゲージワイヤ148を介してRF供給 ライン92の上端部に固定されたステンレススチール製のシャフトカラー150 に接続されている、もう一つのUHFのコネクタ146に接続される。このシャ フトカラー150は、RF供給ライン92と摺動接触している。シャフトカラー 150は、RF供給ライン92をしっかりと把持する、互いに相手を締め付ける ように対向している図示しないクラムシェルクランプを有する。この構成によれ ば、RF供給ライン92を流れるRF電流に対する抵抗は最小限である。シャフ トカラー150の上方に露出したRF供給ライン92の部分は、高分子材料から なるキャップ154により、接地された金属シールド部材152から絶縁されて いる。この装置は、450kHz〜13.56MHzで250〜300WのRF 電力を供給することができる。 図5は、図2及び図4に示した本発明の実施例に用いるシャワーヘッドの一例 を上方から見た図である。シャワーヘッド36は、一般に円形であり、その全面 にあけられた分散孔64を有しているのが通常である。ここでは、シャワーヘッ ド36は、全直径200mm(8インチ)のなかに、分散孔64を有する直径1 70mm(6.5インチ)の分散孔の領域156が設けられている。この分野の 技術者にとって明らかなように、シャワーヘッド36及び分散孔の領域156の 直径は、処理される基板ウェハの直径に依存する。シャワーヘッド36は、20 0個から1200個の分散孔64を有するのが通常であり、直径200mm(8 インチ)のシャワーヘッドでは300個から600個の分散孔を有することが望 ましい。上述したように、シリンダ60の内部に プラズマが形成されるのを防ぐために、分散孔64の内径は0.794mm(1 /32(0.0313)インチ)程度であることが望ましい。 シャワーヘッド36は、シャワーヘッド36を石英リングに取り付けるための ネジ136その他の固定具を受けるネジ穴158を、その外周部に有する周辺端 部157を有する。すでに示したように、シャワーヘッド36は、肩フランジ7 0を形成するステム68を有している。ステム68及び肩フランジ70は、シャ ワーヘッド36を完全なものとして構成するのに必要とされ、シャワーヘッド3 6と接続されるRF電力供給機構40を構成する。このステム68を有するシャ ワーヘッド36は、導電性材料で形成され、Nickel-200で形成されることが好ま しい。本発明の一実施例における、このシャワーヘッド36の分散孔の領域15 6の厚さは、好ましくは6.4mm(1/4(0.25)インチ)である。 図2及び図4で説明した本発明の実施例は、低い基板温度でのTi及び窒化チ タンの成膜に利用される。その他のCVD及びPECVD法の利用例については 以下で説明する。なお、成膜パラメータは個々の例について示し、成膜結果につ いてはパラメータ毎に表に示す。表1は、本発明の装置において、窒素(N2) ガスと水素(H2)ガスの両方、及び塩化チタン(TiCl4)を用い、基板上に 窒化チタン(TiN)を成膜した場合の結果を説明している。表1に示す結果の成膜パラメータ TiCl4(sccm) 10 H2(sccm) 500 N2(sccm) 500 RF電力(W) 250(450kHzにおいて) 反応チャンバ内圧力(Pa) 133(1Torr.) サセプタ回転数(rpm) 100 基板温度(℃) 400 成膜時間(秒) 180 表1中で、ウエハ1及び2はシリコンであり、残りのウエハ3乃至10は熱酸 化シリコンである。ウェハ6乃至10は、流量5000sccmのNH3中で、 250WのRFプラズマアニールを120秒間行ったものである。このとき、内 部圧力399Pa(3Torr.)(ただし、ウェハ6は665Pa(5Torr.))、 サセプタ回転数は100rpmである。この結果から、窒化チタン層は、従来の CVD法で必要とされる基板温度よりも実質的に低い温度である400℃付近で 、成膜できることが分かる。 次の表2に示す例は、表1に示したパラメータ(基板温度が600℃である点 を除く)で、すなわち以下のパラメータでTiN層を成膜した場合の結果を示し ている。に示す結果の成膜パラメータ TiCl4(sccm) 10 H2(sccm) 500 N2(sccm) 500 RF電力(W) 250(450kHzにおいて) 反応チャンバ内圧力(Pa) 133(1Torr.) サセプタ回転数(rpm) 100 基板温度(℃) 600 成膜時間(秒) 180 表2中で、ウェハ1及び2はシリコンであり、残りのウェハ3乃至8は熱酸化 シリコンである。ウェハ6乃至8は、流量5000sccmのNH3中で、25 0WのRFプラズマアニールを120秒間行ったものである。このとき、内部圧 力665Pa(5Torr.)、サセプタ回転数は100rpmである。 図2及び図4で説明した本発明の装置は、純チタン層の成膜にも用いられる。 次の表3は、熱酸化ウェハ上に約84%チタンの膜を650℃で成膜したときの 結果と成膜パラメータを示している。これは、このような低温におけるCVDと して良好な結果である。表3に示す結果の成膜パラメータ TiCl4(sccm) 10 H2(sccm) 500 RF電力(W) 250(450kHzにおいて) 反応チャンバ内圧力(Pa) 133(1Torr.) サセプタ回転数(rpm) 100 成膜時間(秒) 2700 基板温度(℃) 565 表3の基板ウェハは、前述したアンモニアプラズマによるアニールを行ってい ない。 表4では、H2の流量が、ウェハ1乃至4では5000sccmまで、ウェハ 5乃至9では3750sccmまで増量されている。成膜圧力は、665Pa( 5Torr.)まで増加されている。ウェハ5乃至9に対しては、H2ガスと共に、0 .5slm(標準リットル毎分)のアルゴンガスを用いている。また、表4で、 ウェハ1,2及びウェハ5,6はシリコンであり、残りのウエハ3,4及び7, 9は熱酸化シリコンである。表4に示す結果の成膜パラメータ TiCl4(sccm) 10 H2(sccm) 5000(ウェハ1乃至4) 3750(ウェハ5乃至9) アルゴン(slm) 0.5(ウェハ5乃至9) RF電力(W) 250(450kHzにおいて) 反応チャンバ内圧力(Pa) 665(5Torr.) サセプタ回転数(rpm) 100 基板温度(℃) 565 成膜時間(秒) 300(ウェハ9は600) サセプタ温度(℃) 約650 表5は、さらにH2流量及び成膜圧力を増加した場合の結果を示している。表5に示す結果の成膜パラメータ TiCl4(sccm) 10 H2(sccm) 3750 アルゴン(slm) 0.5 RF電力(W) 250(450kHzにおいて) 反応チャンバ内圧力(Pa) 665(5Torr.) サセプタ回転数(rpm) 100 成膜時間(秒) 300 (ウェハ9乃至12は600) 基板温度(℃) 565 サセプタ温度(℃) 650 133Paから665Pa(1Torr.から5Torr.)への成膜圧力の変化は、プ ラズマをより安定で対称にする。さらに、少量のアルゴン流を伴う水素流量の増 加は、プラズマ強度だけでなくプラズマの安定性も高める。アルゴン流量として は、0乃至10slmが適当である。ここで、ウェハ1,2はシリコンであり、 ウェハ3乃至10は熱酸化シリコンである。また、ウェハ11,12は、ひ素ほ うけい酸ガラスであり、カリフォルニア州フリーモントのThin Films,Inc.社か ら入手可能である。なお、表4及び表5に示したウェハは、いずれもアンモニア プラズマアニールを行っていない。 表6は、さらにサセプタ温度を450℃にした場合の結果を示している。表6に示す結果の成膜パラメータ TiCl4(sccm) 5 H2(sccm) 3750 アルゴン(slm) 0.3 RF電力(W) 250(450kHzにおいて) 反応チャンバ内圧力(Pa) 665(5Torr.) サセプタ回転数(rpm) 100 成膜時間(秒) 180 基板温度(℃) 400 サセプタ温度(℃) 450 ウェハ1乃至4は、シリコンであり、ウェハ5は熱酸化シリコンである。一方 、ウェハ6,7は、アルミニウムシリコン及び銅を含むアルミニウム合金である 。表6中の6番目及び7番目のパラメータは、本発明を用いることにより、含チ タン膜をアルミニウム上に成膜できる可能性を示している。表6の6番目のパラ メータは、表5のパラメータ(例えば、TiCl4は5sccm)よりも流量が 少ないものである。 表7の成膜結果は、さらにTiCl4の流量を減少させた場合のものである。 表7中のウェハは全て熱酸化シリコンである。また、表6及び表7のウェハは、 いずれもRFアンモニアアニールを行っていないものである。表7に示す結果の成膜パラメータ TiCl4(sccm) ウェハ1,2 4 ウェハ3,4 3 ウェハ5,6 2 ウェハ7 1 H2(sccm) 3750 RF電力(W) 250(450kHzにおいて) 反応チャンバ内圧力(Pa) 665(5Torr.) を支持する。絶縁スリーブ200,201も、図4中の絶縁管96,98と同様 のセラミック絶縁材料により形成されることが望ましい。シャワーヘッド/電極 176の周縁部188の周りには、Oリング190を受けとめて、シリンダ17 2とシャワーヘッド/電極176の間をシールするための係合段部193が形成 されている。ガス分散孔206は、図4中のシャワーヘッドの分散孔の領域15 6に相当する領域204内に形成されている。前述したように、この孔の直径は 、シリンダ172内でシャワーヘッド/電極176の下からサセプタ182の上 方に閉じ込めるために、0.794mm(1/32(0.0313)インチ)程 度であることが望ましい。図6の実施例では、シリンダ172を用い、シャワー ヘッド/電極176付近の金属製の取付ネジを廃することにより、シリンダ17 2内でのプラズマ生成の防止、及びRF供給ライン管175とシャワーヘッド/ 電極176と周辺の金属部分との間のアーク発生を防止している。絶縁層208 は、ガス分配カバー166の頂部に配置され、操作者による接触を防止するよう にしてもよい。これは、ガス分配カバー166が動作中に非常に熱くなるためで ある。 本発明を実施例により説明したが、更なる利点や変更は、当該分野の技術者に とって明らかである。例えば、本発明の一実施例に用いられるシリンダ及びシャ ワーヘッドを、ここで開示した金属以外の材料で作ってもよい。さらに、本発明 の複数の実施例における絶縁性のシリンダやリングは、石英以外の材料で作られ てもよい。 請求の範囲 1.反応室中で化学気相成長法により基板上に成膜する成膜装置であって、 上記反応室中で上記基板を支持し、回転させ、上記基板にむかってポンピン グ作用を行う回転可能なサセプタと、 上記サセプタに対向配置され、反応ガスを分散するための複数の孔を有するシ ャワーヘッド状ガス分散手段と、 上記シャワーヘッド状ガス分散手段から分散される反応ガスを供給するガス供 給手段と、 を備え、 上記シャワーヘッド状ガス分散手段は、上記回転サセプタ及び基板から25m m(1インチ)以内に配置され、 上記ガス供給手段は、上記シャワーヘッド状ガス分散手段から離れして配置さ れ、 上記基板の全面に亘る均一なガス流を生じ、上記基板上での化学気相成長の効 率を向上する ことを特徴とする成膜装置。 2. 上記ガス供給手段に接続された第1の端部と、上記シャワーヘッド状ガス 分散手段に接続された第2の端部を有し、 上記ガス供給手段と上記シャワーヘッド状ガス分散手段との間で、直線的なガ ス流を生成する中空のシリンダを有する ことを特徴とする請求の範囲第1項記載の成膜装置。 3. 上記シャワーヘッド状ガス分散手段に接続され、 シャワーヘッド状ガス分散手段にRF電力を供給してシャワーヘッド状電極と するRF供給手段を有し、 上記シャワーヘッド状電極は、上記ガス供給手段からの反応ガスを励起して、 上記基板上に化学気相成長による成膜を行うためのプラズマを生成する ことを特徴とする請求の範囲第1項又は第2項記載の成膜装置。 4. 上記サセプタ及び基板の近傍に集中されたプラズマを発生することができ る ことを特徴とする請求の範囲第3項記載の成膜装置。 5. 上記シリンダとシャワーヘッド状電極との間に絶縁部を有し、 上記シリンダにRFが印加されるのを防ぐように構成された ことを特徴とする請求の範囲第4項及び第5項記載の成膜装置であって、請求 の範囲第2項に従属する成膜装置。 6. 上記絶縁部は、上記シリンダの第2の端部と上記シャワーヘッド状電極の 外周部の間のリングである ことを特徴とする請求の範囲第5項記載の成膜装置。 7. 上記絶縁材料は、石英である ことを特徴とする請求の範囲第5項又は第6項記載の成膜装置。 8. 上記シャワーヘッド状電極にRF電力を供給する上記RF供給手段に接続 されたRF供給ラインをさらに有し、 上記シャワーヘッド状電極の中心付近にRF電力が均一に印加される ことを特徴とする請求の範囲第3項記載の成膜装置。 9. 上記サセプタ及び基板の近傍に集中されたプラズマを発生することができ 、 上記RF供給ラインの一部が上記シリンダを通って上記シャワーヘッド状電極 まで延長されている ことを特徴とする請求の範囲第3項及び第8項記載の成膜装置であって、請求 の範囲第2項に従属する成膜装置。 10. 上記RF供給ラインは、上記シリンダを通って上記シャワーヘッド状電 極まで延長されている部分に亘って絶縁性のカバーを有し、 RF供給ライン部を絶縁して上記シリンダ中でプラズマの生成を防止する ことを特徴とする請求の範囲第9項記載の成膜装置。 11.上記シリンダは、 RFが印加されないように絶縁材料で構成されること を特徴とする請求の範囲第4項及び第3項記載の成膜装置であって、請求の範 囲第2項に従属する成膜装置。 12. 上記絶縁材料は、石英である ことを特徴とする請求の範囲第11項記載の成膜装置。 13. 上記シャワーヘッド状ガス分散手段のガス分散孔の直径は、0.794 mm(1/32インチ)であり、 上記シャワーヘッド状電極の一面と上記回転サセプタの間にプラズマを集中さ せる ことを特徴とする請求の範囲第3項乃至第12項のいずれか1項記載の成膜装 置。 14. 化学気相成長法により基板上に成膜する成膜方法であって、 上記基板を密閉された容器に配置する工程と、 上記基板から離れた位置に置かれた反応ガス供給手段から上記容器内に上記基 板に対向するように反応ガスを導入する工程と、 ガス分散孔を有するシャワーヘッド状ガス分散手段を、上記ガス供給手段と上 記基板の間に対向配置する工程と からなり、 上記基板を回転させて、上記シャワーヘッド状ガス分散手段から上記基板に供 給され、上記基板上で反応して膜を形成する反応ガスを引き込む成膜方法であっ て、 上記シャワーヘッド状ガス分散手段は、上記表面及び上記ガス供給手段から2 5mm(1インチ)以内の距離に配置され、 上記シャワーヘッド状ガス分散手段から分散される反応ガスが、上記基板の全 面に亘る均一なガス流を生じ、上記基板上での化学気相成長の効率を向上する ことを特徴とする成膜方法。 15. 上記ガス供給手段と上記シャワーヘッド状ガス分散手段との間に中空の シリンダを更に接続し、 上記シリンダからの反応ガスが、上記シャワーヘッド状ガス分散手段の全面に 集中するように導かれる ことを特徴とする請求の範囲第14項記載の成膜方法。 16. 上記シャワーヘッド状ガス分散手段にRFを印加し、 上記シャワーヘッド状電極により上記反応ガスを励起してプラズマを生成し、 プラズマエンハンス化学気相成長により上記基板上に成膜する ことを特徴とする請求の範囲第14項又は第15項記載の成膜方法。 17. 上記シャワーヘッド状ガス分散手段のガス分散孔の大きさは、 上記基板と対向する上記シャワーヘッド状電極の一面にプラズマが集中し、 上記基板の近傍にプラズマが集中するように決められる ことを特徴とする請求の範囲第16項記載の成膜方法。 18. 上記シャワーヘッド状ガス分散手段のガス分散孔の直径は、 0.794mm(1/32インチ)である ことを特徴とする請求の範囲第16項記載の成膜方法。 19. 集中されたプラズマは上記シャワーヘッド状ガス分散手段の近傍に生成 される ことを特徴とする請求の範囲第16項記載の成膜方法。 20. 請求の範囲第19項及び第16項は、請求の範囲第15項に従属する成 膜方法。 21. 上記電気的に絶縁する工程は、 上記シリンダとシャワーヘッド状電極との間に絶縁性部材を配置すること を特徴とする請求の範囲第20項記載の成膜方法。 22. 上記絶縁性部材は石英である ことを特徴とする請求の範囲第21項記載の成膜方法。 23. 上記シリンダは、 上記シャワーヘッド状電極からのRFが印加されないように絶縁材料で構成さ れる ことを特徴とする請求の範囲第19項及び第16項の成膜方法であって、請求 の範囲第15項に従属する成膜方法。 24. 上記絶縁部は、石英で構成される ことを特徴とする請求の範囲第23項記載の成膜方法。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,DE, DK,ES,FR,GB,GR,IE,IT,LU,M C,NL,PT,SE),OA(BF,BJ,CF,CG ,CI,CM,GA,GN,ML,MR,NE,SN, TD,TG),AP(KE,MW,SD,SZ),AM, AT,AU,BB,BG,BR,BY,CA,CH,C N,CZ,DE,DK,EE,ES,FI,GB,GE ,HU,JP,KE,KG,KP,KR,KZ,LK, LR,LT,LU,LV,MD,MG,MN,MW,N L,NO,NZ,PL,PT,RO,RU,SD,SE ,SI,SK,TJ,TT,UA,UZ,VN (72)発明者 リキット エオラ アメリカ合衆国 アリゾナ州 85202 メ サ ウェスト ネイタル サークル 2633

Claims (1)

  1. 【特許請求の範囲】 1.反応室中で化学気相成長法により基板上に成膜する成膜装置であって、 上記反応室中で上記基板を支持し、回転させ、上記基板にむかうポンピング 作用を行う回転サセプタと、 上記回転サセプタ及び支持された基板の表面に対して25mm(1インチ)以 内の距離に対向配置され、上記回転サセプタのポンピング作用により上記回転サ セプタ及び基板の近傍に反応ガスを分散するための複数の孔を有するシャワーヘ ッド状ガス分散手段と、 上記ガス分散手段から離れた位置に置かれ、上記シャワーヘッド状ガス分散手 段から分散される上記反応ガスを供給し、供給された反応ガスが上記シャワーヘ ッド状分散手段を通って分散されるまでの間に均一なガス流を生成するように上 記シャワーヘッド状ガス分散手段から離れて設けられたガス供給手段と、 を備え、 上記シャワーヘッド状ガス分散手段から分散される反応ガスが、上記基板の全 面に亘る均一なガス流を生じ、上記基板上での化学気相成長の効率を向上する ことを特徴とする成膜装置。 2. 上記ガス供給手段と上記シャワーヘッド状ガス供給手段との間に、中空の シリンダをさらに有し、 上記中空のシリンダの一端は上記ガス供給手段に接続され、その他端は上記シ ャワーヘッド状ガス分散手段に接続され、 上記ガス供給手段と上記シャワーヘッド状ガス分散手段との間で、直線的なガ ス流を生成する ことを特徴とする請求の範囲第1項記載の成膜装置。 3. 上記シャワーヘッド状ガス分散手段に接続され、シャワーヘッド状ガス分 散手段にRF電力を供給してシャワーヘッド状電極とするRF供給手段をさらに 有し、 上記シャワーヘッド状電極は、上記ガス供給手段からの反応ガスを励起して、 上記基板上に化学気相成長による成膜を行うためのプラズマを生成する ことを特徴とする請求の範囲第1項記載の成膜装置。 4. 上記シャワーヘッド状電極にRF電力を供給する上記RF供給手段に接続 されたRF供給ラインをさらに有し、 上記シャワーヘッド状電極の中心付近にRF電力が均一に印加される ことを特徴とする請求の範囲第3項記載の成膜装置。 5. 上記RF供給手段と上記シャワーヘッド状ガス分散手段との間に中空のシ リンダをさらに有し、 上記シリンダは、上記RF供給手段に接続された第1の端部と上記シャワーヘ ッド状電極に接続された第2の端部を有し、 上記ガス供給手段と上記シャワーヘッド状ガス分散手段の間には直線的なガス 流が生成し、 上記回転サセプタ及び基板の近傍に集中するプラズマを生成する ことを特徴とする請求の範囲第3項記載の成膜装置。 6. 上記シャワーヘッド状電極は上記シリンダの第2の端部に接続され、 上記シリンダとシャワーヘッド状電極との間に絶縁部をさらに有し、 上記シリンダにRFが印加されるのを防ぐ ことを特徴とする請求の範囲第5項記載の成膜装置。 7. 上記絶縁部は、上記シリンダの第2の端部と上記シャワーヘッド状電極の 外周部の間のリングである ことを特徴とする請求の範囲第6項記載の成膜装置。 8. 上記シャワーヘッド状ガス分散手段のガス分散孔の直径は、0.794m m(1/32インチ)であり、 上記シャワーヘッド状電極の一面と上記回転サセプタの間にプラズマを集中さ せる ことを特徴とする請求の範囲第3項記載の成膜装置。 9. 上記RF供給手段と上記シャワーヘッド状ガス分散手段との間に中空のシ リンダをさらに有し、 上記シリンダは、上記RF供給手段に接続された第1の端部と上記シャワーヘ ッド状電極に接続された第2の端部を有し、 上記ガス供給手段と上記シャワーヘッド状ガス分散手段の間には直線的なガス 流が生成し、 上記回転サセプタ及び基板の近傍に集中するプラズマを生成し、 上記RF供給ラインの一部が上記シリンダを通って上記シャワーヘッド状電極 まで延長されている ことを特徴とする請求の範囲第4項記載の成膜装置。 10. 上記RF供給ラインは、上記シリンダを通って延長されている部分に亘 って絶縁性のカバーを有し、 RF供給ライン部を絶縁して上記シリンダ中でプラズマの生成を防止する ことを特徴とする請求の範囲第9項記載の成膜装置。 11. 上記シリンダは、 RFが印加されないように絶縁材料で構成される ことを特徴とする請求の範囲第5項記載の成膜装置。 12. 上記絶縁材料は、石英である ことを特徴とする請求の範囲第11項記載の成膜装置。 13. 上記絶縁部は、石英で構成される ことを特徴とする請求の範囲第6項記載の成膜装置。 14. 反応室中でプラズマエンハンス化学気相成長法により基板上に成膜する 成膜装置であって、 上記反応室中で上記基板を支持し、回転させ、上記基板にむかうポンピング作 用を行う回転サセプタと、 上記回転サセプタ及び支持された基板の表面に対して25mm(1インチ)以 内の距離に対向配置され、上記回転サセプタのポンピング作用により上記回転サ セプタ及び基板の近傍に反応ガスを分散するための複数の孔を有するシャワーヘ ッド状ガス分散手段と、 上記ガス分散手段から離れた位置に置かれ、上記シャワーヘッド状ガス分散手 段から分散される上記反応ガスを供給し、供給された反応ガスが上記シャワーヘ ッド状分散手段を通って分散されるまでの間に均一なガス流を生成するように上 記シャワーヘッド状ガス分散手段に続けて設けられたガス供給手段と、 上記RF供給手段と上記シャワーヘッド状ガス分散手段との間に置かれ、上記 RF供給手段に接続された第1の端部と上記シャワーヘッド状ガス分散手段に接 続された第2の端部を有し、上記ガス供給手段と上記シャワーヘッド状ガス分散 手段の間には直線的なガス流が生成する中空のシリンダと、 を備え、 上記シャワーヘッド状ガス分散手段に接続された上記RF供給手段は、 上記シャワーヘッド状ガス分散手段にRF電力を印加して上記ガス供給手段か らの反応ガスを励起し、 上記シャワーヘッド状ガス分散手段と回転サセプタの間にプラズマを生成して プラズマエンハンス化学気相成長法により上記基板上に成膜する ことを特徴とする成膜装置。 15. 上記シャワーヘッド状ガス分散手段のガス分散孔は、直径約0.794 mm(1/32インチ)であり、 上記シャワーヘッド状電極の一面と上記回転サセプタの間にプラズマを集中さ せる ことを特徴とする請求の範囲第14項記載の成膜装置。 16. 上記シリンダとシャワーヘッド状電極との間に絶縁部をさらに有し、 上記シリンダにRFが印加されるのを防ぐ ことを特徴とする請求の範囲第14項記載の成膜装置。 17. 上記絶縁部は、上記シリンダの第2の端部と上記シャワーヘッド状電極 の外周部の間のリングである ことを特徴とする請求の範囲第16項記載の成膜装置。 18. 上記シリンダは、 RFが印加されないように絶縁材料で構成される ことを特徴とする請求の範囲第14項記載の成膜装置。 19. 上記絶縁材料は石英である ことを特徴とする請求の範囲第18項記載の成膜装置。 20. 上記絶縁部は、石英で構成される ことを特徴とする請求の範囲第18項記載の成膜装置。 21. 化学気相成長法により基板上に成膜する成膜方法であって、 上記基板を密閉された容器に配置する工程と、 上記基板から離れた位置に置かれた反応ガス供給手段から上記容器内に上記基 板に対向するように反応ガスを導入する工程と、 ガス分散孔を有するシャワーヘッド状ガス分散手段を、上記ガス供給手段と上 記基板の間に、上記基板から25mm(1インチ)以内の距離に対向配置する工 程と、 からなり、 上記シャワーヘッド状ガス分散手段から上記基板に供給され、上記基板上で反 応して膜を形成する反応ガスを、上記基板を回転させて引き込み、 上記シャワーヘッド状ガス分散手段から分散される反応ガスが、上記基板の全 面に亘る均一なガス流を生じ、上記基板上での化学気相成長の効率を向上する ことを特徴とする成膜方法。 22. 上記ガス供給手段と上記シャワーヘッド状ガス分散手段との間に中空の シリンダを更に接続し、 上記シリンダからの反応ガスが、上記シャワーヘッド状ガス分散手段の全面に 集中するように導かれる ことを特徴とする請求の範囲第21項記載の成膜方法。 23. 上記シャワーヘッド状ガス分散手段に、シャワーヘッド状電極として機 能するようにRFを印加し、 上記シャワーヘッド状電極により上記反応ガスを励起してプラズマを生成し、 プラズマエンハンス化学気相成長により上記基板上に成膜する ことを特徴とする請求の範囲第21の成膜方法。 24. 上記シャワーヘッド状ガス分散手段のガス分散孔の大きさは、 プラズマの生成が上記基板に対向する上記シャワーヘッド状電極の面に限定さ れ、 上記プラズマは上記基板の近傍に集中されるように決められる ことを特徴とす請求の範囲第23項記載の成膜方法。 25.上記シャワーヘッド状ガス分散手段のガス分散孔の直径は、0.794m m(1インチ)である ことを特徴とする請求の版に23項記載の成膜方法。 26. 上記ガス供給手段と上記シャワーヘッド状電極との間に、中空のシリン ダをさらに接続し、 上記シャワーヘッド状ガス分散手段からの反応ガスが、その全面に集中し、上 記シャワーヘッド状電極の近傍にプラズマが集中し、プラズマエンハンスメント 化学気相成長法により上記基板上に成膜するように導かれる ことを特徴とする請求の範囲第23項記載の成膜方法。 27. 上記シャワーヘッド状電極は、 上記シャワーヘッド状電極からのRFが上記シリンダに印加されるのを防ぐた めに、上記シリンダから電気的に絶縁される ことを特徴とする請求の範囲第26項記載の成膜方法。 28. 上記シリンダは、 上記シャワーヘッド状電極からのRFが印加されないように絶縁材料で構成さ れる ことを特徴とする請求の範囲第26の成膜方法。 29. 上記電気的に絶縁する工程は、 上記シリンダとシャワーヘッド状電極との間に絶縁性部材を配置する ことを特徴とする請求の範囲第27項記載の成膜方法。 30. 上記絶縁性部材は石英である ことを特徴とする請求の範囲第29項記載の成膜方法。 31. 上記絶縁部は、石英で構成される ことを特徴とする請求の範囲第28項記載の成膜方法。
JP8500804A 1994-06-03 1994-11-29 Cvd法及びpecvd法による低温成膜方法及び装置 Pending JPH10501300A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/253,714 1994-06-03
US08/253,714 US5628829A (en) 1994-06-03 1994-06-03 Method and apparatus for low temperature deposition of CVD and PECVD films
PCT/US1994/013614 WO1995033868A1 (en) 1994-06-03 1994-11-29 Method and apparatus for low temperature deposition of cvd and pecvd films

Publications (1)

Publication Number Publication Date
JPH10501300A true JPH10501300A (ja) 1998-02-03

Family

ID=22961417

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8500804A Pending JPH10501300A (ja) 1994-06-03 1994-11-29 Cvd法及びpecvd法による低温成膜方法及び装置

Country Status (9)

Country Link
US (2) US5628829A (ja)
EP (1) EP0763149B1 (ja)
JP (1) JPH10501300A (ja)
KR (1) KR970703446A (ja)
AU (1) AU1294795A (ja)
CA (1) CA2191456A1 (ja)
DE (1) DE69414274T2 (ja)
TW (1) TW335593B (ja)
WO (1) WO1995033868A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003503838A (ja) * 1999-06-30 2003-01-28 ラム リサーチ コーポレーション 温度均一性が改良されたプラズマ反応チャンバ構成部品

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3877082B2 (ja) * 1995-08-10 2007-02-07 東京エレクトロン株式会社 研磨装置及び研磨方法
KR100201386B1 (ko) * 1995-10-28 1999-06-15 구본준 화학기상증착장비의 반응가스 분사장치
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
JP3635875B2 (ja) * 1997-06-25 2005-04-06 東京エレクトロン株式会社 成膜方法及び膜積層構造
US5926737A (en) * 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6087261A (en) * 1997-09-30 2000-07-11 Fujitsu Limited Method for production of semiconductor device
US6121140A (en) * 1997-10-09 2000-09-19 Tokyo Electron Limited Method of improving surface morphology and reducing resistivity of chemical vapor deposition-metal films
US6037252A (en) * 1997-11-05 2000-03-14 Tokyo Electron Limited Method of titanium nitride contact plug formation
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6090705A (en) * 1998-01-20 2000-07-18 Tokyo Electron Limited Method of eliminating edge effect in chemical vapor deposition of a metal
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6302057B1 (en) 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6936310B1 (en) * 1999-04-02 2005-08-30 Sharp Kabushiki Kaisha Plasma processing method
US6444556B2 (en) * 1999-04-22 2002-09-03 Micron Technology, Inc. Chemistry for chemical vapor deposition of titanium containing films
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6440494B1 (en) 2000-04-05 2002-08-27 Tokyo Electron Limited In-situ source synthesis for metal CVD
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US6929831B2 (en) * 2001-09-15 2005-08-16 Trikon Holdings Limited Methods of forming nitride films
KR100501339B1 (ko) * 2001-11-02 2005-07-18 주성엔지니어링(주) 플라즈마 장치
US20050081788A1 (en) * 2002-03-15 2005-04-21 Holger Jurgensen Device for depositing thin layers on a substrate
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
EP1629522A4 (en) * 2003-05-30 2008-07-23 Aviza Tech Inc GAS DISTRIBUTION SYSTEM
US20040261923A1 (en) * 2003-06-25 2004-12-30 Burns Steven M. Clean atmosphere heat treat for coated turbine components
KR100561642B1 (ko) * 2003-06-27 2006-03-20 엘지.필립스 엘시디 주식회사 표시소자 제조 장치 및 방법
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
US7682454B2 (en) * 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
TWI331770B (en) * 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
TW200737533A (en) * 2005-12-21 2007-10-01 Nat Science And Technology Dev Agency Low-cost and high performance solar cell manufacturing machine
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
WO2008096981A1 (en) * 2007-02-06 2008-08-14 Sosul Co., Ltd. Apparatus for forming a layer
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
JP2011500961A (ja) 2007-10-11 2011-01-06 バレンス プロセス イクウィップメント,インコーポレイテッド 化学気相成長反応器
US20090178763A1 (en) 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
JP5734185B2 (ja) 2008-07-07 2015-06-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内のプラズマ不安定性事象を検出するための構成、及び、プラズマ不安定性事象を検出する方法
WO2010005932A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
JP5271648B2 (ja) 2008-09-22 2013-08-21 株式会社ニューフレアテクノロジー 半導体製造方法および半導体製造装置
US20100267191A1 (en) * 2009-04-20 2010-10-21 Applied Materials, Inc. Plasma enhanced thermal evaporator
EP2543063B1 (en) * 2010-03-03 2019-05-08 Veeco Instruments Inc. Wafer carrier with sloped edge
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN103403843B (zh) 2011-03-04 2016-12-14 诺发系统公司 混合型陶瓷喷淋头
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
FR2997557B1 (fr) 2012-10-26 2016-01-01 Commissariat Energie Atomique Dispositif electronique a nanofil(s) muni d'une couche tampon en metal de transition, procede de croissance d'au moins un nanofil, et procede de fabrication d'un dispositif
FR2997420B1 (fr) * 2012-10-26 2017-02-24 Commissariat Energie Atomique Procede de croissance d'au moins un nanofil a partir d'une couche d'un metal de transition nitrure obtenue en deux etapes
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
EP2747122B1 (en) * 2012-12-20 2019-07-03 Applied Materials, Inc. Plasma enhanced deposition arrangement for evaporation of dielectric materials, deposition apparatus and methods of operating thereof
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP2015056632A (ja) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
WO2015094596A1 (en) * 2013-12-17 2015-06-25 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
CN104103561B (zh) * 2014-07-24 2016-08-24 河北神通光电科技有限公司 用于气态氟化氢刻蚀二氧化硅的刻蚀腔体及其刻蚀系统
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112921305A (zh) * 2021-01-22 2021-06-08 上海华虹宏力半导体制造有限公司 提高薄膜厚度均匀性的方法

Family Cites Families (136)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4006073A (en) * 1975-04-03 1977-02-01 The United States Of America As Represented By The United States Energy Research And Development Administration Thin film deposition by electric and magnetic crossed-field diode sputtering
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
JPS53112066A (en) * 1977-03-11 1978-09-30 Fujitsu Ltd Plasma treatment apparatus
JPS5518403A (en) * 1978-07-25 1980-02-08 Toshiba Corp Formation of organic thin film
US4410758A (en) * 1979-03-29 1983-10-18 Solar Voltaic, Inc. Photovoltaic products and processes
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
JPS5660447A (en) * 1979-10-23 1981-05-25 Toshiba Corp Forming method of organic photoconductive film
JPS5691437A (en) * 1979-12-26 1981-07-24 Nippon Hoso Kyokai <Nhk> Preparation of metallized element
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
US4504518A (en) * 1982-09-24 1985-03-12 Energy Conversion Devices, Inc. Method of making amorphous semiconductor alloys and devices using microwave energy
US4524718A (en) * 1982-11-22 1985-06-25 Gordon Roy G Reactor for continuous coating of glass
US4691662A (en) * 1983-02-28 1987-09-08 Michigan State University Dual plasma microwave apparatus and method for treating a surface
JPS59159167A (ja) * 1983-03-01 1984-09-08 Zenko Hirose アモルフアスシリコン膜の形成方法
DE3429899A1 (de) * 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
US4557943A (en) * 1983-10-31 1985-12-10 Advanced Semiconductor Materials America, Inc. Metal-silicide deposition using plasma-enhanced chemical vapor deposition
US4618542A (en) * 1983-11-21 1986-10-21 Tdk Corporation Magnetic thin film
JPS60221566A (ja) * 1984-04-18 1985-11-06 Agency Of Ind Science & Technol 薄膜形成装置
US4678679A (en) * 1984-06-25 1987-07-07 Energy Conversion Devices, Inc. Continuous deposition of activated process gases
JPS6126774A (ja) * 1984-07-16 1986-02-06 Canon Inc 非晶質シリコン膜形成装置
US4759947A (en) * 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
DE3437120A1 (de) * 1984-10-10 1986-04-10 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt Verfahren zum herstellen von halbleiterschichten auf halbleiterkoerpern oder zur eindiffusion von stoerstellen im halbleiterkoerper
US4717584A (en) * 1985-02-07 1988-01-05 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a magnetic thin film
US4717585A (en) * 1985-02-09 1988-01-05 Canon Kabushiki Kaisha Process for forming deposited film
US4716048A (en) * 1985-02-12 1987-12-29 Canon Kabushiki Kaisha Process for forming deposited film
US5178904A (en) * 1985-02-16 1993-01-12 Canon Kabushiki Kaisha Process for forming deposited film from a group II through group VI metal hydrocarbon compound
US4772486A (en) * 1985-02-18 1988-09-20 Canon Kabushiki Kaisha Process for forming a deposited film
US4728528A (en) * 1985-02-18 1988-03-01 Canon Kabushiki Kaisha Process for forming deposited film
JPS61189626A (ja) * 1985-02-18 1986-08-23 Canon Inc 堆積膜形成法
US4726963A (en) * 1985-02-19 1988-02-23 Canon Kabushiki Kaisha Process for forming deposited film
US4784874A (en) * 1985-02-20 1988-11-15 Canon Kabushiki Kaisha Process for forming deposited film
US4778692A (en) * 1985-02-20 1988-10-18 Canon Kabushiki Kaisha Process for forming deposited film
US4818563A (en) * 1985-02-21 1989-04-04 Canon Kabushiki Kaisha Process for forming deposited film
JPS61223756A (ja) * 1985-03-28 1986-10-04 Canon Inc 複写装置
US4853251A (en) * 1985-02-22 1989-08-01 Canon Kabushiki Kaisha Process for forming deposited film including carbon as a constituent element
US4801468A (en) * 1985-02-25 1989-01-31 Canon Kabushiki Kaisha Process for forming deposited film
JP2537175B2 (ja) * 1985-03-27 1996-09-25 キヤノン株式会社 機能性堆積膜の製造装置
JPH07101751B2 (ja) * 1985-03-28 1995-11-01 キヤノン株式会社 光起電力素子の製造方法
NL8602356A (nl) 1985-10-07 1987-05-04 Epsilon Ltd Partnership Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan.
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
JPH0645890B2 (ja) * 1985-12-18 1994-06-15 キヤノン株式会社 堆積膜形成法
JPH084071B2 (ja) * 1985-12-28 1996-01-17 キヤノン株式会社 堆積膜形成法
CH671407A5 (ja) 1986-06-13 1989-08-31 Balzers Hochvakuum
US4886683A (en) * 1986-06-20 1989-12-12 Raytheon Company Low temperature metalorganic chemical vapor depostion growth of group II-VI semiconductor materials
US5126169A (en) * 1986-08-28 1992-06-30 Canon Kabushiki Kaisha Process for forming a deposited film from two mutually reactive active species
US4954397A (en) * 1986-10-27 1990-09-04 Canon Kabushiki Kaisha Light receiving member having a divided-functionally structured light receiving layer having CGL and CTL for use in electrophotography
DE3742110C2 (de) * 1986-12-12 1996-02-22 Canon Kk Verfahren zur Bildung funktioneller aufgedampfter Filme durch ein chemisches Mikrowellen-Plasma-Aufdampfverfahren
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4876983A (en) * 1987-01-19 1989-10-31 Hitachi, Ltd. Plasma operation apparatus
KR900008505B1 (ko) * 1987-02-24 1990-11-24 세미콘덕터 에너지 라보라터리 캄파니 리미티드 탄소 석출을 위한 마이크로파 강화 cvd 방법
US4992839A (en) * 1987-03-23 1991-02-12 Canon Kabushiki Kaisha Field effect thin film transistor having a semiconductor layer formed from a polycrystal silicon film containing hydrogen atom and halogen atom and process for the preparation of the same
JPS63233564A (ja) * 1987-03-23 1988-09-29 Canon Inc 接合型トランジスタの製造法
US4946514A (en) * 1987-03-27 1990-08-07 Canon Kabushiki Kaisha Thin film photoelectromotive force element having multi-thin films stacked semiconductor layer
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS6424468A (en) * 1987-07-21 1989-01-26 Canon Kk Functional deposited film
CA1303194C (en) * 1987-07-21 1992-06-09 Katsumi Nakagawa Photovoltaic element with a semiconductor layer comprising non-single crystal material containing at least zn, se and h in an amount of 1 to40 atomic %
JPS6436086A (en) * 1987-07-31 1989-02-07 Canon Kk Functional deposition film
US4885067A (en) * 1987-08-31 1989-12-05 Santa Barbara Research Center In-situ generation of volatile compounds for chemical vapor deposition
US4888062A (en) * 1987-08-31 1989-12-19 Canon Kabushiki Kaisha Pin junction photovoltaic element having I-type semiconductor layer comprising non-single crystal material containing at least Zn, Se and H in an amount of 1 to 4 atomic %
JPS6461396A (en) * 1987-09-01 1989-03-08 Idemitsu Petrochemical Co Synthesis of diamond and installation therefor
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US4870030A (en) * 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
JPH0192375A (ja) * 1987-10-05 1989-04-11 Canon Inc マイクロ波プラズマcvd法による機能性堆積膜形成装置
CA1298639C (en) * 1987-11-20 1992-04-07 Katsumi Nakagawa Pinjunction photovoltaic element with p or n-type semiconductor layercomprising non-single crystal material containing zn, se, te, h in anamount of 1 to 4 atomic % and a dopant and i-type semiconductor layer comprising non-single crystal si(h,f) material
US4926229A (en) * 1987-11-20 1990-05-15 Canon Kabushiki Kaisha Pin junction photovoltaic element with P or N-type semiconductor layer comprising non-single crystal material containing Zn, Se, H in an amount of 1 to 4 atomic % and a dopant and I-type semiconductor layer comprising non-single crystal Si(H,F) material
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH01198481A (ja) * 1988-02-01 1989-08-10 Canon Inc マイクロ波プラズマcvd法による堆積膜形成法
US4908330A (en) * 1988-02-01 1990-03-13 Canon Kabushiki Kaisha Process for the formation of a functional deposited film containing group IV atoms or silicon atoms and group IV atoms by microwave plasma chemical vapor deposition process
JPH01198482A (ja) * 1988-02-01 1989-08-10 Canon Inc マイクロ波プラズマcvd法による堆積膜形成法
US4971832A (en) * 1988-03-02 1990-11-20 Canon Kabushiki Kaisha HR-CVD process for the formation of a functional deposited film on a substrate with application of a voltage in the range of -5 to -100 V
JP2776826B2 (ja) * 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
JPH01298164A (ja) * 1988-05-25 1989-12-01 Canon Inc 機能性堆積膜の形成方法
JPH01296611A (ja) * 1988-05-25 1989-11-30 Canon Inc 半導体薄膜堆積法
US4937094A (en) * 1988-05-26 1990-06-26 Energy Conversion Devices, Inc. Method of creating a high flux of activated species for reaction with a remotely located substrate
US5093149A (en) * 1988-05-26 1992-03-03 Energy Conversion Devices, Inc. Method of depositing directly activated species onto a remotely located substrate
JPH01306565A (ja) * 1988-06-02 1989-12-11 Canon Inc 堆積膜形成方法
US4992305A (en) * 1988-06-22 1991-02-12 Georgia Tech Research Corporation Chemical vapor deposition of transistion metals
JPH0215174A (ja) * 1988-07-01 1990-01-18 Canon Inc マイクロ波プラズマcvd装置
DE68928435T2 (de) * 1988-07-20 1998-03-05 Hashimoto Chemical Ind Co Generator zum Erzeugen von wasserfreier, verdünnter Flusssäure und seine Benutzung in einer Einrichtung zum Trockenätzen
DE3926023A1 (de) * 1988-09-06 1990-03-15 Schott Glaswerke Cvd-beschichtungsverfahren zur herstellung von schichten und vorrichtung zur durchfuehrung des verfahrens
KR940003787B1 (ko) * 1988-09-14 1994-05-03 후지쓰 가부시끼가이샤 박막 형성장치 및 방법
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
JP2717583B2 (ja) * 1988-11-04 1998-02-18 キヤノン株式会社 積層型光起電力素子
US5178905A (en) 1988-11-24 1993-01-12 Canon Kabushiki Kaisha Process for the formation of a functional deposited film by hydrogen radical-assisted cvd method utilizing hydrogen gas plasma in sheet-like state
JPH02148715A (ja) * 1988-11-29 1990-06-07 Canon Inc 半導体デバイスの連続形成装置
US5087542A (en) * 1988-12-27 1992-02-11 Canon Kabushiki Kaisha Electrophotographic image-forming method wherein an amorphous silicon light receiving member with a latent image support layer and a developed image support layer and fine particle insulating toner are used
US5002617A (en) * 1989-01-21 1991-03-26 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal AlAs(H,F) semiconductor film
US5002618A (en) * 1989-01-21 1991-03-26 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal BAs(H,F) semiconductor film
US5007971A (en) * 1989-01-21 1991-04-16 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal BP(H,F) semiconductor film
JP2829653B2 (ja) * 1989-01-21 1998-11-25 キヤノン株式会社 光起電力素子
JPH02192771A (ja) * 1989-01-21 1990-07-30 Canon Inc 光起電力素子
JP2892070B2 (ja) * 1989-01-26 1999-05-17 キヤノン株式会社 堆積膜形成装置
US4888088A (en) * 1989-03-06 1989-12-19 Tegal Corporation Ignitor for a microwave sustained plasma
DE69012727T2 (de) * 1989-03-31 1995-02-09 Canon Kk Verfahren zur herstellung eines polykristallinen filmes mittels chemischen dampfniederschlags.
JPH02258689A (ja) 1989-03-31 1990-10-19 Canon Inc 結晶質薄膜の形成方法
US5017403A (en) * 1989-04-13 1991-05-21 Massachusetts Institute Of Technology Process for forming planarized films
US5093150A (en) * 1989-04-20 1992-03-03 Alps Electric Co., Ltd. Synthesis method by plasma chemical vapor deposition
US5156820A (en) 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5130170A (en) * 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
JPH03193880A (ja) * 1989-08-03 1991-08-23 Mikakutou Seimitsu Kogaku Kenkyusho:Kk 高圧力下でのマイクロ波プラズマcvdによる高速成膜方法及びその装置
JPH0394069A (ja) 1989-09-05 1991-04-18 Mitsubishi Electric Corp 薄膜形成装置
US5139825A (en) * 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
US5220181A (en) 1989-12-11 1993-06-15 Canon Kabushiki Kaisha Photovoltaic element of junction type with an organic semiconductor layer formed of a polysilane compound
JPH088243B2 (ja) 1989-12-13 1996-01-29 三菱電機株式会社 表面クリーニング装置及びその方法
KR0184279B1 (ko) * 1990-01-29 1999-04-15 미다 가쓰시게 금속 또는 금속실리사이드막의 형성방법
US4977106A (en) * 1990-05-01 1990-12-11 Texas Instruments Incorporated Tin chemical vapor deposition using TiCl4 and SiH4
DE4016765A1 (de) 1990-05-25 1991-11-28 Philips Patentverwaltung Cvd-verfahren zur beschichtung ausgedehnter substrate
FR2664294B1 (fr) 1990-07-06 1992-10-23 Plasmametal Procede de metallisation d'une surface.
US5085885A (en) * 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
KR100228259B1 (ko) 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
JP2583152B2 (ja) 1990-11-06 1997-02-19 大日本スクリーン製造株式会社 基板回転式表面処理方法
JP3013455B2 (ja) 1991-02-07 2000-02-28 日本電気株式会社 酸化タンタル膜のプラズマ化学気相成長法
JP2939355B2 (ja) 1991-04-22 1999-08-25 東京エレクトロン株式会社 プラズマ処理装置
US5260236A (en) 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
US5173327A (en) * 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5279857A (en) 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
JP2989063B2 (ja) 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5434110A (en) 1992-06-15 1995-07-18 Materials Research Corporation Methods of chemical vapor deposition (CVD) of tungsten films on patterned wafer substrates
US5356476A (en) 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5416045A (en) 1993-02-18 1995-05-16 Micron Technology, Inc. Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films
US5246881A (en) 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
US5396404A (en) 1993-09-20 1995-03-07 Delco Electronics Corp. Heat sinking assembly for electrical components
US5378501A (en) 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5610106A (en) 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003503838A (ja) * 1999-06-30 2003-01-28 ラム リサーチ コーポレーション 温度均一性が改良されたプラズマ反応チャンバ構成部品

Also Published As

Publication number Publication date
CA2191456A1 (en) 1995-12-14
WO1995033868A1 (en) 1995-12-14
EP0763149A1 (en) 1997-03-19
TW335593B (en) 1998-07-01
KR970703446A (ko) 1997-07-03
EP0763149B1 (en) 1998-10-28
US6140215A (en) 2000-10-31
AU1294795A (en) 1996-01-04
DE69414274D1 (de) 1998-12-03
DE69414274T2 (de) 1999-03-25
US5628829A (en) 1997-05-13

Similar Documents

Publication Publication Date Title
JPH10501300A (ja) Cvd法及びpecvd法による低温成膜方法及び装置
US5665640A (en) Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP4808330B2 (ja) プロセスガス配給装置及び処理チャンバ
JP3597871B2 (ja) ガスおよびrf(無線周波数)出力を反応室に供給するための積重ねられたシャワヘッド組立体
JP3311358B2 (ja) ガス拡散板組立体、cvd装置並びにガス拡散板とcvd反応室の高周波プラズマ洗浄装置とを同時に備えた化学的気相成長(cvd)法に用いられる装置
JP3404536B2 (ja) 低温プラズマエンハンスによる集積回路形成方法
JP3599204B2 (ja) Cvd装置
US4625678A (en) Apparatus for plasma chemical vapor deposition
CN1217390C (zh) 等离子体处理装置、等离子体处理方法和滞波板
US5626678A (en) Non-conductive alignment member for uniform plasma processing of substrates
KR20000070665A (ko) 저항성을 감소시키기 위해 고종횡비 실리콘 반도체 디바이스접점을 준비하고 금속화하는 장치 및 방법
US10968513B2 (en) Plasma film-forming apparatus and substrate pedestal
JP2001181846A (ja) Cvd装置
WO1995033866A1 (en) Method and apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JPH05198517A (ja) バッチ式ガス処理装置
KR102329646B1 (ko) 다수 샤워헤드의 전력 및 가스 공급구조를 구비한 기판처리장치
JPS59175727A (ja) プラズマエツチング装置
JPH0250969A (ja) 薄膜形成装置
JPH0361377A (ja) マイクロ波プラズマ膜堆積装置
JPS6140770Y2 (ja)
JPS62218578A (ja) 気相反応装置用電極
JPH0945497A (ja) 誘電結合プラズマcvd方法およびその装置
JPH0732076B2 (ja) マイクロ波プラズマ処理装置およびその処理方法
TW202249086A (zh) 半導體處理腔室中的旋轉偏壓基座和靜電夾盤
JP2022185570A (ja) シャワーヘッド、電極ユニット、ガス供給ユニット、基板処理装置及び基板処理システム